From 1a948d21ce474a03eedc0105b31f4deec5d83a76 Mon Sep 17 00:00:00 2001 From: Cahit Date: Wed, 21 Oct 2015 17:38:05 +0200 Subject: [PATCH] fixed bug for dead channels --- releases/tdc_v2.2/Channel_200.vhd | 56 +++-- releases/tdc_v2.2/Readout_record.vhd | 4 +- releases/tdc_v2.2/TDC_record.vhd | 79 +++---- releases/tdc_v2.2/cbmtof.vhd | 4 +- releases/tdc_v2.2/tdc_components.vhd | 4 +- releases/tdc_v2.2/tdc_constraints_64.lpf | 260 +++++++++++------------ releases/tdc_v2.2/trb3_periph_padiwa.vhd | 4 +- releases/tdc_v2.2/trbnet_constraints.lpf | 2 +- 8 files changed, 220 insertions(+), 193 deletions(-) diff --git a/releases/tdc_v2.2/Channel_200.vhd b/releases/tdc_v2.2/Channel_200.vhd index 770d36a..87aa8b6 100644 --- a/releases/tdc_v2.2/Channel_200.vhd +++ b/releases/tdc_v2.2/Channel_200.vhd @@ -5,7 +5,7 @@ -- File : Channel_200.vhd -- Author : c.ugur@gsi.de -- Created : 2012-08-28 --- Last update: 2015-09-21 +-- Last update: 2015-10-16 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- @@ -89,6 +89,7 @@ architecture Channel_200 of Channel_200 is signal time_stamp_4r : std_logic_vector(10 downto 0); signal time_stamp_5r : std_logic_vector(10 downto 0); signal time_stamp_6r : std_logic_vector(10 downto 0); + signal time_stamp_7r : std_logic_vector(10 downto 0); signal coarse_cntr_r : std_logic_vector(10 downto 0); signal coarse_cntr_overflow : std_logic; signal coarse_cntr_overflow_r : std_logic; @@ -97,13 +98,15 @@ architecture Channel_200 of Channel_200 is signal coarse_cntr_overflow_4r : std_logic; signal coarse_cntr_overflow_5r : std_logic; signal coarse_cntr_overflow_6r : std_logic; + signal coarse_cntr_overflow_7r : std_logic; -- encoder signal encoder_start : std_logic; signal encoder_finished : std_logic; signal encoder_data_out : std_logic_vector(9 downto 0); signal encoder_debug : std_logic_vector(31 downto 0); - + signal thermocode : std_logic_vector(287 downto 0); + -- epoch counter signal epoch_cntr : std_logic_vector(27 downto 0) := (others => '0'); signal epoch_cntr_r : std_logic_vector(27 downto 0) := (others => '0'); @@ -143,6 +146,7 @@ architecture Channel_200 of Channel_200 is signal trg_win_end_tdc_flag_fsm : std_logic; signal trg_win_end_tdc_flag : std_logic := '0'; signal trg_win_end_tdc : std_logic := '0'; + signal trg_win_end_tdc_rst : std_logic := '0'; signal fsm_wr_debug_fsm : std_logic_vector(3 downto 0); signal fsm_wr_debug : std_logic_vector(3 downto 0); @@ -164,13 +168,19 @@ architecture Channel_200 of Channel_200 is begin -- Channel_200 - TrgWinEndTdcDist : FD1P3IX - port map (D => '1', - SP => TRG_WIN_END_TDC_IN, --CLKen - CK => CLK_200, - CD => trg_win_end_tdc, --not TRG_WIN_END_TDC_IN, --reset - Q => trg_win_end_tdc); - + GEN_TrgWinEndTdcDist: if SIMULATION = 0 generate + TrgWinEndTdcDist : FD1P3IX + port map (D => '1', + SP => TRG_WIN_END_TDC_IN, --CLKen + CK => CLK_200, + CD => trg_win_end_tdc, --reset + Q => trg_win_end_tdc); + end generate GEN_TrgWinEndTdcDist; + + GEN_TrgWinEndTdcDist_Sim: if SIMULATION = 1 generate + trg_win_end_tdc <= TRG_WIN_END_TDC_IN when rising_edge(CLK_200); + end generate GEN_TrgWinEndTdcDist_Sim; + --TrgWinEndTdcDist: process (CLK_200) is --begin -- if rising_edge(CLK_200) then -- rising clock edge @@ -217,12 +227,19 @@ begin -- Channel_200 -- previous state of the -- hit detection bit - hit_detect_r <= hit_detect when rising_edge(CLK_200); - hit_detect_2r <= hit_detect_r when rising_edge(CLK_200); - coarse_cntr_r <= COARSE_COUNTER_IN when rising_edge(CLK_200); - encoder_start <= hit_detect; --hit_detect_r; + hit_detect_r <= hit_detect when rising_edge(CLK_200); + hit_detect_2r <= hit_detect_r when rising_edge(CLK_200); + coarse_cntr_r <= COARSE_COUNTER_IN when rising_edge(CLK_200); ENCODER_START_OUT <= encoder_start; - +------------------------------------------------------------------------------- +-- +------------------------------------------------------------------------------- + --thermocode <= result(287 downto 0); + --encoder_start <= hit_detect; + thermocode <= result(287 downto 0) when rising_edge(CLK_200); + encoder_start <= hit_detect when rising_edge(CLK_200); +------------------------------------------------------------------------------- + isReferenceEdge : if REFERENCE = c_YES or DOUBLE_EDGE_TYPE = 0 or DOUBLE_EDGE_TYPE = 2 generate edge_type <= '1'; end generate isReferenceEdge; @@ -263,6 +280,7 @@ begin -- Channel_200 time_stamp_4r <= time_stamp_3r; time_stamp_5r <= time_stamp_4r; time_stamp_6r <= time_stamp_5r; + time_stamp_7r <= time_stamp_6r; end if; end process TimeStampCapture; @@ -278,11 +296,12 @@ begin -- Channel_200 coarse_cntr_overflow_4r <= coarse_cntr_overflow_3r when rising_edge(CLK_200); coarse_cntr_overflow_5r <= coarse_cntr_overflow_4r when rising_edge(CLK_200); coarse_cntr_overflow_6r <= coarse_cntr_overflow_5r when rising_edge(CLK_200); + coarse_cntr_overflow_7r <= coarse_cntr_overflow_6r when rising_edge(CLK_200); EpochCounterCapture : process (CLK_200) begin if rising_edge(CLK_200) then - if coarse_cntr_overflow_6r = '1' then + if coarse_cntr_overflow_7r = '1' then epoch_cntr <= EPOCH_COUNTER_IN; epoch_cntr_updated <= '1'; elsif write_epoch = '1' then @@ -308,7 +327,7 @@ begin -- Channel_200 RESET => RESET_200, CLK => CLK_200, START_IN => encoder_start, - THERMOCODE_IN => result(287 downto 0), + THERMOCODE_IN => thermocode, FINISHED_OUT => encoder_finished, BINARY_CODE_OUT => encoder_data_out, ENCODER_DEBUG => encoder_debug); @@ -567,7 +586,7 @@ begin -- Channel_200 ringBuffer_data_in(28 downto 22) <= std_logic_vector(to_unsigned(CHANNEL_ID, 7)); -- channel number ringBuffer_data_in(21 downto 12) <= encoder_data_out; -- fine time from the encoder ringBuffer_data_in(11) <= edge_type; -- rising '1' or falling '0' edge - ringBuffer_data_in(10 downto 0) <= time_stamp_6r; -- hit time stamp + ringBuffer_data_in(10 downto 0) <= time_stamp_7r; -- hit time stamp ringBuffer_wr_en <= '1'; elsif write_stop_a = '1' then ringBuffer_data_in(35 downto 32) <= x"f"; @@ -744,7 +763,8 @@ begin -- Channel_200 --CHANNEL_200_DEBUG_OUT(17) <= fifo_data_valid; --CHANNEL_200_DEBUG_OUT(18) <= ringBuffer_rd_en; --CHANNEL_200_DEBUG_OUT(23 downto 19) <= (others => '0'); - CHANNEL_200_DEBUG_OUT(23 downto 0) <= (others => '0'); + CHANNEL_200_DEBUG_OUT(0) <= ff_array_en when rising_edge(CLK_200); + CHANNEL_200_DEBUG_OUT(23 downto 1) <= (others => '0'); CHANNEL_200_DEBUG_OUT(27 downto 24) <= fsm_rd_debug; CHANNEL_200_DEBUG_OUT(31 downto 28) <= fsm_wr_debug; diff --git a/releases/tdc_v2.2/Readout_record.vhd b/releases/tdc_v2.2/Readout_record.vhd index 9bedfae..e1690eb 100644 --- a/releases/tdc_v2.2/Readout_record.vhd +++ b/releases/tdc_v2.2/Readout_record.vhd @@ -5,7 +5,7 @@ -- File : Readout_record.vhd -- Author : cugur@gsi.de -- Created : 2012-10-25 --- Last update: 2015-08-21 +-- Last update: 2015-10-16 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- @@ -237,7 +237,7 @@ begin -- behavioral end if; if ch_data_r(fifo_nr_wr)(35 downto 32) = x"1" and ch_data_r(fifo_nr_wr)(31) = '1' then - ch_hit_time <= ch_epoch_cntr& ch_data_r(fifo_nr_wr)(10 downto 0); + ch_hit_time <= ch_epoch_cntr & ch_data_r(fifo_nr_wr)(10 downto 0); elsif ch_data_r(fifo_nr_wr)(35 downto 32) = x"1" and ch_data_r(fifo_nr_wr)(31 downto 29) = "011" then ch_hit_time <= (others => '0'); end if; diff --git a/releases/tdc_v2.2/TDC_record.vhd b/releases/tdc_v2.2/TDC_record.vhd index ecf146d..ec08d8f 100644 --- a/releases/tdc_v2.2/TDC_record.vhd +++ b/releases/tdc_v2.2/TDC_record.vhd @@ -24,8 +24,8 @@ entity TDC_record is HIT_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 1); HIT_CAL_IN : in std_logic; --Readout - READOUT_RX : in READOUT_RX; - READOUT_TX : out READOUT_TX; + BUSRDO_RX : in READOUT_RX; + BUSRDO_TX : out READOUT_TX; --Slow Control BUS_RX : in CTRLBUS_RX; BUS_TX : out CTRLBUS_TX; @@ -145,12 +145,16 @@ architecture TDC_record of TDC_record is signal ch_debug : std_logic_vector_array_32(0 to CHANNEL_NUMBER-1); signal ch_200_debug : std_logic_vector_array_32(0 to CHANNEL_NUMBER-1); signal readout_debug : std_logic_vector(31 downto 0); + signal buschdebug_data_in : std_logic_vector_array_32(0 to CHANNEL_NUMBER-1); -- Bus signals signal readout_statistics : std_logic_vector_array_24(0 to 15); signal trg_handler_status_registers : std_logic_vector(31 downto 0); signal status_registers_bus : std_logic_vector_array_32(0 to STATUS_REG_NR-1); signal bushit_rx, busstat_rx, buschdebug_rx, busctrl_rx : CTRLBUS_RX; signal bushit_tx, busstat_tx, buschdebug_tx, busctrl_tx : CTRLBUS_TX; + signal busreadout_rx : READOUT_RX; + signal busreadout_tx : READOUT_TX; + attribute syn_keep : boolean; attribute syn_keep of reset_tdc : signal is true; @@ -194,12 +198,15 @@ begin BUS_RX(3) => busctrl_rx, -- contorl reg bus BUS_TX(0) => bushit_tx, BUS_TX(1) => busstat_tx, - BUS_TX(2) => buschdebug_tx, -- channel debug bus + BUS_TX(2) => buschdebug_tx, BUS_TX(3) => busctrl_tx, STAT_DEBUG => open ); + busreadout_rx <= BUSRDO_RX; + BUSRDO_TX <= busreadout_tx; + ------------------------------------------------------------------------------- -- Slow Control ------------------------------------------------------------------------------- @@ -256,13 +263,7 @@ begin end if; end process CalibrationHitGenerate; - --hit_cal <= and_all(cal_cntr); - hit_cal <= and_all(cal_cntr); -------------------------------------------------------------------------------- - ---hit_cal <= HIT_CAL_IN; - - + hit_cal <= and_all(cal_cntr); calibration_on_r <= calibration_on when rising_edge(CLK_READOUT); calibration_on_2r <= calibration_on_r when rising_edge(CLK_READOUT); calibration_on_3r <= calibration_on_2r when rising_edge(CLK_READOUT); @@ -331,37 +332,37 @@ begin gen_double : if DOUBLE_EDGE_TYPE = 1 or DOUBLE_EDGE_TYPE = 3 generate edge_rising(i) <= '0' when edge_rising_3r(i) = '1' else --edge_rising(i) when hit_edge(i) = '1' else - '1' after 2.5ns when rising_edge(hit_in_s(i)); - edge_rising_r(i) <= edge_rising(i) when rising_edge(CLK_TDC); - edge_rising_2r(i) <= edge_rising_r(i) when rising_edge(CLK_TDC); - edge_rising_3r(i) <= edge_rising_r(i) and not edge_rising_2r(i) when rising_edge(CLK_TDC); + '1' after 2.5 ns when rising_edge(hit_in_s(i)); + edge_rising_r(i) <= edge_rising(i) when rising_edge(CLK_TDC); + edge_rising_2r(i) <= edge_rising_r(i) when rising_edge(CLK_TDC); + edge_rising_3r(i) <= edge_rising_2r(i) when rising_edge(CLK_TDC); --edge_rising_r(i) and not edge_rising_2r(i) when rising_edge(CLK_TDC); edge_falling(i) <= '0' when edge_falling_3r(i) = '1' else --edge_falling(i) when hit_edge(i) = '0' else - '1' after 2ns when falling_edge(hit_in_s(i)); - edge_falling_r(i) <= edge_falling(i) when rising_edge(CLK_TDC); - edge_falling_2r(i) <= edge_falling_r(i) when rising_edge(CLK_TDC); - edge_falling_3r(i) <= edge_falling_r(i) and not edge_falling_2r(i) when rising_edge(CLK_TDC); + '1' after 2 ns when falling_edge(hit_in_s(i)); + edge_falling_r(i) <= edge_falling(i) when rising_edge(CLK_TDC); + edge_falling_2r(i) <= edge_falling_r(i) when rising_edge(CLK_TDC); + edge_falling_3r(i) <= edge_falling_2r(i) when rising_edge(CLK_TDC); --edge_falling_r(i) and not edge_falling_2r(i) when rising_edge(CLK_TDC); hit_latch(i) <= edge_rising(i) or edge_falling_d(i); - edge_falling_d_r(i) <= edge_falling_d(i) when rising_edge(CLK_TDC); - edge_falling_d_2r(i) <= edge_falling_d_r(i) when rising_edge(CLK_TDC); - edge_falling_d_3r(i) <= edge_falling_d_r(i) and not edge_falling_d_2r(i) when rising_edge(CLK_TDC); + edge_falling_d_r(i) <= edge_falling_d(i) when rising_edge(CLK_TDC); + edge_falling_d_2r(i) <= edge_falling_d_r(i) when rising_edge(CLK_TDC); + edge_falling_d_3r(i) <= edge_falling_d_2r(i) when rising_edge(CLK_TDC); --edge_falling_d_r(i) and not edge_falling_d_2r(i) when rising_edge(CLK_TDC); hit_edge(i) <= '0' when edge_falling_d(i) = '1' or RESET = '1' else '1' when rising_edge(edge_rising(i)); - hit_edge_r(i) <= hit_edge(i) when rising_edge(CLK_TDC); - hit_edge_2r(i) <= hit_edge_r(i) when rising_edge(CLK_TDC); + hit_edge_r(i) <= hit_edge(i) when rising_edge(CLK_TDC); + hit_edge_2r(i) <= hit_edge_r(i) when rising_edge(CLK_TDC); end generate gen_double; ------------------------------------------------------------------------------- -- for single edge and double edge in alternating channel setup gen_single : if DOUBLE_EDGE_TYPE = 0 or DOUBLE_EDGE_TYPE = 2 generate edge_rising(i) <= '0' when edge_rising_3r(i) = '1' else '1' when rising_edge(hit_in_s(i)); - edge_rising_r(i) <= edge_rising(i) when rising_edge(CLK_TDC); - edge_rising_2r(i) <= edge_rising_r(i) when rising_edge(CLK_TDC); - edge_rising_3r(i) <= edge_rising_r(i) and not edge_rising_2r(i) when rising_edge(CLK_TDC); + edge_rising_r(i) <= edge_rising(i) when rising_edge(CLK_TDC); + edge_rising_2r(i) <= edge_rising_r(i) when rising_edge(CLK_TDC); + edge_rising_3r(i) <= edge_rising_2r(i) when rising_edge(CLK_TDC); --edge_rising_r(i) and not edge_rising_2r(i) when rising_edge(CLK_TDC); hit_latch(i) <= edge_rising(i); hit_edge_2r(i) <= '1'; @@ -391,7 +392,7 @@ begin if rising_edge(CLK_READOUT) then if trg_win_end_rdo = '1' then calibration_on <= '0'; - elsif READOUT_RX.valid_notiming_trg = '1' and READOUT_RX.trg_type = x"D" then + elsif busreadout_rx.valid_notiming_trg = '1' and busreadout_rx.trg_type = x"D" then calibration_on <= '1'; end if; end if; @@ -483,7 +484,7 @@ begin CLK_B_IN => CLK_TDC, RESET_B_IN => reset_tdc, PULSE_B_OUT => valid_trg_tdc); - valid_trg_rdo <= READOUT_RX.valid_notiming_trg or READOUT_RX.valid_timing_trg; + valid_trg_rdo <= busreadout_rx.valid_notiming_trg or busreadout_rx.valid_timing_trg; -- Timing Trigger handler TheTriggerHandler : TriggerHandler @@ -497,10 +498,10 @@ begin RESET_TRG => reset_rdo, RESET_RDO => reset_rdo, RESET_TDC => reset_tdc, - VALID_TIMING_TRG_IN => READOUT_RX.valid_timing_trg, - VALID_NOTIMING_TRG_IN => READOUT_RX.valid_notiming_trg, - TRG_TYPE_IN => READOUT_RX.trg_type, - TRG_RELEASE_IN => READOUT_TX.busy_release, + VALID_TIMING_TRG_IN => busreadout_rx.valid_timing_trg, + VALID_NOTIMING_TRG_IN => busreadout_rx.valid_notiming_trg, + TRG_TYPE_IN => busreadout_rx.trg_type, + TRG_RELEASE_IN => busreadout_tx.busy_release, TRG_IN(0) => trg_in, TRG_RDO_OUT(0) => trg_rdo, TRG_TDC_OUT(0) => trg_tdc, @@ -539,8 +540,8 @@ begin CH_ALMOST_FULL_IN => ch_almost_full, CH_EMPTY_IN => ch_empty, -- endpoint bus - READOUT_RX => READOUT_RX, - READOUT_TX => READOUT_TX, + READOUT_RX => busreadout_rx, + READOUT_TX => busreadout_tx, -- to the channels READ_EN_OUT => rd_en, -- trigger window settings @@ -694,7 +695,7 @@ begin status_registers_bus(0)(15 downto 8) <= std_logic_vector(to_unsigned(CHANNEL_NUMBER-1, 8)); status_registers_bus(0)(16) <= REFERENCE_TIME when rising_edge(CLK_READOUT); status_registers_bus(0)(27 downto 17) <= TDC_VERSION(10 downto 0); - status_registers_bus(0)(31 downto 28) <= READOUT_RX.trg_type when rising_edge(CLK_READOUT); + status_registers_bus(0)(31 downto 28) <= busreadout_rx.trg_type when rising_edge(CLK_READOUT); -- debug info status_registers_bus(1)(3 downto 0) <= trg_handler_status_registers(23 downto 20); @@ -737,9 +738,15 @@ begin CLK => CLK_READOUT, BUS_RX => buschdebug_rx, BUS_TX => buschdebug_tx, - DATA_IN => ch_200_debug, + DATA_IN => buschdebug_data_in, --ch_200_debug DATA_OUT => open); + buschdebug_data_in(0) <= ch_200_debug(0); + GEN_BUSCHDEBUG : for i in 1 to CHANNEL_NUMBER-1 generate + buschdebug_data_in(i) <= ch_200_debug(i)(31 downto 2) & edge_rising_2r(i) & ch_200_debug(i)(0); + end generate GEN_BUSCHDEBUG; + + ------------------------------------------------------------------------------- -- Debug ------------------------------------------------------------------------------- diff --git a/releases/tdc_v2.2/cbmtof.vhd b/releases/tdc_v2.2/cbmtof.vhd index 9920f5d..445c189 100644 --- a/releases/tdc_v2.2/cbmtof.vhd +++ b/releases/tdc_v2.2/cbmtof.vhd @@ -789,8 +789,8 @@ begin HIT_IN => hit_in_i(NUM_TDC_CHANNELS-1 downto 1), -- Channel start signals HIT_CAL_IN => clk_cal, -- Hits for calibrating the TDC -- Trigger signals from handler - READOUT_RX => readout_rx, - READOUT_TX => readout_tx(0), + BUSRDO_RX => readout_rx, + BUSRDO_TX => readout_tx(0), -- LOGIC_ANALYSER_OUT => logic_analyser_i, BUS_RX => bustdc_rx, diff --git a/releases/tdc_v2.2/tdc_components.vhd b/releases/tdc_v2.2/tdc_components.vhd index 0fc02dd..4f284a3 100644 --- a/releases/tdc_v2.2/tdc_components.vhd +++ b/releases/tdc_v2.2/tdc_components.vhd @@ -19,8 +19,8 @@ package tdc_components is REFERENCE_TIME : in std_logic; HIT_IN : in std_logic_vector(CHANNEL_NUMBER-1 downto 1); HIT_CAL_IN : in std_logic; - READOUT_RX : in READOUT_RX; - READOUT_TX : out READOUT_TX; + BUSRDO_RX : in READOUT_RX; + BUSRDO_TX : out READOUT_TX; LOGIC_ANALYSER_OUT : out std_logic_vector(15 downto 0); BUS_RX : in CTRLBUS_RX; BUS_TX : in CTRLBUS_TX); diff --git a/releases/tdc_v2.2/tdc_constraints_64.lpf b/releases/tdc_v2.2/tdc_constraints_64.lpf index 09a559d..fbeaa08 100644 --- a/releases/tdc_v2.2/tdc_constraints_64.lpf +++ b/releases/tdc_v2.2/tdc_constraints_64.lpf @@ -12,7 +12,7 @@ UGROUP "hitBuf_ref" BBOX 1 1 BLKNAME THE_TDC/hit_mux_ref; LOCATE UGROUP "hitBuf_ref" SITE "R33C3D" ; UGROUP "Ref_ff_en" BBOX 1 1 - BLKNAME THE_TDC/ReferenceChannel/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/ReferenceChannel/Channel200/ff_array_en_1_i; LOCATE UGROUP "Ref_ff_en" SITE "R32C27D" ; # UGROUP "FC_1" BBOX 1 48 @@ -23,7 +23,7 @@ UGROUP "hitBuf_1" BBOX 1 1 LOCATE UGROUP "hitBuf_1" SITE "R31C3D" ; UGROUP "ff_en_1" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.1.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.1.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.1.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_1" SITE "R30C27D" ; # UGROUP "FC_2" BBOX 1 48 @@ -34,7 +34,7 @@ UGROUP "hitBuf_2" BBOX 1 1 LOCATE UGROUP "hitBuf_2" SITE "R49C3D" ; UGROUP "ff_en_2" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.2.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.2.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.2.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_2" SITE "R48C27D" ; # UGROUP "FC_3" BBOX 1 48 @@ -45,7 +45,7 @@ UGROUP "hitBuf_3" BBOX 1 1 LOCATE UGROUP "hitBuf_3" SITE "R36C3D" ; UGROUP "ff_en_3" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.3.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.3.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.3.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_3" SITE "R35C27D" ; # UGROUP "FC_4" BBOX 1 48 @@ -56,7 +56,7 @@ UGROUP "hitBuf_4" BBOX 1 1 LOCATE UGROUP "hitBuf_4" SITE "R38C3D" ; UGROUP "ff_en_4" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.4.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.4.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.4.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_4" SITE "R37C27D" ; # UGROUP "FC_5" BBOX 1 48 @@ -67,7 +67,7 @@ UGROUP "hitBuf_5" BBOX 1 1 LOCATE UGROUP "hitBuf_5" SITE "R51C3D" ; UGROUP "ff_en_5" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.5.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.5.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.5.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_5" SITE "R50C27D" ; # UGROUP "FC_6" BBOX 1 48 @@ -78,7 +78,7 @@ UGROUP "hitBuf_6" BBOX 1 1 LOCATE UGROUP "hitBuf_6" SITE "R72C3D" ; UGROUP "ff_en_6" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.6.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.6.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.6.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_6" SITE "R71C27D" ; # UGROUP "FC_7" BBOX 1 48 @@ -89,7 +89,7 @@ UGROUP "hitBuf_7" BBOX 1 1 LOCATE UGROUP "hitBuf_7" SITE "R87C3D" ; UGROUP "ff_en_7" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.7.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.7.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.7.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_7" SITE "R86C27D" ; # UGROUP "FC_8" BBOX 1 48 @@ -100,7 +100,7 @@ UGROUP "hitBuf_8" BBOX 1 1 LOCATE UGROUP "hitBuf_8" SITE "R85C3D" ; UGROUP "ff_en_8" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.8.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.8.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.8.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_8" SITE "R84C27D" ; # UGROUP "FC_9" BBOX 1 48 @@ -111,7 +111,7 @@ UGROUP "hitBuf_9" BBOX 1 1 LOCATE UGROUP "hitBuf_9" SITE "R74C3D" ; UGROUP "ff_en_9" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.9.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.9.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.9.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_9" SITE "R73C27D" ; # UGROUP "FC_10" BBOX 1 48 @@ -122,7 +122,7 @@ UGROUP "hitBuf_10" BBOX 1 1 LOCATE UGROUP "hitBuf_10" SITE "R103C3D" ; UGROUP "ff_en_10" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.10.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.10.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.10.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_10" SITE "R102C27D" ; # UGROUP "FC_11" BBOX 1 48 @@ -133,7 +133,7 @@ UGROUP "hitBuf_11" BBOX 1 1 LOCATE UGROUP "hitBuf_11" SITE "R105C3D" ; UGROUP "ff_en_11" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.11.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.11.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.11.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_11" SITE "R104C27D" ; # UGROUP "FC_12" BBOX 1 48 @@ -144,7 +144,7 @@ UGROUP "hitBuf_12" BBOX 1 1 LOCATE UGROUP "hitBuf_12" SITE "R92C3D" ; UGROUP "ff_en_12" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.12.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.12.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.12.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_12" SITE "R91C27D" ; # UGROUP "FC_13" BBOX 1 48 @@ -155,7 +155,7 @@ UGROUP "hitBuf_13" BBOX 1 1 LOCATE UGROUP "hitBuf_13" SITE "R9C3D" ; UGROUP "ff_en_13" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.13.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.13.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.13.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_13" SITE "R8C27D" ; # UGROUP "FC_14" BBOX 1 48 @@ -166,7 +166,7 @@ UGROUP "hitBuf_14" BBOX 1 1 LOCATE UGROUP "hitBuf_14" SITE "R11C3D" ; UGROUP "ff_en_14" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.14.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.14.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.14.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_14" SITE "R10C27D" ; # UGROUP "FC_15" BBOX 1 48 @@ -177,7 +177,7 @@ UGROUP "hitBuf_15" BBOX 1 1 LOCATE UGROUP "hitBuf_15" SITE "R22C3D" ; UGROUP "ff_en_15" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.15.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.15.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.15.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_15" SITE "R21C27D" ; # UGROUP "FC_16" BBOX 1 48 @@ -188,7 +188,7 @@ UGROUP "hitBuf_16" BBOX 1 1 LOCATE UGROUP "hitBuf_16" SITE "R24C3D" ; UGROUP "ff_en_16" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.16.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.16.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.16.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_16" SITE "R23C27D" ; # UGROUP "FC_17" BBOX 1 48 @@ -199,7 +199,7 @@ UGROUP "hitBuf_17" BBOX 1 1 LOCATE UGROUP "hitBuf_17" SITE "R105C59D" ; UGROUP "ff_en_17" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.17.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.17.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.17.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_17" SITE "R104C83D" ; # UGROUP "FC_18" BBOX 1 48 @@ -210,7 +210,7 @@ UGROUP "hitBuf_18" BBOX 1 1 LOCATE UGROUP "hitBuf_18" SITE "R90C59D" ; UGROUP "ff_en_18" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.18.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.18.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.18.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_18" SITE "R89C83D" ; # UGROUP "FC_19" BBOX 1 48 @@ -221,7 +221,7 @@ UGROUP "hitBuf_19" BBOX 1 1 LOCATE UGROUP "hitBuf_19" SITE "R92C59D" ; UGROUP "ff_en_19" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.19.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.19.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.19.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_19" SITE "R91C83D" ; # UGROUP "FC_20" BBOX 1 48 @@ -232,7 +232,7 @@ UGROUP "hitBuf_20" BBOX 1 1 LOCATE UGROUP "hitBuf_20" SITE "R103C59D" ; UGROUP "ff_en_20" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.20.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.20.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.20.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_20" SITE "R102C83D" ; # UGROUP "FC_21" BBOX 1 48 @@ -243,7 +243,7 @@ UGROUP "hitBuf_21" BBOX 1 1 LOCATE UGROUP "hitBuf_21" SITE "R112C59D" ; UGROUP "ff_en_21" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.21.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.21.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.21.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_21" SITE "R111C83D" ; # UGROUP "FC_22" BBOX 1 48 @@ -254,7 +254,7 @@ UGROUP "hitBuf_22" BBOX 1 1 LOCATE UGROUP "hitBuf_22" SITE "R114C59D" ; UGROUP "ff_en_22" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.22.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.22.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.22.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_22" SITE "R113C83D" ; # UGROUP "FC_23" BBOX 1 48 @@ -265,7 +265,7 @@ UGROUP "hitBuf_23" BBOX 1 1 LOCATE UGROUP "hitBuf_23" SITE "R69C3D" ; UGROUP "ff_en_23" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.23.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.23.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.23.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_23" SITE "R68C27D" ; # UGROUP "FC_24" BBOX 1 48 @@ -276,7 +276,7 @@ UGROUP "hitBuf_24" BBOX 1 1 LOCATE UGROUP "hitBuf_24" SITE "R56C3D" ; UGROUP "ff_en_24" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.24.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.24.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.24.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_24" SITE "R55C27D" ; # UGROUP "FC_25" BBOX 1 48 @@ -287,7 +287,7 @@ UGROUP "hitBuf_25" BBOX 1 1 LOCATE UGROUP "hitBuf_25" SITE "R54C3D" ; UGROUP "ff_en_25" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.25.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.25.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.25.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_25" SITE "R53C27D" ; # UGROUP "FC_26" BBOX 1 48 @@ -298,7 +298,7 @@ UGROUP "hitBuf_26" BBOX 1 1 LOCATE UGROUP "hitBuf_26" SITE "R67C3D" ; UGROUP "ff_en_26" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.26.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.26.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.26.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_26" SITE "R66C27D" ; # UGROUP "FC_27" BBOX 1 48 @@ -309,7 +309,7 @@ UGROUP "hitBuf_27" BBOX 1 1 LOCATE UGROUP "hitBuf_27" SITE "R112C3D" ; UGROUP "ff_en_27" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.27.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.27.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.27.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_27" SITE "R111C27D" ; # UGROUP "FC_28" BBOX 1 48 @@ -320,7 +320,7 @@ UGROUP "hitBuf_28" BBOX 1 1 LOCATE UGROUP "hitBuf_28" SITE "R114C3D" ; UGROUP "ff_en_28" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.28.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.28.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.28.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_28" SITE "R113C27D" ; # UGROUP "FC_29" BBOX 1 48 @@ -331,7 +331,7 @@ UGROUP "hitBuf_29" BBOX 1 1 LOCATE UGROUP "hitBuf_29" SITE "R9C59D" ; UGROUP "ff_en_29" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.29.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.29.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.29.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_29" SITE "R8C83D" ; # UGROUP "FC_30" BBOX 1 48 @@ -342,7 +342,7 @@ UGROUP "hitBuf_30" BBOX 1 1 LOCATE UGROUP "hitBuf_30" SITE "R11C59D" ; UGROUP "ff_en_30" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.30.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.30.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.30.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_30" SITE "R10C83D" ; # UGROUP "FC_31" BBOX 1 48 @@ -353,7 +353,7 @@ UGROUP "hitBuf_31" BBOX 1 1 LOCATE UGROUP "hitBuf_31" SITE "R22C59D" ; UGROUP "ff_en_31" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.31.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.31.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.31.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_31" SITE "R21C83D" ; # UGROUP "FC_32" BBOX 1 48 @@ -364,7 +364,7 @@ UGROUP "hitBuf_32" BBOX 1 1 LOCATE UGROUP "hitBuf_32" SITE "R24C59D" ; UGROUP "ff_en_32" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.32.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.32.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.32.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_32" SITE "R23C83D" ; # UGROUP "FC_33" BBOX 1 48 @@ -375,7 +375,7 @@ UGROUP "hitBuf_33" BBOX 1 1 LOCATE UGROUP "hitBuf_33" SITE "R31C59D" ; UGROUP "ff_en_33" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.33.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.33.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.33.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_33" SITE "R30C83D" ; # UGROUP "FC_34" BBOX 1 48 @@ -386,7 +386,7 @@ UGROUP "hitBuf_34" BBOX 1 1 LOCATE UGROUP "hitBuf_34" SITE "R33C59D" ; UGROUP "ff_en_34" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.34.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.34.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.34.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_34" SITE "R32C83D" ; # UGROUP "FC_35" BBOX 1 48 @@ -397,7 +397,7 @@ UGROUP "hitBuf_35" BBOX 1 1 LOCATE UGROUP "hitBuf_35" SITE "R35C59D" ; UGROUP "ff_en_35" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.35.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.35.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.35.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_35" SITE "R35C83D" ; # UGROUP "FC_36" BBOX 1 48 @@ -408,7 +408,7 @@ UGROUP "hitBuf_36" BBOX 1 1 LOCATE UGROUP "hitBuf_36" SITE "R38C59D" ; UGROUP "ff_en_36" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.36.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.36.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.36.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_36" SITE "R37C83D" ; # UGROUP "FC_37" BBOX 1 48 @@ -419,7 +419,7 @@ UGROUP "hitBuf_37" BBOX 1 1 LOCATE UGROUP "hitBuf_37" SITE "R49C59D" ; UGROUP "ff_en_37" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.37.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.37.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.37.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_37" SITE "R48C83D" ; # UGROUP "FC_38" BBOX 1 48 @@ -430,7 +430,7 @@ UGROUP "hitBuf_38" BBOX 1 1 LOCATE UGROUP "hitBuf_38" SITE "R51C59D" ; UGROUP "ff_en_38" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.38.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.38.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.38.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_38" SITE "R50C83D" ; # UGROUP "FC_39" BBOX 1 48 @@ -441,7 +441,7 @@ UGROUP "hitBuf_39" BBOX 1 1 LOCATE UGROUP "hitBuf_39" SITE "R90C132D" ; UGROUP "ff_en_39" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.39.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.39.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.39.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_39" SITE "R89C156D" ; # UGROUP "FC_40" BBOX 1 48 @@ -452,7 +452,7 @@ UGROUP "hitBuf_40" BBOX 1 1 LOCATE UGROUP "hitBuf_40" SITE "R92C132D" ; UGROUP "ff_en_40" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.40.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.40.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.40.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_40" SITE "R91C156D" ; # UGROUP "FC_41" BBOX 1 48 @@ -463,7 +463,7 @@ UGROUP "hitBuf_41" BBOX 1 1 LOCATE UGROUP "hitBuf_41" SITE "R103C132D" ; UGROUP "ff_en_41" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.41.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.41.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.41.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_41" SITE "R102C156D" ; # UGROUP "FC_42" BBOX 1 48 @@ -474,7 +474,7 @@ UGROUP "hitBuf_42" BBOX 1 1 LOCATE UGROUP "hitBuf_42" SITE "R105C132D" ; UGROUP "ff_en_42" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.42.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.42.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.42.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_42" SITE "R104C156D" ; # UGROUP "FC_43" BBOX 1 48 @@ -485,7 +485,7 @@ UGROUP "hitBuf_43" BBOX 1 1 LOCATE UGROUP "hitBuf_43" SITE "R87C132D" ; UGROUP "ff_en_43" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.43.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.43.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.43.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_43" SITE "R86C156D" ; # UGROUP "FC_44" BBOX 1 48 @@ -496,7 +496,7 @@ UGROUP "hitBuf_44" BBOX 1 1 LOCATE UGROUP "hitBuf_44" SITE "R85C132D" ; UGROUP "ff_en_44" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.44.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.44.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.44.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_44" SITE "R84C156D" ; # UGROUP "FC_45" BBOX 1 48 @@ -507,7 +507,7 @@ UGROUP "hitBuf_45" BBOX 1 1 LOCATE UGROUP "hitBuf_45" SITE "R74C132D" ; UGROUP "ff_en_45" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.45.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.45.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.45.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_45" SITE "R73C156D" ; # UGROUP "FC_46" BBOX 1 48 @@ -518,7 +518,7 @@ UGROUP "hitBuf_46" BBOX 1 1 LOCATE UGROUP "hitBuf_46" SITE "R72C132D" ; UGROUP "ff_en_46" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.46.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.46.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.46.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_46" SITE "R71C156D" ; # UGROUP "FC_47" BBOX 1 48 @@ -529,7 +529,7 @@ UGROUP "hitBuf_47" BBOX 1 1 LOCATE UGROUP "hitBuf_47" SITE "R112C132D" ; UGROUP "ff_en_47" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.47.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.47.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.47.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_47" SITE "R111C156D" ; # UGROUP "FC_48" BBOX 1 48 @@ -540,7 +540,7 @@ UGROUP "hitBuf_48" BBOX 1 1 LOCATE UGROUP "hitBuf_48" SITE "R114C132D" ; UGROUP "ff_en_48" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.48.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.48.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.48.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_48" SITE "R113C156D" ; # UGROUP "FC_49" BBOX 1 48 @@ -551,7 +551,7 @@ UGROUP "hitBuf_49" BBOX 1 1 LOCATE UGROUP "hitBuf_49" SITE "R9C132D" ; UGROUP "ff_en_49" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.49.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.49.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.49.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_49" SITE "R8C156D" ; # UGROUP "FC_50" BBOX 1 48 @@ -562,7 +562,7 @@ UGROUP "hitBuf_50" BBOX 1 1 LOCATE UGROUP "hitBuf_50" SITE "R11C132D" ; UGROUP "ff_en_50" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.50.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.50.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.50.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_50" SITE "R10C156D" ; # UGROUP "FC_51" BBOX 1 48 @@ -573,7 +573,7 @@ UGROUP "hitBuf_51" BBOX 1 1 LOCATE UGROUP "hitBuf_51" SITE "R22C132D" ; UGROUP "ff_en_51" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.51.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.51.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.51.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_51" SITE "R21C156D" ; # UGROUP "FC_52" BBOX 1 48 @@ -584,7 +584,7 @@ UGROUP "hitBuf_52" BBOX 1 1 LOCATE UGROUP "hitBuf_52" SITE "R24C132D" ; UGROUP "ff_en_52" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.52.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.52.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.52.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_52" SITE "R23C156D" ; # UGROUP "FC_53" BBOX 1 48 @@ -595,7 +595,7 @@ UGROUP "hitBuf_53" BBOX 1 1 LOCATE UGROUP "hitBuf_53" SITE "R31C132D" ; UGROUP "ff_en_53" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.53.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.53.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.53.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_53" SITE "R30C156D" ; # UGROUP "FC_54" BBOX 1 48 @@ -606,7 +606,7 @@ UGROUP "hitBuf_54" BBOX 1 1 LOCATE UGROUP "hitBuf_54" SITE "R33C132D" ; UGROUP "ff_en_54" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.54.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.54.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.54.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_54" SITE "R32C156D" ; # UGROUP "FC_55" BBOX 1 48 @@ -617,7 +617,7 @@ UGROUP "hitBuf_55" BBOX 1 1 LOCATE UGROUP "hitBuf_55" SITE "R36C132D" ; UGROUP "ff_en_55" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.55.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.55.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.55.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_55" SITE "R35C156D" ; # UGROUP "FC_56" BBOX 1 48 @@ -628,7 +628,7 @@ UGROUP "hitBuf_56" BBOX 1 1 LOCATE UGROUP "hitBuf_56" SITE "R38C132D" ; UGROUP "ff_en_56" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.56.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.56.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.56.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_56" SITE "R37C156D" ; # UGROUP "FC_57" BBOX 1 48 @@ -639,7 +639,7 @@ UGROUP "hitBuf_57" BBOX 1 1 LOCATE UGROUP "hitBuf_57" SITE "R49C132D" ; UGROUP "ff_en_57" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.57.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.57.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.57.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_57" SITE "R48C156D" ; # UGROUP "FC_58" BBOX 1 48 @@ -650,7 +650,7 @@ UGROUP "hitBuf_58" BBOX 1 1 LOCATE UGROUP "hitBuf_58" SITE "R51C132D" ; UGROUP "ff_en_58" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.58.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.58.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.58.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_58" SITE "R50C156D" ; # UGROUP "FC_59" BBOX 1 48 @@ -661,7 +661,7 @@ UGROUP "hitBuf_59" BBOX 1 1 LOCATE UGROUP "hitBuf_59" SITE "R54C132D" ; UGROUP "ff_en_59" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.59.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.59.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.59.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_59" SITE "R53C156D" ; # UGROUP "FC_60" BBOX 1 48 @@ -672,7 +672,7 @@ UGROUP "hitBuf_60" BBOX 1 1 LOCATE UGROUP "hitBuf_60" SITE "R56C132D" ; UGROUP "ff_en_60" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.60.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.60.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.60.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_60" SITE "R55C156D" ; # UGROUP "FC_61" BBOX 1 48 @@ -683,7 +683,7 @@ UGROUP "hitBuf_61" BBOX 1 1 LOCATE UGROUP "hitBuf_61" SITE "R67C132D" ; UGROUP "ff_en_61" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.61.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.61.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.61.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_61" SITE "R66C156D" ; # UGROUP "FC_62" BBOX 1 48 @@ -694,7 +694,7 @@ UGROUP "hitBuf_62" BBOX 1 1 LOCATE UGROUP "hitBuf_62" SITE "R69C132D" ; UGROUP "ff_en_62" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.62.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.62.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.62.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_62" SITE "R68C156D" ; # UGROUP "FC_63" BBOX 1 48 @@ -705,7 +705,7 @@ UGROUP "hitBuf_63" BBOX 1 1 LOCATE UGROUP "hitBuf_63" SITE "R87C59D" ; UGROUP "ff_en_63" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.63.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.63.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.63.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_63" SITE "R86C83D" ; # UGROUP "FC_64" BBOX 1 48 @@ -716,7 +716,7 @@ UGROUP "hitBuf_64" BBOX 1 1 LOCATE UGROUP "hitBuf_64" SITE "R85C59D" ; UGROUP "ff_en_64" BBOX 1 1 # BLKNAME THE_TDC/GEN_Channels.64.Channels/Channel200/ENCODER_START_OUT - BLKNAME THE_TDC/GEN_Channels.64.Channels/Channel200/ff_array_en_RNO; + BLKNAME THE_TDC/GEN_Channels.64.Channels/Channel200/ff_array_en_1_i; LOCATE UGROUP "ff_en_64" SITE "R84C83D" ; # @@ -726,192 +726,192 @@ LOCATE UGROUP "ff_en_64" SITE "R84C83D" ; ############################################################################## UGROUP "EF_LT2" BBOX 10 49 BLKNAME THE_TDC/ReferenceChannel/Channel200 - BLKNAME THE_TDC/ReferenceChannel/Buffer_128.The_Buffer + BLKNAME THE_TDC/ReferenceChannel/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.1.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.1.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.1.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_LT2" SITE "R24C2D" ; UGROUP "EF_LC1" BBOX 17 49 BLKNAME THE_TDC/GEN_Channels.2.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.2.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.2.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.3.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.3.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.3.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.4.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.4.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.4.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.5.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.5.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.5.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_LC1" SITE "R35C2D" ; UGROUP "EF_LC3" BBOX 17 49 BLKNAME THE_TDC/GEN_Channels.6.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.6.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.6.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.7.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.7.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.7.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.8.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.8.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.8.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.9.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.9.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.9.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_LC3" SITE "R71C2D" ; UGROUP "EF_LB1" BBOX 16 49 BLKNAME THE_TDC/GEN_Channels.10.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.10.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.10.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.11.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.11.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.11.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.12.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.12.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.12.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_LB1" SITE "R89C2D" ; UGROUP "EF_LT1" BBOX 16 49 BLKNAME THE_TDC/GEN_Channels.13.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.13.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.13.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.14.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.14.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.14.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.15.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.15.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.15.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.16.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.16.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.16.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_LT1" SITE "R8C2D" ; UGROUP "EF_CB1" BBOX 16 49 BLKNAME THE_TDC/GEN_Channels.17.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.17.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.17.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.18.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.18.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.18.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.19.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.19.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.19.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.20.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.20.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.20.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_CB1" SITE "R89C58D" ; UGROUP "EF_CB2" BBOX 10 49 BLKNAME THE_TDC/GEN_Channels.21.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.21.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.21.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.22.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.22.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.22.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_CB2" SITE "R105C58D" ; UGROUP "EF_LC2" BBOX 17 49 BLKNAME THE_TDC/GEN_Channels.23.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.23.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.23.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.24.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.24.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.24.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.25.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.25.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.25.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.26.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.26.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.26.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_LC2" SITE "R53C2D" ; UGROUP "EF_LB2" BBOX 10 49 BLKNAME THE_TDC/GEN_Channels.27.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.27.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.27.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.28.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.28.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.28.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_LB2" SITE "R105C2D" ; UGROUP "EF_CT1" BBOX 16 49 BLKNAME THE_TDC/GEN_Channels.29.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.29.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.29.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.30.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.30.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.30.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.31.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.31.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.31.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.32.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.32.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.32.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_CT1" SITE "R8C58D" ; UGROUP "EF_CT2" BBOX 10 49 BLKNAME THE_TDC/GEN_Channels.33.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.33.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.33.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.34.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.34.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.34.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_CT2" SITE "R24C58D" ; UGROUP "EF_CC1" BBOX 17 49 BLKNAME THE_TDC/GEN_Channels.35.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.35.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.35.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.36.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.36.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.36.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.37.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.37.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.37.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.38.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.38.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.38.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_CC1" SITE "R35C58D" ; UGROUP "EF_RB1" BBOX 16 49 BLKNAME THE_TDC/GEN_Channels.39.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.39.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.39.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.40.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.40.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.40.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.41.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.41.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.41.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.42.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.42.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.42.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_RB1" SITE "R89C131D" ; UGROUP "EF_RC3" BBOX 17 49 BLKNAME THE_TDC/GEN_Channels.43.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.43.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.43.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.44.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.44.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.44.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.45.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.45.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.45.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.46.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.46.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.46.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_RC3" SITE "R71C131D" ; UGROUP "EF_RB2" BBOX 10 49 BLKNAME THE_TDC/GEN_Channels.47.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.47.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.47.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.48.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.48.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.48.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_RB2" SITE "R105C131D" ; UGROUP "EF_RT1" BBOX 17 49 BLKNAME THE_TDC/GEN_Channels.49.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.49.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.49.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.50.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.50.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.50.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.51.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.51.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.51.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.52.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.52.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.52.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_RT1" SITE "R8C131D" ; UGROUP "EF_RT2" BBOX 17 49 BLKNAME THE_TDC/GEN_Channels.53.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.53.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.53.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.54.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.54.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.54.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_RT2" SITE "R24C131D" ; UGROUP "EF_RC1" BBOX 17 49 BLKNAME THE_TDC/GEN_Channels.55.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.55.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.55.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.56.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.56.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.56.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.57.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.57.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.57.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.58.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.58.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.58.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_RC1" SITE "R35C131D" ; UGROUP "EF_RC2" BBOX 17 49 BLKNAME THE_TDC/GEN_Channels.59.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.59.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.59.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.60.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.60.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.60.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.61.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.61.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.61.Channels/Buffer_32.The_Buffer BLKNAME THE_TDC/GEN_Channels.62.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.62.Channels/Buffer_128.The_Buffer + BLKNAME THE_TDC/GEN_Channels.62.Channels/Buffer_32.The_Buffer ; LOCATE UGROUP "EF_RC2" SITE "R53C131D" ; UGROUP "EF_CC3" BBOX 10 49 BLKNAME THE_TDC/GEN_Channels.63.Channels/Channel200 BLKNAME THE_TDC/GEN_Channels.64.Channels/Channel200 - BLKNAME THE_TDC/GEN_Channels.63.Channels/Buffer_128.The_Buffer - BLKNAME THE_TDC/GEN_Channels.64.Channels/Buffer_128.The_Buffer; + BLKNAME THE_TDC/GEN_Channels.63.Channels/Buffer_32.The_Buffer + BLKNAME THE_TDC/GEN_Channels.64.Channels/Buffer_32.The_Buffer; LOCATE UGROUP "EF_CC3" SITE "R78C58D" ; ############################################################################# diff --git a/releases/tdc_v2.2/trb3_periph_padiwa.vhd b/releases/tdc_v2.2/trb3_periph_padiwa.vhd index 3e9ec5a..94cb4cb 100644 --- a/releases/tdc_v2.2/trb3_periph_padiwa.vhd +++ b/releases/tdc_v2.2/trb3_periph_padiwa.vhd @@ -728,8 +728,8 @@ begin HIT_IN => hit_in_i(NUM_TDC_CHANNELS-1 downto 1), -- Channel start signals HIT_CAL_IN => osc_int, -- Hits for calibrating the TDC -- Trigger signals from handler - READOUT_RX => readout_rx, - READOUT_TX => readout_tx(0), + BUSRDO_RX => readout_rx, + BUSRDO_TX => readout_tx(0), -- LOGIC_ANALYSER_OUT => TEST_LINE, BUS_RX => bustdc_rx, diff --git a/releases/tdc_v2.2/trbnet_constraints.lpf b/releases/tdc_v2.2/trbnet_constraints.lpf index f099041..c86091a 100644 --- a/releases/tdc_v2.2/trbnet_constraints.lpf +++ b/releases/tdc_v2.2/trbnet_constraints.lpf @@ -11,7 +11,7 @@ MULTICYCLE TO CELL "THE_MEDIA_DOWNLINK/SCI_DATA_OUT*" 50 ns; MULTICYCLE TO CELL "THE_MEDIA_UPLINK/SCI_DATA_OUT*" 50 ns; MULTICYCLE TO CELL "THE_RESET_HANDLER/final_reset*" 30 ns; MULTICYCLE TO CELL "THE_RESET_HANDLER/trb_reset_*" 20 ns; -MULTICYCLE TO CELL "gen_SPI_THE_DAC_SPI_*io*" 20 ns; +MULTICYCLE TO CELL "gen_SPI.THE_DAC_SPI_*io*" 20 ns; MULTICYCLE TO CELL "THE_SPI_RELOAD_THE_SPI_MASTER_THE_SPI_SLIM_tx_sreg_oregio*" 20 ns; BLOCK PATH TO CELL "gen_TRIGGER_LOGIC_THE_TRIG_LOGIC/out_*"; -- 2.43.0