From 1acc3e8fd8debec6fbc34b038bf3ecc685b7d1da Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Wed, 10 Nov 2010 10:44:17 +0000 Subject: [PATCH] *** empty log message *** --- trb_net_components.vhd | 3 +++ 1 file changed, 3 insertions(+) diff --git a/trb_net_components.vhd b/trb_net_components.vhd index 8d80a5a..0e0edbf 100644 --- a/trb_net_components.vhd +++ b/trb_net_components.vhd @@ -1106,6 +1106,9 @@ end component trb_net16_med_scm_sfp_gbe; STATUS_OUT : out std_logic_vector(127 downto 0); STAT_DATA_BUFFER_LEVEL : out std_logic_vector(DATA_INTERFACE_NUMBER*32-1 downto 0); STAT_HEADER_BUFFER_LEVEL : out std_logic_vector(31 downto 0); + TIMER_TICKS_IN : in std_logic_vector(1 downto 0); + STATISTICS_DATA_OUT : out std_logic_vector(31 downto 0); + STATISTICS_ADDR_IN : in std_logic_vector(4 downto 0); --Debug DEBUG_DATA_HANDLER_OUT : out std_logic_vector(31 downto 0); -- 2.43.0