From 223fddcbe13291d4c834defcd6f5c9d6c927d71c Mon Sep 17 00:00:00 2001 From: hadaq Date: Wed, 19 Oct 2011 13:51:27 +0000 Subject: [PATCH] *** empty log message *** --- xilinx/virtex4/xilinx_fifo_19x16_obuf.ngc | 2 +- xilinx/virtex4/xilinx_fifo_19x16_obuf.vhd | 1840 +++++++++++++++++++-- xilinx/virtex4/xilinx_fifo_19x16_obuf.xco | 34 +- 3 files changed, 1712 insertions(+), 164 deletions(-) diff --git a/xilinx/virtex4/xilinx_fifo_19x16_obuf.ngc b/xilinx/virtex4/xilinx_fifo_19x16_obuf.ngc index a015847..e254123 100644 --- a/xilinx/virtex4/xilinx_fifo_19x16_obuf.ngc +++ b/xilinx/virtex4/xilinx_fifo_19x16_obuf.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.4e -$27`41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?2:2;0>6?89>0<5ON1:30?4(79=1:97GAPTV9EABUI5;?6=0>4:36>LHW]]0JHI\M<0694;753831EC^ZT;fjj97?294:86?6:NWWTPR=lf0<650?6867D59;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?30?64=G\^[YY4KO@>05?699:18>7AZTQWW>AIE4:;1<3?440380?OIX\^1HD^N<283:47<<3CE\XZ5DHRA86<768:087GAPTV9S@G;;3:5==5;:HLSQQe:69MKVR\3zycjQjmqvz[qnumzb7?7>12497>LHW]]0{~biPelrw}ZrozlycSl}|esv?7?69:<1?6D@_UU8svjaXmdzuRzgrdqk[kc`i}o7?7>11e97>LHW]]0{~biPftno[qnumzb7?7>12597>LHW]]0{~biPftno[qnumzbTm~}jru>0>585<2>1EC^ZT;rqkbYa}efTxe|jsi]mabgsm591<3?=;58LQQVR\3ND\L2<:1<26>2=G\^[YY4KOQ@?7?69n2>}`u:?fg320=+68;1>895:5572<>3=G\^[YY4kauc\gjsi|5>1<3?m;48LQQVR\3xoSio{a^alqkr;<3:5=o5::NWWTPR={UomyoPcnwmp92=87;0:?592595==?53?K?7;ONA395A1=1NO<:7::479G20>1ANL>04?M<4::;3=7<1=12<=>;;823=1=>>:I97L<=;@;7?DG1111JHI\N<1<:?DBCZH6:<374AEFQE976601JHI\N<00==>GCL[K7=>0m;@FGVD:6<3:556OKDSC?518?3HNO^L2>>99B@ATF4;437LJKR@>0:==FLMXJ0907;@FGVD:2611JHI\N<7<;?DBCZH6<255NDEPB8=8?3HNO^L26>99B@ATE49427LJKRC>24;?>89B@ATE488556OKDS@?568e3HNO^O2>4;2==>GCL[H7=907;@FGVG:6611JHI\M<3<;?DBCZK68255NDEPA818?3HNO^O2:>99B@ATE4?437LJKRC>4:==FLMXI0507;@FGVG:>6=1JJ?=6;@QZJFYUMN>0NL;B68GD>Fi2IJ_NOKEHLBe>EF[JKOID@Mb:ABWFGCMF__Mo5LARAB@@IR\K80OH<4CH68GIMF<2IGGO=4CMP:?FIJE@^_II94CSGBP@B63M>0H=:?2:FJ2>BNI5:5;6JFA=33:2=CAH6:=394DHC?57803MCJ0<=17:FJE9736>1OEL2>5?58@LG;9?4<7IGN<05=3>BNI5;32:5KI@>2=;01OEL2=3?58@LG;:=4<7IGN<37=3>BNI58=2:5KI@>13;1508;EKB87?9>2NBM1<17:FJE957601OEL2<1;2=3>BNI59:2;5KI@>0:3=CAH6?2;5KI@>6:3=CAH6=2;5KI@>4:3=CAH632;5KI@>::3=CAK6;2:5KIC>24;169GMG:6<7=0HDL314<4?AOE48<5;6JFB=34:2=CAK6:4394DH@?5<813MCI0<08;EKA8769?2NBN1<>>69GMG:5:7=0HDL322<4?AOE4;>5;6JFB=06:2=CAK69:394DH@?62803MCI0?617:FJF94>6?1OEO2=>69GMG:48730HDL33083:2=CAK68=384DH@?7;089GMUG;;3:5;6JFP@>0:2=CAYH7<394DHRA84803MC[N1<19:FJTG:4294<7IG_B=1=2>BHI5:5;6J@A=33:2=CGH6:=394DNC?57803MEJ0<=17:FLE9736>1OCL2>5?58@JG;9?4<7IAN<05=3>BHI5;32:5KO@>2=;01OCL2=3?58@JG;:=4<7IAN<37=3>BHI58=2:5KO@>13;1508;EMB87?9>2NDM1<17:FLE957601OCL2<1;2=3>BHI59:2;5KO@>0:3=CGH6?2;5KO@>6:3=CGH6=2;5KO@>4:3=CGH632;5KO@>::2=CGHUYIJ84DN@?4;169GKG:6;7=0HBL315<4?AIE48?5;6J@B=35:2=CGK6:;394DN@?5=803MEI0<716:FLF979?2NDN169GKG:597=0HBL323<4?AIE4;95;6J@B=07:2=CGK699394DN@?63803MEI0?917:FLF94?6>1OCO2=9?48@JD;:7=0HBL331<:?AIE4:;1<394DN@?74813MEI0>09;EMA81813MEI0809;EMA83813MEI0:09;EMA8=813MEI0408;EMA[WC@?2ND\L2?>69GKUG;97=0HB^N<3<:?AIWI591<394DNRB86803ME[N1>17:FLTG:66>1OC]L32?;8@JVE4:0;2:5KOQ@?7;5=1MJ5>;;GDE20=Aieyn=6I<;FLG5>O53@:97D?=;H01?L5?3@DBX]Q?099JJLRWW9;37D@FTQ]36==NF@^[S==7;HLJPUY7<11BBDZ__17;?LHN\YU;:55FNHVS[51>3@DBX^ZNTD58MKOSW9:<7D@FT^223>OIA]U;>:5FNHV\461=0ECG[_1:4?LHN\V:2;6GAIU]3E2=NF@^TK7:KMMQY7M>1BBDZP0G58MKOSW8:<7D@FT^323>OIA]U:>:5FNHV\561669JJLRX9>=0ECG[_0:4?LHN\V;2;6GAIU]2E2=NF@^T=O94IOKW[4E03@DBXR?K7:KMMQY6M>1BBDZP1G58MKOSW;:<7D@FT^023>OIA]U9>:5FNHV\661=0ECG[_3:4?LHN\V82;6GAIU]1E2=NF@^T>O94IOKW[7E03@DBXR1BBDZP2G58MKOSW::<7D@FT^123>OIA]U8>:5FNHV\761:8;HLJPZ52?2CEEYQ<669JJLRX;>=0ECG[_2:4?LHN\V92;6GAIU]0E2=NF@^T?O94IOKW[6E03@DBXR=K7:KMMQY4M>1BBDZP3G48MKOSWH<0ECG[_C;8MKOSWOCGI>5FNW31?LHQM[^TOAEPDNC\V@A6:2CEZH\[_BNH[AIEW[OL?6G@B39OM7=KG?1GCLJJD69OKBODIE>0@XZ=6:NVP7YC<2F^X>:4LTV72>JR\=UG46CZLU]BJA3Kfbfx]i}fooa8Ilhhz_oydaa2:L27>H68:1E=<=4N000?K74;2D:8>5A1418J4043G;829M5<4<;O027>H5::1E>>=4N361?K543G9<86@<7718J6>33G93<95A3907?K5?<=1E?5;;;O1;21=I;1=?7C=7829M7<55A6318J3543G5A7518J2343G==?6@8729M3=5397C6<;O:37>H?9:1E4?=4N910?K>3;2D3;?5A9g9MFZDR[YCES]\@PR58J@RPG[A?7CAAE09L6>IL92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;P48VDKE9?l0^LCPEYVFJVOHFVKm7_OB_DZWAKUNGGUIo6\JAE]TMAROWHi0^HOK_VKGPMYE>2XNKNKN6:PFCFCE92Y37^OYEECWE45<[@GTOBBCIRKLJZEOMJA=7^AZRBG4?VTQIEUJ;6]]V@N\F1=T[[K?7^]]B59W]UC5l2_;#j|i.sd,ci6)zm%l~< hdbd-`f)ck&Ghcx`{_bmvjq75m2_;#j|i.sd,ci6)zm%l~< hdbd-`f)ck&Ghcx`{_bmvjq77:l1^<"i}f/pe+bj7&{n$k?!geae*ae(lj%Fob{at^alqkr6;;n0Y=!hrg,qb*ak8'xo#j|>.ff`b+bd'mi$Anaznu]`kphs<;n0Y=!hrg,qb*ak8'xo#j|>.ff`b+bd'mi$Anaznu]`kphs?:h0Y=!hrg,qb*ak8'xo#j|>.ff`b+bd'mi$Anaznu]`kphsWqey0=0>1^KMRZ64=2_;#j|i.sd,ci6)zm%l~< hdbd-`f)ck&Ghcx`{_bmvjqYg{6:2!re-dv4(`ljl%hn!kc.O`kphsWje~byQwos>1:474>2_;#j|i.sd,ci6)zm%l~< hdbd-`f)ck&Ghcx`{_bmvjqYg{682349V4*aun'xm#jb?.sf,cw7)omim"im db-Ngjsi|VidyczPxnp?7;75;?1^<"i}f/pe+bj7&{n$k?!geae*ae(lj%Fob{at^alqkrXpfx783?>1278Q5)`zo$yj"ic0/pg+bt6&nnhj#jl/ea,Ifirf}Uhcx`{_ymq8186:;=0Y=!hrg,qb*ak8'xo#j|>.ff`b+bd'mi$ob{at^213>S7'nxm"h gm2-va)`z8$lhnh!db-gg*eh}g~T=?94U1-dvc(un&mg<#|k/fp2*bbdn'nh#im cnwmpZ45?2_;#j|i.sd,ci6)zm%l~< hdbd-`f)ck&idyczP3358Q5)`zo$yj"ic0/pg+bt6&nnhj#jl/ea,gjsi|V>9h6[?/fpe*w`(oe:%~i!hr0,d`f`)lj%oo"m`uov\jjr789;9i6[?/fpe*w`(oe:%~i!hr0,d`f`)lj%oo"m`uov\jjr789;:>l5Z0.eqb+ta'nf;"j gs3-vcqa|&XJAR\K_DL\BR@S9;30Y=!hrg,qb*ak8'xo#j|>.sdtbq)UIDUYIJAZT^GM57`<]9%l~k }f.eo4+tc'nx:"hxfu-`qwtXn~lS~zntd]EBa74:2_;#j|i.sd,ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[C@c9$Ce?>5Z0.eqb+ta'nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLMh<#Fn00e?P6(o{l%~k!hl1,q`*au9'xm{kz ctpq[cqa|VymykPFGf177=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DE`7+Nf:90Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YANm8&Ec?=8:W3+bta&{l$ka>!re-dv4(un~l#hctx]escrXnk8<7X> gsd-vc)`d9$yh"i}1/pescr(mdzuRhxfu]j6`=R8&myj#|i/fn3*wb(o{;%~kyit.gntqXn~lSdQ`r12347`<]9%l~k }f.eo4+tc'nx:"hxfu-fiur~Wo}mxRgPos234575=2_;#j|i.sd,ci6)zm%l~< }fvdw+pubzV|j`dj=9:W3+bta&{l$ka>!re-dvvu)zz~x#ob_dosp|YajVc8=6[?/fpe*w`(oe:%~i!hrrq-vvrt'{kfShctx]efZoXe|r;<=><4:W3+bta&{l$ka>!re-dvvu)zz~x#ob_dosp|YajVcTc>?01122=5?3\:$kh!rg-dh5(ul&my~ }suq,vdkXmdzuRhm_h]lv5678:;=4R]X02:8Q5)`zo$yj"ic0/pg+btt{'xxx~!}al]fiur~WohTeRa}0123740?WZ]:??5Z0.eqb+ta'nf;"j gsqp*wus{&xjaRkbpu{\bgYnWfx;<=><2208Q5)`zo$yj"ic0/pg+btt{'xxx~!}al]fiur~WohTeRa}012327553\:$kh!rg-dh5(ul&my~ }suq,vdkXmdzuRhm_h]lv5678><8>6[?/fpe*w`(oe:%~i!hrrq-vvrt'{kfShctx]efZoXg{:;<=67379V4*aun'xm#jb?.sf,cwut&{y"|nm^gntqXnkUbSb|?012\idv6;:<0Y=!hrg,qb*ak8'xo#j||s/pppv)uidUna}zv_g`\mZiu89:;S`o2715?P6(o{l%~k!hl1,q`*au{z$yy} r`o\ahvsqVliSdQ`r1234Zkfx;29?6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr4:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by8=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp<553\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<1<25ZOI^V:9o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv979988h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq87869;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?7;769o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;87;m7X> gsd-vc)`d9$yh"|nup,gjsi|5;5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~7>3?i;T2,cw`)zo%l`= }d.psjqt(kfex1=11d9V4*aun'xm#jb?.sf,vuhsz&idyczP00g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_03f?P6(o{l%~k!hl1,q`*twf}x$ob{at^02a>S7'nxm"h gm2-va)uxg~y#naznu]067=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:76;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;7=3<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><3<16>S7'nxm"h gm2-va)uxg~y#naznu]g5959:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U;><5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th209V4*aun'xm#jb?.sf,vuhsz&idyczPd0]164=R8&myj#|i/fn3*wb(zyd~"m`uov\`4Y4:=1^<"i}f/pe+bj7&{n$~}`{r.alqkrXff~;<=?!ws-dsvu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2?>2d8Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;S7'nxm"h gm2-sw)`zy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>1:6`<]9%l~k }f.eo4+qu'n}x#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az868382_;#j|i.sd,ci6){%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;97>;7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6929>4U1-dvc(un&mg<#y}/fupw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vf~x1=1419V4*aun'xm#jb?.vp,crut&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<5<74>S7'nxm"h gm2-sw)`zy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pxnp?5;273\:$kh!rg-dh5(pz&m|~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]{kw:56=:0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%FmijPdhde[uhszVzjhRokd1234Z~hz5958=5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey090;5:W3+bta&{l$ka>!ws-dsvu)oyl%{~i/LqvfZvugnUmyabPtipfwmYt}kU{bQwos>1:473=2_;#j|i.sd,ci6){%l{~}!gqd-swva'Dy~nR~}of]eqijX|axneQ|uc]wsjYg{682!ws-dsvu)oyl%{~i/O615>S7'nxm"h gm2-sw)`zy%k}h!wsre+K3592_;#j|i.sd,ci6){%l{~}!gqd-swva'G<9=6[?/fpe*w`(oe:%{!hwrq-cu`){zm#C9=f:W3+bta&{l$ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{mi2>>3d8Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok<3<1b>S7'nxm"h gm2-sw)`zy%k}h!wsre+aoanVzexQae>0:7`<]9%l~k }f.eo4+qu'n}x#if/uqtc)caolT|cz}_qcg8185m2_;#j|i.sd,ci6){%l{~}!gqd-swva'mcmjR~ats]seaY6:l1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&nbjkQnup\tdbX:;o0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%oekhPpovq[ugcW:8n7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvflV>8;6[?/fpe*w`(oe:%{!hwrq-cu`){zm#igif^rmpwYwimUjhi>?01>2:61<]9%l~k }f.eo4+qu'n}x#if/uqtc)caolT|cz}_qcg[dbc89:;0?0<7:W3+bta&{l$ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{miQnde2345:46:=0Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%oekhPpovq[ugcWhno<=>?<5<1e>S7'nxm"h gm2-sw)`zy%k}h!wsre+uthoVl~`aQf379V4*aun'xm#jb?.vp,crut&nzm"z|f.rqkbYa}efTeRmbp^ew45679:80Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%{~biPftno[lYj}q:;<;=:;T2,cw`)zo%l`= xr.etwv(`xo$|~}h psmd[cskdVcTaxv?014245523\:$kh!rg-dh5(pz&m|~ hpg,tvu`(x{elSk{cl^k\ip~789<:>?=:;T2,cw`)zo%l`= xr.etwv(`xo$|~}h psmd[cskdVcTaxv?014204533\:$kh!rg-dh5(pz&m|~ hpg,tvu`(x{elSk{cl^k\ip~789<=<>:4U1-dvc(un&mg<#y}/fupw+awn'}y|k!rne\bpjkW`Ufyu>?07:;7==R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*vugnUmyabPi^ov|567>12T_Z><8:W3+bta&{l$ka>!ws-dsvu)oyl%{~i/qplcZ`rdeUbS`{w0125[hgw:<937X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$|ah_gwohZoXe|r;<=8Pm`r1<6><]9%l~k }f.eo4+qu'n}x#if/uqtc)wzfmTjxbc_h]nq}678?Ufm}=?399V4*aun'xm#jb?.vp,crut&nzm"z|f.rqkbYa}efTeRczx1232Zkfx:=8>6[?/fpe*w`(oe:%{!hwrq-cu`){zm#}|`g^dvhiYnWge<=>>329V4*aun'xm#jb?.vp,crut&nzm"z|f.rqkbYa}efTeR``t123545f3\:$kh!rg-dh5(pz&m|~ hpg,tvu`(x{elSk{cl^vkv`uoWziSyy`<3<0e>S7'nxm"h gm2-sw)`zy%k}h!wsre+uthoVl~`aQ{hsgplZurjV~|c1=12`9V4*aun'xm#jb?.vp,crut&nzm"z|f.pbiZtcWldTe?o4U1-dvc(un&mg<#y}/fupw+awn'}y|k!}al]tvZciW`927X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$~iQnup\swYnfU{mi2?>^obja5f3\:$kh!rg-dh5(pz&m|~ hpg,tvu`(zmU{by|Pws]jjsYwim6;2Rcnne30g>S7'nxm"h gm2-sw)`zy%k}h!wsre+rtXxg~yS}bzs0]seaY7Whdo<=>?1005?P6(o{l%~k!hl1,tv*ap{z$|y} c0-gkprXa8=9:6[?/fpe*w`(oe:%{!hwrq-svrt'j;$hb{{_h7063=R8&myj#|i/fn3*rt(o~yx"z}{s.a2+air|Vc>9?o4U1-dvc(un&mg<#y}/fupw+qt|z%h="j`uu]j[hgw:8827X> gsd-vc)`d9$|~"ixsr,twqu(k8%ocxzPi^obt<473\:$kh!rg-dh5(pz&m|~ xsuq,gjkw9;=0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUjjxbc_g`1a>S7'nxm"h gm2-sw)`zy%{~z|/scn[d`rdeUmnRa}012374=R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZga}efTjoQ`r1234463;:1^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVkmyabPfc]lv56788:?SK=<;T2,cw`)zo%l`= xr.etwv(p{}y$~lcPagwohZ`eWfx;<=>>05]D75=R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZga}efTjoQ`r123445482_;#j|i.sd,ci6){%l{~}!wrvp+wgjWhl~`aQib^mq4567<19;7X> gsd-vc)`d9$|~"ixsr,twqu(zhgTmk{cl^da[jt789:<4>:4U1-dvc(un&mg<#y}/fupw+qt|z%ym`Qnftno[cdXg{:;<=Qbaq3;71=R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZga}efTjoQ`r1234Zkfx:;8?6[?/fpe*w`(oe:%{!hwrq-svrt'{kfSlhzlm]efZiu89:;S`o63:8Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]eqijXkfgi>l5Z0.eqb+ta'nf;"z| gvqp*rus{&xjaRhzlm]`khdXN;k0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa[B4?3\:$kh!rg-dh5(pz&m|~ xsuq,vdkXn|fgSklPi378Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]eqijXa;>0Y=!hrg,qb*ak8'}y#jy|s/uppv)pzVxxxRj>1e9V4*aun'xm#jb?.vp,vdkX{UnbRg>229V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d??:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov561=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}38>6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv96998UBB[Q?2b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{6:2!ws-ttkru'DidyczPcnwmpZ~hz585=<f:W3+bta&{l$ka>!ws-ttkru'je~by2>>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<3<2b>S7'nxm"h gm2-sw)pxg~y#naznu>0:4c<]9%l~k }f.eo4+qu'~zex!lotlw[57b3\:$kh!rg-dh5(pz&}{by| cnwmpZ76m2_;#j|i.sd,ci6){%||cz}/bmvjqY59l1^<"i}f/pe+bj7&~x${}`{r.alqkrX;;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7<3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><0<16>S7'nxm"h gm2-sw)pxg~y#naznu]g5949:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8682??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P0338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\577<]9%l~k }f.eo4+qu'~zex!lotlw[a7X:;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T??<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<30?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18485:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:585>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?2<>338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\477<]9%l~k }f.eo4+qu'~zex!lotlw[a4X9;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T>??4U1-dvc(un&mg<#y}/vrmpw)dg|dSio1^<"i}f/pe+hcj'nhf"jlbg/eaibd)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}alnlku]7U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY;<7X> gsd-vc)uidUyijazt^gm5a=R8&myj#|i/sqwfim(zmUyyQnssgd65=R8&myj#|i/sqwfim(zmUyyQnssgd[a7582_;#j|i.sd,vvredb%yhR||t^cpv`aXl;;h7X> gsd-vc)u{}hgg"|k_sqw[fijj8n0Y=!hrg,qb*tt|kf`#jPrrv\gjke98o0Y=!hrg,qb*tt|kf`#jPrrv\v`a;:7;o7X> gsd-vc)u{}hgg"|k_sqw[wc`W;;o7X> gsd-vc)u{}hgg"y}_sqw[duumn8;7X> gsd-vc)u{}hgg"y}_sqw[duumnUo=?>4U1-dvc(un&xxxobd/vp\vvrXizxnkRj=1b9V4*aun'xm#}{bmi,swYu{}Uhc`l>d:W3+bta&{l$~~zmlj-tvZtt|Vidao?>e:W3+bta&{l$~~zmlj-tvZtt|Vxnk1?11e9V4*aun'xm#}{bmi,swYu{}UyijQ>a:WPAWYFD@KXo6[\ES]UMVOEDL90ZNM6;WKFSZR^XL<0[HO30?48S@G;97<0[HO32?:8S@G;;3:5:6YJA=1=2>QBJ5:5:6YJB=3=2>QBJ58546YJB=194;0<_LH7?3l4WSKWAZ@NZZ^h7Z\FTD]NKACXIj1\^DZJ_LMGAZDc3^XBXHQXIEVK[Db<_[C_IRYFDUJ\F4b^T\VMEH:5WSU]UGFd^XKFXNSD@IO^NJWQ00:ZgiZKfbfx]i}foo33?]bjWDcecXjrrkljf=fddexxRkbpu{a?djjgz~Tjxbc6:`bgnswl2hjof{_lcqo`t43jf`h6jnt`]`kphs 9#o7io{a^alqkr/9 n0hlzn_bmvjq.5!m1omyoPcnwmp-5.l2njxlQlotlw,1/c3mkmRm`uov?4;b2:a=ci}kTob{at=0=`>bf|hUhcx`{<2bf|hUhcx`{<5<4?adn|lxy:6jfn)2*2>bnf!;";6jfn)33-2=cag":=$94dhl+57/03mce$<=&7:fjj-73!>1oec&>5(58`lh/9?#<7iga(05*3>bnf!;3%;5kio*1-3=cag"8%;5kio*7-3=cag">%;5kio*5-3=cag"<%;5kio*;-3=cag"2%;5kio>3:2=cag6:<394dhl?54803mce0<<17:fjj9746>1oec2>4?58`lh;9<4<7iga<04=3>bnf5;<245kio>279gmk:66?1oec2=>79gmk:46?1oec2;>79gmk:26?1oec29>79gmk:06?1oec27>79gmk:>6>1ocxz'0(58`jss 8#37iazt)33-==cg|~#=<'7;emvp-75!11ocxz'12+;?air|!;?%55kotv+50/?3me~x%?9)99gkpr/9>#37iazt)3;-2=cg|~#>$94dnww,6/03me~x%:&7:flqq.2!>1ocxz'6(58`jss >#<7iazt):*3>bh}}"2%:5kotv?4;>18:flqq:69720hb{{<00=<>bh}}6:?364dnww842902ndyy2>5?:8`jss48<546j`uu>23;g2:2=cg|~7>394dnww86803me~x1:17:flqq:26>1ocxz36?58`jss4>4<7iazt=:=3>bh}}62255jdhd1jbb23lg{xt<7;gkekZabflxjxb|Pv5]1(%Ehzlmnb-7!2/27mZow:=1myab8;hlw[fjl02dloo{fle:8kscunee|m6~}of]fiur~9=1{~biPelrw}Zrozlyc$='>4:rqkbYbey~rSyf}erj+5,733yxdkRkbpu{\pmtb{a"9%<:4psmd[`kw|pUdk|h)1*53=wzfmTi`~{y^vkv`uo4:0;2vugnUna}zv_ujqavnXflmjxh&>)0`8twi`Wlg{xtQ{hsgplZhboh~n$?'>b:rqkbYbey~rSyf}erj\j`af|l"8%5rne\bpjkW}byi~f32?36?uthoVl~`aQ{hsgpl95=87;87}|`g^dvhiYs`{oxd1=11`9svjaXn|fgSyf}erj\evubz}";%vugnUmyabPtipfwmYimnki%>&1`9svjaXn|fgSyf}erj\j`af|l":%4re]geqgXkfex1>1139q`Zbf|hUhcx`{<583:0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus:;1a}!Pcf-{mioipVlbjbQ>9y35[jdrn'dlc45znegqbiip=2|j`dj9;vp\efm13~xToae>0:uq[agsiVidycz'0(33?rtXlh~jSnaznu*2-46<{UomyoPcnwmp-4.991|~Rjnt`]`kphs :#:<6y}_ecweZeh}g~#8$?=;vp\`drfWje~by2;:1<6?rtXmg<0{Q}su35?}okagrTjdh`_0;{53Yhj|l8<6vflhl{[coagV;2t<8Pocwe[coagVmnbh|ntnp\r1Y5WqySFGp:>o6K4;:0yP2<<49<036<==b6;f>4be1oqe>o:51:l1f0<33-8i>74be1o1X=;h530c94?74:k=2i7?kbb:8W3>=;8k1<7?<2c5:a?7cjjk0h>;n:182>4}T>008=847:011f2?b28ni5k5+2c29741<~];o<7>51;39e3}T>008=847:011f2?b28ni5k5+28`9526<^;h86?uz16;95>s6?h0;7p*>c082b>d4=h0;6>853;15M4>12P>o7:t1`8`>g<5:3w/=i;534c8 7d72:;<7W6;29?l5483:1(3:1(07b!7d;39;m6`>c3825>=h:lo1<7*>c2804d=i9j81=?54i3f2>5<#9j91>h=4n0a1>5=5<#9j91>h=4n0a1>7=54i3ag>5<#9j91>h=4n0a1>1=5<#9j91>h=4n0a1>3=5<#9j91>h=4n0a1>==5<#9j91>h=4n0a1>d=5<#9j91>h=4n0a1>f=6=4+1b196`55<#9j91>h=4n0a1>`=5<#9j91>h=4n0a1>46<3`8h47>5$0a0>7c43g;h>7?>;:k1g2<72-;h?7oh50;&2g6<5k=1e=n<50:9l6gc=83.:o>4=c59m5f4=921d>oj50;&2g6<5k=1e=n<52:9l6ge=83.:o>4=c59m5f4=;21d>ol50;&2g6<5k=1e=n<54:9a6tH3;:?!7c=382m6a>c183>>{e98=1<7?50;2xL7?>3-;o97?>7:m253<722wi9o4?:7793=rB9545U5b822~7028h1>>4>8;07>4g=k3h1=n4=2;3:>74=:=0h6?=5198a>4e=9k0:m7?6:059y!7c=39>n6*>6b8250=#:k:1?<94$0`a>4da3f8i:7>5;h17=?6=3`99<7>5$0a0>6463g;h>7>4;h12b?6=,8i86><>;o3`6?7<3`9:i7>5$0a0>6463g;h>7<4;h12`?6=,8i86><>;o3`6?5<3f99o7>5;h17g?6=3f9?j7>5;h10=?6=,8i86>=n;o3`6?6<3`9847>5$0a0>65f3g;h>7?4;h103?6=,8i86>=n;o3`6?4<3`98:7>5$0a0>65f3g;h>7=4;h175;n12f?6=3`8i57>5;n171?6=,8i86>:8;o3`6?6<3f9?87>5$0a0>6203g;h>7?4;n177?6=,8i86>:8;o3`6?4<3f9?>7>5$0a0>6203g;h>7=4;n175?6=,8i86>:8;o3`6?2<3`9997>5$0a0>6413g;h>7>4;h110?6=,8i86><9;o3`6?7<3`99?7>5$0a0>6413g;h>7<4;h116?6=,8i86><9;o3`6?5<3`9>47>5;n162?6=,8i86>;8;o3`6?6<3f9>97>5$0a0>6303g;h>7?4;n160?6=,8i86>;8;o3`6?4<3f9>?7>5$0a0>6303g;h>7=4;n166?6=,8i86>;8;o3`6?2<3f9:47>5;n122?6=3`9>=7>5;h104?6=,8i86>=;;o3`6?6<3`99j7>5$0a0>6533g;h>7?4;h11a?6=,8i86>=;;o3`6?4<3`99h7>5$0a0>6533g;h>7=4;h12=?6=3`8im7>5;h16=?6=3f9?n7>5;n164?6=3f9?i7>5;h17e?6=3f8m47>5$0a0>66f3g;h>7>4;n0e3?6=,8i86>>n;o3`6?7<3f8m:7>5$0a0>66f3g;h>7<4;n0e1?6=,8i86>>n;o3`6?5<3f8m87>5$0a0>66f3g;h>7:4;n0e7?6=,8i86>>n;o3`6?3<3f8m>7>5$0a0>66f3g;h>784;n0e5?6=,8i86>>n;o3`6?1<3f8m<7>5$0a0>66f3g;h>764;n134?6=,8i86>>n;o3`6??<3f8mj7>5$0a0>66f3g;h>7o4;n0ea?6=,8i86>>n;o3`6?d<3f8mh7>5$0a0>66f3g;h>7m4;n0eg?6=,8i86>>n;o3`6?b<3f8mn7>5$0a0>66f3g;h>7k4;n0ee?6=,8i86>>n;o3`6?`<3f8m57>5$0a0>66f3g;h>7??;:m1ac<72-;h?7=?a:l2g7<6921d>hk50;&2g6<48h1e=n<51398m7b6290/=n=52d18j4e52910e?j?:18'5f5=:l90b290/=n=52d18j4e52110e?j6:18'5f5=:l90bo5k>0;6)?l3;0f7>h6k;0:>65`2c594?=n:k21<75f32g94?"6k:08?k5a1b094>=n;:n1<7*>c2807c=i9j81=65f32a94?"6k:08?k5a1b096>=n;:h1<7*>c2807c=i9j81?65`2cd94?"6k:09o95a1b094>=h:ko1<7*>c281g1=i9j81=65`2cf94?"6k:09o95a1b096>=h:ki1<7*>c281g1=i9j81?65`2c`94?"6k:09o95a1b090>=h:j<1<75f33c94?"6k:08>o5a1b094>=n;;31<7*>c2806g=i9j81=65f33:94?"6k:08>o5a1b096>=n;;=1<7*>c2806g=i9j81?65f35294?=e:ho1<7?50;2x 4b228;<7E5<6290;w)?k5;0:e>N5im1C>474o0a3>5<53;294~"6l<0:3A?27)?=5;38m0b=831b:l4?::m2g0<722wi>4=50;194?6|,8n>6<>l;I0b`>N5101C945+13795>o2l3:17d8n:188k4e22900qo<7b;297?6=8r.:h84>0b9K6db<@;327E;6;%311?7>i6k<0;66sm28394?3=83:p(h7>5;h40>5<5<4290;w)?k5;33g>N5im1C>474H4;8 442281b9i4?::k5e?6=3f;h97>5;|`1"6:<0:7d;k:188m35=831b:l4?::k2g1<722e:o84?::a6<6=83>1<7>t$0f6>46a3A8jh6F=989'573=92c>h7>5;h4b>5<5<54;294~"6l<0:3-;997?4i4f94?=n>h0;66g>c583>>i6k<0;66sm1g494?2=83:p(o2l3:17d8n:188m4e32900c3:197>50z&2`0<68l1C>lj4H3;:?!75=3;0e8j50;9j26<722c=;7>5;h4b>5<6=44}c023?6==3:1d4824`=O:hn0D?76;%311?7>o1?3:17d8n:188k4e22900qo<>8;291?6=8r.:h84>0d9K6db<@;327)?=5;38m0b=831b:>4?::k53?6=3`5<55;294~"6l<0:3-;997?4i4f94?=n>:0;66g97;29?l0f2900c50z&2`0<68l1C>lj4H3;:?!75=3;0e8j50;9j26<722c=;7>5;h4b>5<6=44}c0;0?6==3:1d4824`=O:hn0D?76;%311?7>o1?3:17d8n:188k4e22900qo<75;291?6=8r.:h84>0d9K6db<@;327)?=5;38m0b=831b:>4?::k53?6=3`5<55;294~"6l<0:3-;997?4i4f94?=n>:0;66g97;29?l0f2900c50z&2`0<68l1C>lj4H3;:?!75=3;0e8j50;9j26<722c=;7>5;h4b>5<6=44}c04`?6==3:1d4824`=O:hn0D?76;%311?7>o1?3:17d8n:188k4e22900qo<8c;291?6=8r.:h84>0d9K6db<@;327)?=5;38m0b=831b:>4?::k53?6=3`5<55;294~"6l<0:==5G2`f8L7?>3-;99794i4f94?=n>:0;66g9a;29?l7d<3:17b?l5;29?xd5?=0;684?:1y'5a3=98:0D?ok;I0:=>"6:<0<7d;k:188m35=831b:l4?::k2g1<722e:o84?::a5`4=83?1<7>t$0f6>46b3A8jh6F=989'573=92c>h7>5;h40>5<>i6k<0;66sm1d194?3=83:p(o2l3:17d8<:188m31=831b:l4?::m2g0<722wi=h:50;794?6|,8n>6<>j;I0b`>N5101/=?;51:k6`?6=3`<86=44i7594?=n>h0;66a>c483>>{e9l?1<7;50;2x 4b228:n7Et$0f6>46b3A8jh6F=989'573=92c>h7>5;h40>5<>i6k<0;66sm26194?3=83:p(o2l3:17d8<:188m31=831b:l4?::m2g0<722wi>:;50;794?6|,8n>6<>j;I0b`>N5101/=?;51:k6`?6=3`<86=44i7594?=n>h0;66a>c483>>{e:><1<7;50;2x 4b228:n7Et$0f6>4773A8jh6F=989'573=?2c>h7>5;h40>5<5<2290;w)?k5;33a>N5im1C>474$006>4=n=m0;66g93;29?l002900e;o50;9l5f3=831vn?>i:186>5<7s-;o97??e:J1ea=O:030(<<::09j1a<722c=?7>5;h44>5<6<5f5e83>>o1;3:17d88:188m3g=831d=n;50;9~f732290?6=4?{%3g1?77n2B9mi5G28;8 442281b9i4?::k5e?6=3`;h87>5;n3`1?6=3th9:84?:583>5}#9m?1==h4H3cg?M4>12.:>848;h7g>5<5<3290;w)?k5;33b>N5im1C>474$006>4=n=m0;66g9a;29?l7d<3:17b?l5;29?xd5>=0;694?:1y'5a3=99l0D?ok;I0:=>"6:<0:7d;k:188m3g=831b=n:50;9l5f3=831vn5<7s-;o97??d:J1ea=O:030(<<::09j1a<722c=;7>5;h4b>5<6=44}c3fa?6=<3:1d4824a=O:hn0D?76;%311?7>o1i3:17b?l5;29?xd6n<0;694?:1y'5a3=99n0D?ok;I0:=>"6:<0:7d;k:188m31=831b:l4?::m2g0<722wi=k<50;694?6|,8n>6<>i;I0b`>N5101/=?;57:k6`?6=3`5<6=44}c3e5?6=<3:1d4824c=O:hn0D?76;%311?1>o6k=0;66a>c483>>{e:;<1<7=50;2x 4b22;kh7E=0;66g>6e83>>i6jl0;66sm23794?5=83:p(>o6>m0;66a>bd83>>{e:8o1<7:50;2x 4b228=<7E=0;66g9c;29?l7dl3:17b?me;29?xd5:=0;6>4?:1y'5a3=:hi0D?ok;I0:=>o1<3:17d?9d;29?j7em3:17pl=1e83>1<729q/=i;51658L7gc3A8256g94;29?l0d2900e50z&2`0<6?>1C>lj4H3;:?l032900e;m50;9j5fb=831d=ok50;9~f77e290?6=4?{%3g1?70?2B9mi5G28;8m32=831b:n4?::k2ga<722e:nh4?::a61e=8391<7>t$0f6>4113A8jh6F=989j54?=831b=5<7s-;o97??d:J1ea=O:030(<<::09j1a<722c=;7>5;h4b>5<6=44}c007?6=<3:1d4824a=O:hn0D?76;%311?7>o1i3:17b?l5;29?xd5;;0;694?:1y'5a3=99n0D?ok;I0:=>"6:<0:7d;k:188m31=831b:l4?::m2g0<722wi>>?50;694?6|,8n>6<>k;I0b`>N5101/=?;51:k6`?6=3`<<6=44i7c94?=h9j?1<75rb31:>5<2290;w)?k5;324>N5im1C>474$006>4=n=m0;66g93;29?l0f2900e0e9K6db<@;327)?=5;38m0b=831b::4?::k5e?6=3f;h97>5;|`10g<72=0;6=u+1e7955b<@;ko7E<69:&260<63`?o6=44i7594?=n>h0;66a>c483>>{e:0?1<7=50;2x 4b228=:7E6<<4i03:>5<5<53;294~"6l<0:;<5G2`f8L7?>3-;997=>;h32=?6=3`;:m7>5;n3aa?6=3th9>54?:583>5}#9m?1=:=4H3cg?M4>12.:>84<6:k25<<722c:=l4?::k25g<722e:nh4?::a63e=8391<7>t$0f6>4163A8jh6F=989'573=:<1b=<750;9j54g=831d=ok50;9~f76029086=4?{%3g1?7092B9mi5G28;8 4422;?0e50z&2`0<6?:1C>lj4H3;:?!75=38j7d?>9;29?l76i3:17d?>b;29?j7em3:17pl=6e83>1<729q/=i;51618L7gc3A8256*>2481<>o6900;66g>1`83>>o69k0;66a>bd83>>{e:921<7:50;2x 4b228=87E55f10;94?=n98k1<75f10`94?=h9ko1<75rb0d7>5<2290;w)?k5;340>N5im1C>474$006>0c5<5<d48231=O:hn0D?76;%311?4>3`;:57>5;h32e?6=3`;:n7>5;h32g?6=3f;ii7>5;|`14<<72<0;6=u+1e79522<@;ko7E<69:&260<512c:=44?::k25d<722c:=o4?::k25f<722e:nh4?::a5c6=83?1<7>t$0f6>4133A8jh6F=989'573=:>1b=<750;9j54g=831b=709K6db<@;327)?=5;4b?l7613:17d?>a;29?j7em3:17pl>de83>0<729q/=i;51668L7gc3A8256*>2481`>o6900;66g>1`83>>o69k0;66g>1b83>>i6jl0;66sm1ed94?5=83:p(5<2290;w)?k5;340>N5im1C>474$006>615<5<d48234=O:hn0D?76;%311?753`;:57>5;h32e?6=3f;ii7>5;|`2``<72<0;6=u+1e79522<@;ko7E<69:&260<5m2c:=44?::k25d<722c:=o4?::k25f<722e:nh4?::a5cd=83?1<7>t$0f6>4133A8jh6F=989'573=;:1b=<750;9j54g=831b=6=4?{%3g1?70<2B9mi5G28;8 4422:90e50z&2`0<6?:1C>lj4H3;:?!75=39>7d?>9;29?l76i3:17d?>b;29?j7em3:17pl=5c83>0<729q/=i;51668L7gc3A8256*>24807>o6900;66g>1`83>>o69k0;66g>1b83>>i6jl0;66sm24a94?3=83:p(5<4290;w)?k5;345>N5im1C>474$006>675<d48231=O:hn0D?76;%311?463`;:57>5;h32e?6=3`;:n7>5;h32g?6=3f;ii7>5;|`17c<72<0;6=u+1e79522<@;ko7E<69:&260<5n2c:=44?::k25d<722c:=o4?::k25f<722e:nh4?::a66b=83?1<7>t$0f6>4133A8jh6F=989'573=>k1b=<750;9j54g=831b=759K6db<@;327)?=5;33e>o6900;66g>1`83>>o69k0;66g>1b83>>i6jl0;66sm24394?3=83:p(5<2290;w)?k5;340>N5im1C>474$006>4763`;:57>5;h32e?6=3`;:n7>5;h32g?6=3f;ii7>5;|`2bd<72:0;6=u+1e79527<@;ko7E<69:&260<5=2c:=44?::k25d<722e:nh4?::a5c>=83?1<7>t$0f6>4133A8jh6F=989'573=98>0e50z&2`0<6?81C>lj4H3;:?!75=39:7d?>9;29?l76i3:17b?me;29?xd5:90;6>4?:1y'5a3=9>;0D?ok;I0:=>"6:<08=6g>1883>>o69h0;66a>bd83>>{e:;;1<7=50;2x 4b228=:7E:6=4::183!7c=3;<86F=ae9K66<>6;h32=?6=3`;:m7>5;h32f?6=3`;:o7>5;n3aa?6=3th9>?4?:283>5}#9m?1=:?4H3cg?M4>12.:>84<1:k25<<722c:=l4?::m2f`<722wi=h650;794?6|,8n>6<9;;I0b`>N5101/=?;5319j54?=831b=5<7s-;o97?84:J1ea=O:030(<<::268m47>2900e759K6db<@;327)?=5;326>o6900;66g>1`83>>o69k0;66g>1b83>>i6jl0;66sm24594?2=83:p(5<2290;w)?k5;340>N5im1C>474$006>4743`;:57>5;h32e?6=3`;:n7>5;h32g?6=3f;ii7>5;|`11<<72<0;6=u+1e79522<@;ko7E<69:&260<13`;:57>5;h32e?6=3`;:n7>5;h32g?6=3f;ii7>5;|`125<72=0;6=u+1e7952><@;ko7E<69:k25<<722c:=l4?::k2ga<722e:nh4?::a637=83>1<7>t$0f6>4143A8jh6F=989'573=<2c:=44?::k25d<722c:=o4?::m2f`<722wi>;<50;794?6|,8n>6<9;;I0b`>N5101/=?;5599j54?=831b=5<7s-;o97?99:J1ea=O:030e689K6db<@;327d?>8;29?j7em3:17pl>dc83>7<729q/=i;517;8L7gc3A8256g>1983>>i6jl0;66sm29:94?e5290;w)?k5;3`2>N5im1C>474Z4a9g~?=i3o1j7?<:06950<6>3;;62080?!75:390(<8n:02a?!71j3;;n6*>c682fa=#9j21=oj4n0a:>724dc3-;9?7=4$007>6=#:h=1>l84$3c;>7g13g8j57<;;o0be?433-;8?7=4$017>6=#9:?1?6*>3780?!74?390(<=7:29'56?=;2.:?l4<;%30f?5<,89h6>5+12f97>"6;l087)?6=#9=?1?6*>4780?!73?390(<:7:29'51?=;2.:8l4<;%37f?5<,8>h6>5+15f97>"6?7=4$077>6=#95780?!72?390(<;7:29'50?=;2.:9l4<;%36f?5<,8?h6>5+14f97>"6=l087)?:f;18 4072:1/=;?53:&227<43-;=?7=4$047>6=#9??1?6*>6982fa=#9?<1>6*>6681?!76l390(4nga95>"6kl0:"6:?087)?=7;18 44?2:1/=?753:&26d<43-;9n7=4$00`>6=#9;n1?6*>2d80?!75n390(<=?:29'567=;2.:??4<;%3g6?4>o1=3:17d89:188m4602900e7:188m4b42900ej4n0a1>5=c280`>h6k;0:76g45$0a0>6bc386?>o3>3:1(j4n0a1>==c280`>h6k;0276g;2;29 4e42:n0b45$0a0>6bc38g?>o403:1(4=c287`>h6k;0976g;a;29 4e42=n0b4;d:l2g7<332c>;7>5$0a0>1bc385?>o2=3:1(10e8:50;&2g6<3l2d:o?47;:k67?6=,8i869j4n0a1><=c287`>h6k;0j76g:1;29 4e42=n0b4;d:l2g75$0a0>1bc38f?>o?>3:1(;:k;6?6=,8i865;4n0a1>7=c28;1>h6k;0876g70;29 4e421?0b475:l2g7<232c5$0a0>=3c384?>o0k3:1(d=31<7*>c28;1>h6k;0i76g87;29 4e421?0b475:l2g75$0a0>=3c38e?>o0;3:1(475:l2g7<6:21b;=4?:%3`7?>23g;h>7?<;:k5b?6=,8i865;4n0a1>42<3`c3822>=n0j0;6)?l3;:6?k7d:3;<76g7b;29 4e421?0bf290/=n=5849m5f4=9010e5750;&2g6a:9j<=<72-;h?76:;o3`6?7e32c3;7>5$0a0>=35<#9j91485a1b095a=21<7*>c28;1>h6k;0:i65f6e83>!7d;32>7c?l2;3e?>of?3:1(2d:o?4>;:kb7?6=,8i86l84n0a1>7=c28b2>h6k;0876gn1;29 4e42h<0b4n6:l2g7<232c2j7>5$0a0>d0c384?>o>l3:1(2d:o?46;:k:f?6=,8i86l84n0a1>d=c28b2>h6k;0i76g68;29 4e42h<0b4n6:l2g75$0a0>d0c38e?>o><3:1(4n6:l2g7<6:21b5<4?:%3`7?g13g;h>7?<;:k:4?6=,8i86l84n0a1>42<3`2m6=4+1b19e3=i9j81=854i`g94?"6k:0j:6`>c3822>=nim0;6)?l3;c5?k7d:3;<76gnc;29 4e42h<0b2d:o?4>a:9je<<72-;h?7o9;o3`6?7e32cj47>5$0a0>d05<#9j91m;5a1b095a=c28b2>h6k;0:i65f8d83>!7d;3k=7c?l2;3e?>oe;3:1(;:ka4?6=,8i86o<4n0a1>7=c28a6>h6k;0876gm8;29 4e42k=0b4m7:l2g7<632ci97>5$0a0>g1c380?>o5i90;6)?l3;0:b>h6k;0;76g=9d83>!7d;382j6`>c382?>o51m0;6)?l3;0:b>h6k;0976g=9b83>!7d;382j6`>c380?>o5i<0;6)?l3;0b0>h6k;0;76g=a283>!7d;38j86`>c382?>o5i;0;6)?l3;0b0>h6k;0976g=a083>!7d;38j86`>c380?>ic:3:1(50;&2g6;:m`a?6=,8i86i?4n0a1>7=c28g5>h6k;0876alc;29 4e42m;0b4k1:l2g7<232ehm7>5$0a0>a7c384?>id03:1(d=c28g5>h6k;0i76al3;29 4e42m;0b4k1:l2g75$0a0>a7c38e?>ien3:1(4k1:l2g7<6:21dnn4?:%3`7?b63g;h>7?<;:maf?6=,8i86i?4n0a1>42<3fhj6=4+1b19`4=i9j81=854oe;94?"6k:0o=6`>c3822>=hl10;6)?l3;f2?k7d:3;<76ak7;29 4e42m;0ba:9l`1<72-;h?7j>;o3`6?7e32eo?7>5$0a0>a75<#9j91h<5a1b095a=1<7*>c28g5>h6k;0:i65`b883>!7d;3n:7c?l2;3e?>ia<3:1(;:me4?6=,8i86k=4n0a1>7=c28e7>h6k;0876aje;29 4e42o90b4i3:l2g7<232eno7>5$0a0>c5c384?>ibi3:1(d=c28e7>h6k;0i76aj5;29 4e42o90b4i3:l2g75$0a0>c5c38e?>ib93:1(4i3:l2g7<6:21dhh4?:%3`7?`43g;h>7?<;:mg`?6=,8i86k=4n0a1>42<3fnh6=4+1b19b6=i9j81=854og`94?"6k:0m?6`>c3822>=hnh0;6)?l3;d0?k7d:3;<76ai9;29 4e42o90ba:9lb3<72-;h?7h<;o3`6?7e32em97>5$0a0>c55<#9j91j>5a1b095a=c28e7>h6k;0:i65`dc83>!7d;3l87c?l2;3e?>i6880;6)?l3;334>h6k;0;76aif;29 4e428:;7c?l2;38?j`b290/=n=51128j4e52;10ckj50;&2g6<6891e=n<53:9l550=83.:o>4>049m5f4=821d==:50;&2g6<68<1e=n<51:9l555=83.:o>4>049m5f4=:21d==<50;&2g6<68<1e=n<53:9~f4c7290?6=4?{%3g1?70:2B9mi5G28;8 4422;i0e749K6db<@;327)?=5;10?l7613:17d?>a;29?l76j3:17d?>c;29?j71m3:17pl=3`83>0<729q/=i;51678L7gc3A8256*>2486e>o6900;66g>1`83>>o69k0;66g>1b83>>i6>l0;66sm1gf94?3=83:p(o6900;66g>1`83>>o69k0;66g>1b83>>i6>l0;66sm1g;94?3=83:p(5<2290;w)?k5;341>N5im1C>474$006>a=n9831<75f10c94?=n98h1<75f10a94?=h9?o1<75rs3`b>5<4=rT9nl5229a91a=::0919i5229`91a=::0;19i5228091a=::1k19i5228291a=::0=19i521g491a=::8<19i5220591a=::8219i5220;91a=::1919i5229691a=::1?19i5229491a=::>o19i5226f91a=::>i19i5226`91a=::>>19i521d091a=:9l919i521d691a=:9l?19i521d491a=::>919i5226791a=::><19i5221g91a=::8;19i5221d91a=::8:19i5224791a=::??19i5227191a=::?>19i521d`91a=:9lo19i521g791a=:9o819i521g391a=:::>19i5222191a=:::819i5222391a=:::319i5225c91a=::=h19i5229:920=::121:;5rs26;>5ee825g=:9o>1=47d34;nm7?>b:?2a<<69h16>9k510;894c?28;j70?kc;32g>;6m90:=45rs265>5<1lrT9o;5Q2c58Z6373W9?i6P=b79]71d;:;_160>X4=:1U?8<4^266?[53<2T88>5Q3508Z626348ji7?>6:?1=4<1i2794l49a:?1=5<1i2795:49a:?120<1i279=o4>ce9>6=>==o16>565619>6=>=9m901?67:0f7?84?039n70<78;1`?84?039i70<78;1b?84?039270<78;64?84?03>970<78;62?84?03>;70<78;1e?84?039370<78;6f?84?03>h70<78;6a?84?03>j70<78;6:?84?03?<70<78;71?84?03?:70<78;73?84?03>m70<78;6;?84?032=70<78;:7?84?032970<78;:2?84?03=h70<78;5a?84?03=j70<78;50?84?03=970<78;52?84?032i70<78;:b?84?032270<78;c4?84?03k>70<78;c0?84?03k970<78;c2?84?03k;70<78;;e?84?033n70<78;;g?84?033h70<78;;a?84?033j70<78;;;?84?033<70<78;;5?84?033>70<78;;7?84?033870<78;;1?84?033:70<78;;3?84?032m70<78;cf?84?03ko70<78;c`?84?03ki70<78;cb?84?03k270<78;c;?84?03k?70<78;;:?84?032n70<78;`0?84?03h:70<78;`3?84?03km70<78;`;?84?03h=70<78;`6?84?03h?70<78;0b1>;50109m>5229:96d4<5;236?o>;|q00d<72:qU?9o4=3;2>4e33483m7?l4:p740=838pR>?9;<3e6?7d=2wx?8650;7xZ63?348=:7?>a:?2a=<69k16>87510c8970628;27p}<1983>7}Y;8201?8;:0a6?xu4:j0;6?uQ33a8975>28i>7p}=c483>6}Y:kl01;6lo0:=l521d3954g7>54z\1fa=:9l>1=n;4=0fg>47e34;oi7?>b:p6f7=83?pR?ll;<3f7?7d=27:hi4>1`9>5ac=98k0170?kd;32=>;6ll0:=4521ea954d<58ni6k64=3:;>`c52z\1b2=::121ii5rs22;>5<5sW8m:63=898fg>{t;9=1<77}Y:o>01?67:d58yv57=3:1>vP=f29>6=>=m<1v>>;:181[4a:279454j4:p755=838pR?h>;<0;;5010oh6s|30094?4|V;ln70<78;f`?xu4980;6?uQ2gf897>?2oh0q~=>0;296~X5nj16>565f`9~w66a2909wS2wx?=k50;0xZ7`f348347h:;|q04a<72;qU>k74=3:;>c752z\1ac=::121i;5rs222>5<5sW8ni63=898gf>{t:l>1<77}Y:m:01?67:6d8yv4b93:1>vP=cg9>6=>=?l1v?k?:181[4dm2794548d:p6a`=838pR?mk;<0;3ty9hh4?:3y]6fe<5;236:94}r0g`?6=:rT9oo5229:933=z{;nh6=4={_0`e>;5010<96s|2e`94?4|V;i270<78;57?xu5mm0;6?uQ2e;897>?2>:0q~5656g9~w7ce2909wSho50;0xZ7b13483476k;|q1a<<72;qU>i;4=3:;>=e52z\1`1=::121455rs3g4>5<5sW8o?63=898;3>{t:l<1<77}Y:j201?67:6:8yv4ci3:1>vP=c69>6=>=>m1v>=::180[548279>54>189>66e=9830q~=<3;290~X4:o16>?9510;8974?28;j70<{t;:81<78t^20f?845?3;:m63=29825g=::=;1=47e3488m7?>9:p767=839pR>1`9~w41e290?w0;59k0=863=898242=::121=nl4}r0;f?6=;r794n49a:?15o5629~w7>d2909w0<7c;3`1>;50l0:=45rs3;1>5<4s482?78n;<0:5?043482>7?l5:p6<5=838p1?7<:0a6?84>=3;:56s|29c94?5|5;2i6;o4=3:b>4e23483i7?>a:p6<7=839p1?7>:0a6?84>:3{t:1n1<74e33483i7?me:p6=`=83;?w0<60;3`1>;6n?0:o952204922=::8=1::5220:922=::831::521d0922=:9l91::521d6922=:9l?1::521d4922=::9o1=n:4=332>31<5;:m6;94=333>31<58oi6;94=0gf>31<58l>6;94=0d1>4e334;m=7?l4:p6<2=838p1?78:0a7?84>=3;ii6s|28494?7fs482;7?l5:?1<6<1?27949497:?1<0<1?2794;497:?13`<1?279;i497:?13f<1?279;o4>c59>622=9j>01?9<:75897122?=01?99:758973228i?70<95;3`0>;5>:0:o95227695f2<5;9?6;94=310>31<5;996;94=312>31<5;9261b9~w4`02909w0?i6;4b?87am3;ii6s|1g494?3|58l=6189>5cc=98301?:j:03`?xu59h0;6ku2204926=::8=1:>5220:926=::831:>5221g926=::8;1:>5221d926=::8:1:>5225c92d=:9l31=ok4=374>4ec348==7?>b:?127<69k16>56511:894`c28;h7p}=0`83>2}::8<1:l5221g95f3<5;:<61c9>5cd=98301?>9:03;?xu59;0;6;u220495f3<5;?i61`9>64`=98k01?67:418yv47j3:1:v3=1685e>;58o0:o852215954?<5;:361c9~w774290=w0<>7;3`1>;5=j0:=n52243954g<58l3670;5800:=n521ga954d56z?15=<6k<16>8m510c8974628;j70<78;76?84283;:o63>f8825<=z{;:o6=4;{<02=?0f348:=7?l5:?14<<69016=km510;8yv46=3:1:v3=1882g0=::47f348347;9;<064?76i27:j44>1c9~w7>0290::v3=82857>;50=0=?63=84857>;50?0=?63=7d857>;5?m0=?63=7b857>;5?k0=?63=75857>;5?:0=?63=74857>;5??0=?63=4b825<=::=h1:l5227495gc<58ln66=>=:0o01?67:3;g?84?0382o63>fe825g=z{;=<6=4:{<0;7?0f348189>64`=9830q~<8f;290~;50:0:o8521g`954g<58lj66=2=>h16>:m51b78977c2?i01?;>:03:?84583;:56s|29294?2|5;2?6189>6=>=<=1v?96:18684?=3;59l0=o63=20825<=::<:1=c49>5ce=98i01?67:57894`>28;j7p}=7`83>1}::1<1:l5226g95f3<5;8965<50;6x97>128i>70?ic;32e>;5010?:63>f8825f=z{;=96=4;{<04a?0f348<:7?l5:?12`<69016>8l510`8yv4093:19v3=7e85e>;5?<0:o85227f954?<5;:>50;4x971d2?k01?9;:0a6?841k3;:563=6e825d=::?o1=47e3ty9:k4?:6y>62d=>h16>:=51b78970d28;j70<9d;32f>;5>l0:=l5224`954?<5;::56`9>63e=9ko0q~?j7;292~;6m;0=?63>e2857>;6m=0=?63>e4857>;6m?0=?63>e982f`=z{8n=6=4={<3f6?0f34;on7?me:p5a?=838p1d`83>7}:9l>1:l521ef95gc52z?2a0<1i27:hk4>bd9~w4b?2909w0?j6;4b?87b93;ii6s|27594?4|5;=86;o4=34a>4db3ty9:44?:3y>623=>h16>;j51cg8yv41i3:1>v3=7785e>;5>l0:nh5rs321>5<5s48;i78n;<032?7em2wx>=;50;0x97762?k01?>6:0`f?xu58:0;6?u221d92d=::9=1=ok4}r030?6=:r79==49a:?14=<6jl1v?;9:181842=3{t:4e2348?;7?>9:?11d<6901v?8::186841=3;h963=46825d=::47>348=>7?>a:p636=839p1?8<:7c897032?k01?8?:0`f?xu5>:0;68u227195f3<5;<=61c9>636=9jn0q~?jc;297~;6mk0=m63>f485e>;6mm0:nh5rs0ga>5<0s4;nn7?l5:?2aa<69016=k:510c894`728;i70?ja;32e>;6m00:=o5225g954g52z?2a`<1i27:j=4>bd9~w4cb290;6mm0:=l521g6954d<58l;61b9>61c=98h0q~?i5;290~;6n<0:o8521d:954?<58nh6:7c894`328hn7p}>f083>7}:9o;1=n;4=0d7>47>3ty9=h4?:3y>670=>=16>v3=27822a=::;81=ok4}r00;4>bd9>662=>h1v??k:180845=3e;47?846l3;ii6s|23`94?5|5;8>6<8k;<02a?7dl279><4>bd9~w7502909w0<=5;3aa>;5;:0=m6s|20a94?5|5;8?6;:4=33g>32<5;;h6?:517f8977c28io70<=0;3aa>{t::<1<74db3488>78n;|q15g<72:q6>?=5659>64e=>=16>4db3ty9?84?:3y>675=9ko01?=>:7c8yv43l3:1>v3=1c85g>;55<5s48?o7?>a:?10`<6jl1v?=?:180844<3;h963=3b825f=::=:1=<74}r01b?6=;r79?>4>c49>66`=98h01?=k:03b?xu5:l0;6>u222095f3<5;>:6?j50;1x975628i>70<;1;32=>;5;h0:=o5rs365>5<5s488578<;<073?7em2wx>>l50;0x975>2?k01?=j:0`f?xu59l51b78975a28;27p}=4983>6}::;=1=ok4=31e>47f3488h7?>9:p61?=838p1?<7:0`f?84383;:m6s|29;94?4|58oj6;6m90:nh5rs05f>5<4s4;oj7?>9:?2a4<69j16=ik51cg8yv7>>3:1>v3>dd825f=:9l:1=;k4}r3eb?6=:r7:jo4>bd9>5cb=98k0q~j7>53z?11d<6jl16>86510a8973>28;27p}=5e83>7}::47>3ty99h4?:3y>60e=9ko01?;7:03a?xu5=:0;6?u225d95gc<5;?:6>h51cg8972728;i7p}=4583>7}:::n1=4db3ty9?l4?:3y>66b=98i01?=n:04f?xu5<<0;6?u222f95gc<5;9n6>k510c89727287}:9oo1=40b3ty:4>4?:2y>5cc=98i018:50;1x973628hn70<:9;32g>;5>80:=l5rs0;3>5<5s4;mm7?me:?2b=<69j1v<6j:18187a03;:n63>f8822`=z{83:6=4={<075?7em2798=4>1b9~w4?52909w0<:7;32=>;5=10:nh5rs0;0>5<5s48>;7?>a:?11<<6jl1v<7;:18184183;:563=6082f`=z{83>6=4={<054?76i279:?4>bd9~yv4ei3:1>vP=b`9>1g<5jh1/>4651948yv4b<3:1>vP=d09>1g<5l81/>46518f8yv4b:3:1>vP=d19>1g<5l91/>4651`:8yv4b93:1>vP=cg9>1g<5ko1/>4651c18yv4b83:1>vP=cd9>1g<5kl1/>4651cc8yv4cn3:1>vP=ce9>1g<5km1/>46516a8yv4cm3:1>vP=cb9>1g<5kj1/>46516f8yv4cl3:1>vP=cc9>1g<5kk1/>46516g8yv4ck3:1>vP=c`9>1g<5kh1/>46516d8yv4cj3:1>vP=c89>1g<5k01/>4651928yv4bl3:1>vP=d89>1g<5l01/>4651938yv4bk3:1>vP=d99>1g<5l11/>4651908yv4bj3:1>vP=d69>1g<5l>1/>4651918yv4bi3:1>vP=d79>1g<5l?1/>4651968yv4b13:1>vP=d49>1g<5l<1/>4651978yv4b03:1>vP=d59>1g<5l=1/>4651958yv4b?3:1>vP=d29>1g<5l:1/>46519:8yv4b>3:1>vP=d39>1g<5l;1/>46519;8yv4b=3:1>vP=c99>1g<5k11/>46519c8yv4ci3:1>vP=c69>1g<5k>1/>46519`8yv54=3:1>vP<319>1g<4;91/>46519f8yv54;3:1>vP<2g9>1g<4:o1/>46519d8yv54:3:1>vP<2d9>1g<4:l1/>4651858yv5493:1>vP<2e9>1g<4:m1/>46518:8yv5303:1>vP<499>1g<4<11/>46518;8yv53i3:1>vP<4`9>1g<4

46518c8yv5203:1>vP<599>1g<4=11/>46518`8yv4d=3:1>vP=bg9>1g<5jo1/>46518a8yv4d;3:1>vP=bd9>1g<5jl1/>46518g8yv4d:3:1>vP=be9>1g<5jm1/>46518d8yv4d93:1>vP=bb9>1g<5jj1/>4651`28yv4d83:1>vP=bc9>1g<5jk1/>4651`38yv57j3:1>vP=f99>1g<5n11/>4651`08yv5713:1>vP=f69>1g<5n>1/>4651`18yv5703:1>vP=f79>1g<5n?1/>4651`68yv57?3:1>vP=f49>1g<5n<1/>4651`78yv57>3:1>vP=f59>1g<5n=1/>4651`48yv57=3:1>vP=f29>1g<5n:1/>4651`58yv57<3:1>vP=f39>1g<5n;1/>4651`;8yv57;3:1>vP=f09>1g<5n81/>4651`c8yv57:3:1>vP=f19>1g<5n91/>4651``8yv56<3:1>vP<019>1g<4891/>4651`a8yv56;3:1>vP=fg9>1g<5no1/>4651`f8yv56:3:1>vP=fd9>1g<5nl1/>4651`g8yv5693:1>vP=fe9>1g<5nm1/>4651`d8yv5683:1>vP=fb9>1g<5nj1/>4651c28yv57n3:1>vP=fc9>1g<5nk1/>4651c38yv57m3:1>vP=f`9>1g<5nh1/>4651c08yv57l3:1>vP=f89>1g<5n01/>4651c68yv57k3:1>vP=eg9>1g<5mo1/>4651c78yv5793:1>vP=ed9>1g<5ml1/>4651c48yv56>3:1>vP<179>1g<49?1/>4651c58yv5603:1>vP<199>1g<4911/>4651c:8yv55k3:1>vP<2b9>1g<4:j1/>4651c;8yxh3?l0;6?uG28;8yk20n3:1>vF=989~j1>72909wE<69:m0=7=838pD?76;|l7<7<72;qC>474}o6;7?6=:rB9545rn5:7>5<5sA8256sa49794?4|@;327p`;8783>7}O:030qc:77;296~N5101vb967:181M4>12we85750;0xL7?>3td?4l4?:3yK63n7>52zJ1=<=zf=2h6=4={I0:=>{i<1n1<7vF=989~j1?72909wE<69:m0<7=838pD?76;|l7=7<72;qC>474}o6:7?6=:rB9545rn5;7>5<5sA8256sa48794?4|@;327p`;9783>7}O:030qc:67;296~N5101vb977:181M4>12we84750;0xL7?>3td?5l4?:3yK62n7>52zJ1=<=zf=3h6=4={I0:=>{i<0n1<7n3:1>vF=989~j1g72909wE<69:m0d7=838pD?76;|l7e7<72;qC>474}o6b7?6=:rB9545rn5c7>5<5sA8256sa4`794?4|@;327p`;a783>7}O:030qc:n7;296~N5101vb9o7:181M4>12we8l750;0xL7?>3td?ml4?:3yK6jn7>52zJ1=<=zf=kh6=4={I0:=>{ivF=989~j1d72909wE<69:m0g7=838pD?76;|l7f7<72;qC>474}o6a7?6=:rB9545rn2fb>5<6sA8256sa41d94?7|@;327p`;1183>4}O:030qc:>1;295~N5101vb9?=:182M4>12we8<=50;3xL7?>3td?=94?:0yK6:97>51zJ1=<=zf=;=6=4>{I0:=>{i<8=1<7?tH3;:?xh3910;6474}o62`?6=9rB9545rn53f>5<6sA8256sa40d94?7|@;327p`;2183>4}O:030qc:=1;295~N5101vb9<=:182M4>12we8?=50;3xL7?>3td?>94?:0yK6997>51zJ1=<=zf=8=6=4>{I0:=>{i<;=1<7?tH3;:?xh3:10;6474}o61`?6=9rB9545rn50f>5<6sA8256sa43d94?7|@;327p`;3183>4}O:030qc:<1;295~N5101vb9==:182M4>12we8>=50;3xL7?>3td??94?:0yK6897>51zJ1=<=zf=9=6=4>{I0:=>{i<:=1<7?tH3;:?xh3;10;6474}o60`?6=9rB9545rn51f>5<6sA8256sa42d94?7|@;327p`;4183>4}O:030qc:;1;295~N5101vb9:=:182M4>12we89=50;3xL7?>3td?894?:0yK6?97>51zJ1=<=zf=>=6=4>{I0:=>{i<==1<7?tH3;:?xh3<10;6474}o67`?6=9rB9545rn56f>5<6sA8256sa45d94?7|@;327p`;5183>4}O:030qc::1;295~N5101vb9;=:182M4>12we88=50;3xL7?>3td?994?:0yK6>97>51zJ1=<=zf=?=6=4>{I0:=>{i<<=1<7?tH3;:?xh3=10;6474}o66`?6=9rB9545rn57f>5<6sA8256sa44d94?7|@;327p`;6183>4}O:030qc:91;295~N5101vb98=:182M4>12we8;=50;3xL7?>3td?:94?:0yK6=97>51zJ1=<=zf=<=6=4>{I0:=>{i10;6474}o65`?6=9rB9545rn54f>5<6sA8256sa47d94?7|@;327p`;7183>4}O:030qc:81;295~N5101vb99=:182M4>12we8:=50;3xL7?>3td?;94?:0yK6<97>51zJ1=<=zf===6=4>{I0:=>{i<>=1<7?tH3;:?xh3?10;6474}o64`?6=9rB9545r}|CDF}2=h0mm:mkd1f~DED|8tJK\vsO@ \ No newline at end of file +$2134g<,[o}e~g`n;"2*413&;$>"9 > %384<=789:;<=>?4193456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0127?56?8=1;4LK;;1;344=6;2;%<<:4148JJUSS2HNO^L2>4;2=51=6=3CE\XZ5AEFQF973294:>6?6:HLSQQ11592=?IR\Y__6iazt=3;>5863;;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ11:625>2=AGZ^X7JFP@>0>58692>1EC^ZT;FJTG:4294:<6:5IORVP?QBI591<3??;58JJUSS2^OI0>4?>0g80?OIX\^1|ah_dosp|Ys`{oxd1=50?06?1794FNQWW>uthoVof|ywPtipfwmYimnki1=50?3g?1087GAPTV9twi`Wog`Rzgrdqk[kc`i}o7?7>11397>JSSX\^1HB^N<283:44<<3E^X][[:EMSF95=87;3794@UURVP?bf|hUhcx`{<283:4d<<3E^X][[:sf\`drfWje~by2<:1<2f>2=G\^[YY4xr^fbpdYdg|d0>4?>g97ri~38ol:=96"1108117<>=1=9>>;;7;527=1I=1=MLO;;7DE21=0;LL?7:;>0594FAC531:975<>;868=567<23;<4<4A368E736<2KJ5;64AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE975601JHI\N<01=f>GCL[K7=94?>89B@ATF48>546OKDSC?5;>GCL[K79364AEFQE90902KOH_O37?:8EABUI52546OKDSC?=;>19:CG@WD;99427LJKRC>25;?89B@ATE4895n6OKDS@?51<7601JHI\M<06=<>GCL[H7=364AEFQF94902KOH_L33?:8EABUJ5>546OKDS@?1;>GCL[H753:4AG00=>GTQGIT^HI<;CW1<>DR[VCEJB?4C59@41633J>8=l5LARAB@@OIIh1HM^MNDDKMFg=DIZIJHHAZT@`8GDUDIMODYYL=;BG26>EOMJAT@DMJNRG\P\VB:2IB86MCK@68GIME;2IG^45LOLOJPQCC9=1HC_KPGDLFVDRNGGUBBKA8;BPFEQCC92N?7IL=439GM3=CAH6;2:5KI@>24;169GMD:6<7=0HDO314<4?AOF48<5;6JFA=34:2=CAH6:4394DHC?5<813MCJ0<08;EKB8769?2NBM1<>>69GMD:5:7=0HDO322<4?AOF4;>5;6JFA=06:2=CAH69:394DHC?62803MCJ0?617:FJE94>6?1OEL2=>69GMD:48730HDO33083:2=CAH68=384DHC?7;01OEO2>3?58@LD;9=4<7IGM<07=3>BNJ5;=2:5KIC>23;12NBN1?17:FJF9476>1OEO2=1?58@LD;:;4<7IGM<31=3>BNJ58?2:5KIC>11;1;08;EKA8719?2NBN1<7>69GMG:517<0HDL32?58@LD;;9427IGM<2394;117:FJTD:66>1OE]O32?;8@LVF4:0;2:5KIQC?7;189GMUD;;3:5;6JFPC>0:3=CGH6;2:5KO@>24;169GKD:6<7=0HBO314<4?AIF48<5;6J@A=34:2=CGH6:4394DNC?5<813MEJ0<08;EMB8769?2NDM1<>>69GKD:5:7=0HBO322<4?AIF4;>5;6J@A=06:2=CGH69:394DNC?62803MEJ0?617:FLE94>6?1OCL2=>69GKD:48730HBO33083:2=CGH68=384DNC?7;017:FLF9766>1OCO2>2?58@JD;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;19?2NDN1?6>79GKG:66>1OCO2=0?58@JD;:84<7IAM<30=3>BHJ5882:5KOC>10;1808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLFZTBO>1OC]O30?58@JVF484<7IA_A=0==>BHXH686=08;EMSE959?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA86843LDJ?6KAB59E72733OKM>85IAMQF6>@C;2LOO95IDBG0?CBW<2LO\H:4FG560>@A0J>0JKH95:Dbhvc63N90KCJ>;H08M54=8:KMMQVX8:20ECG[P^27<>OIA]ZT<864IOKWTZ6102CEEY^P06;8MKOS[]K_I:5FNHV\451N7:KMMQY7J>1BBDZP0B58MKOSW9N<7D@FT^2F3>OIA]U;J:5FNHV\551369JJLRX9==0ECG[_074?LHN\V;=;6GAIU]232=NF@^T=594IOKW[4?03@DBXR?N7:KMMQY6J>1BBDZP1B58MKOSW8N<7D@FT^3F3>OIA]U:J:5FNHV\651594IOKW[7?03@DBXR1BBDZP2B58MKOSW;N<7D@FT^0F3>OIA]U9J:5FNHV\751?8;HLJPZ55?2CEEYQ<369JJLRX;==0ECG[_274?LHN\V9=;6GAIU]032=NF@^T?594IOKW[6?03@DBXR=N7:KMMQY4J>1BBDZP3B58MKOSW:N<7D@FT^1F3>OIA]U8J;5FNHV\E3=NF@^TN45FNHV\BLJB;2CEZ<<4IOTFVQYDDBUOCLQ]EF31?LHQM[^TOAEPDN@\V@A43@EI>6BF2:NL2>JHIMOO;6B@GHABH1=K]]8?7A[[379OQQ5XD=1GYY:9;MWW0ZJ?3D_GXROAD49NQ]E^=2G^TK;l;LcikwPbzzcdbn5BiomqR`ttafd97C?<;O337>H69=1E=H6;:1E=9:4N0627>H6=:1E=;=4N050?K7?;2D:5?5A229M655=4N250?K5?;2D85>5A4108J053:L666=I=<90B87=;O40?K07;2D==>5A6318J3543G5A7518J2343G==?6@8729M3=5397C6<;O:37>H?9:1E4?=4N910?K>1:2D2j6@M_CWPTLHXX[E[_:5AEUULVN2<[H\NHLZN129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI86ZVPD0`?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at3f8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{13g8Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{110g?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at50g?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at61a?P6(o{l%~k!hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^zlv96998UBB[Q?349V4*aun'xm#jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPxnp?5;76;?1^<"i}f/pe+bj7&{n$k}|.ff`*ae(lj%Fob{at^alqkrXpfx7>3?>1278Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{_ymq8786::?0Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWqey0>0>1358Q5)`zo$yj"ic0/pg+btt{'moo#jl/ea,gjsi|V:9;6[?/fpe*w`(oe:%~i!hrrq-cae)lj%oo"m`uov\571<]9%l~k }f.eo4+tc'nxx#ikc/f`+ae(kfexR<=7:W3+bta&{l$ka>!re-dvvu)omi%hn!kc.alqkrX;;n0Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$ob{at^llp5679;o0Y=!hrg,qb*ak8'xo#j||s/egg+bd'mi$ob{at^llp56798827X> gsd-vc)`d9$yh"i}sr,qwqu(zhgTi`~{y^da[l563\:$kh!rg-dh5(ul&my~ }suq,vdkXmdzuRhm_h]nq}67899?7X> gsd-vc)`d9$yh"i}sr,qwqu(zhgTi`~{y^da[lYhz9:;<>?982:8Q5)`zo$yj"ic0/pg+btt{'xxx~!}al]fiur~WohTeRa}0123740?WZ];?55Z0.eqb+ta'nf;"j gsqp*wus{&xjaRkbpu{\bgYnWfx;<=><17:\WR74:2_;#j|i.sd,ci6)zm%l~~}!rrvp+wgjWlg{xtQib^k\kw67899>??5Z0.eqb+ta'nf;"j gsqp*wus{&xjaRkbpu{\bgYnWfx;<=>92208Q5)`zo$yj"ic0/pg+btt{'xxx~!}al]fiur~WohTeRa}01233<513\:$kh!rg-dh5(ul&my~ }suq,vdkXmdzuRhm_h]lv5678>3T_Z><2:W3+bta&{l$ka>!re-dvvu)zz~x#ob_dosp|YajVcTc>?01::63=R8&myj#|i/fn3*wb(o{yx"}{s.pbiZcjx}sTeS7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|:8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{6368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr>;;1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>3:47XAG\Tn5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:568;9o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv95998;m7X> gsd-vc)`d9$yh"|nup,gjsi|5:5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~7=3?i;T2,cw`)zo%l`= }d.psjqt(kfex1<11g9V4*aun'xm#jb?.sf,vuhsz&idycz33?3f?P6(o{l%~k!hl1,q`*twf}x$ob{at^22a>S7'nxm"h gm2-va)uxg~y#naznu]25`=R8&myj#|i/fn3*wb(zyd~"m`uov\64c<]9%l~k }f.eo4+tc'{zex!lotlw[6453\:$kh!rg-dh5(ul&x{by| cnwmpZb64949>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1?1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>1:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;;78:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S=<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_002?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[7463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W:9m7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Ydq5:5?k5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7=3=i;T2,cw`)zo%l`= xr.etwv(`xo$|~}h M`fg[aoanVzexQae]b`a6789Uhu1<13g9V4*aun'xm#jb?.vp,crut&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Snw33?63?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>2:16<]9%l~k }f.eo4+qu'n}x#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp949<91^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[iss4:4?<6[?/fpe*w`(oe:%{!hwrq-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~783:?;T2,cw`)zo%l`= xr.etwv(`xo$|~}h M`fg[aoanVzexQae]b`a6789Usc2>>528Q5)`zo$yj"ic0/uq+bqt{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;?_ymq868382_;#j|i.sd,ci6){%l{~}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\|jt;<78m7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvfl5;5>k5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"jffg]sjqtXxhn7>3S7'nxm"h gm2-sw)`zy%k}h!wsre+aoanVzexQae]16`=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\77c<]9%l~k }f.eo4+qu'n}x#if/uqtc)caolT|cz}_qcg[1503\:$kh!rg-dh5(pz&m|~ hpg,tvu`(l`lmS}`{r^rb`Zgcl9:;<1?1369V4*aun'xm#jb?.vp,crut&nzm"z|f.fjbcYwf}xT|ljPaef3456;:79<7X> gsd-vc)`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvflVkoh=>?0=1=72=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\eab789:7836[?/fpe*w`(oe:%{!hwrq-cu`){zm#}|`g^dvhiYnWds<=>9349V4*aun'xm#jb?.vp,crut&nzm"z|f.rqkbYa}efTeRczx123245?;<1^<"i}f/pe+bj7&~x$kz}|.fre*rtwn&zycjQiumn\mZkrp9:;:<;<389V4*aun'xm#jb?.vp,crut&nzm"z|f.rqkbYa}efTeRczx1232434WZ];?85Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"~}of]eqijXaVg~t=>?605471=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*vugnUmyabPi^ov|567>=9886[?/fpe*w`(oe:%{!hwrq-cu`){zm#}|`g^dvhiYnWds<=>97115?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,twi`Wog`RgPmtz345008VL8:6[?/fpe*w`(oe:%{!hwrq-cu`){zm#}|`g^dvhiYnWds<=>971]D77=R8&myj#|i/fn3*rt(o~yx"j~i.vpsb*vugnUmyabPi^llp5679:90Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%{~biPftno[lYig}:;<!ws-dsvu)oyl%{~i/scn[wbXmgUb>l5Z0.eqb+ta'nf;"z| gvqp*bva&~x{j"|nm^uq[`hXa:30Y=!hrg,qb*ak8'}y#jy|s/esb+quxo%yhR~ats]tvZoi~Vzjh1>1_lcm`6g<]9%l~k }f.eo4+qu'n}x#if/uqtc)ulVzexQxr^kmrZvfl5:5S`oad01`?P6(o{l%~k!hl1,tv*ap{z$l|k xrqd,swYwf}xT|a{|1^rb`Z6Xign;<=>>1348Q5)`zo$yj"ic0/uq+bqt{'}xx~!l1.flqqYn9>8=7X> gsd-vc)`d9$|~"ixsr,twqu(k8%ocxzPi4112>S7'nxm"h gm2-sw)`zy%{~z|/b3,`jssW`?>>=5Z0.eqb+ta'nf;"z| gvqp*rus{&ida}?=7:W3+bta&{l$ka>!ws-dsvu)z~x#ob_`dvhiYaj;o0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUjjxbc_g`\kw67899:7X> gsd-vc)`d9$|~"ixsr,twqu(zhgTmk{cl^da[jt789::<9=?;T2,cw`)zo%l`= xr.etwv(p{}y$~lcPagwohZ`eWfx;<=>>3268Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]bbpjkWohTc>?013;[VQ7;91^<"i}f/pe+bj7&~x$kz}|.vqww*tfeVkmyabPfc]lv5678=28<6[?/fpe*w`(oe:%{!hwrq-svrt'{kfSlhzlm]efZiu89:;;5=?;T2,cw`)zo%l`= xr.etwv(p{}y$~lcPagwohZ`eWfx;<=>623:8Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]eqijXkfgi>l5Z0.eqb+ta'nf;"z| gvqp*rus{&xjaRhzlm]`khdXN;k0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa[B4?3\:$kh!rg-dh5(pz&m|~ xsuq,vdkXn|fgSklPi378Q5)`zo$yj"ic0/uq+bqt{'}xx~!}al]eqijXa;>0Y=!hrg,qb*ak8'}y#jy|s/uppv)pzVxxxRj>1e9V4*aun'xm#jb?.vp,vdkX{UnbRg>229V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d??:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov561=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}38>6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv96998UBB[Q?2b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{6:2!ws-ttkru'DidyczPcnwmpZ~hz585=<f:W3+bta&{l$ka>!ws-ttkru'je~by2>>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<3<2b>S7'nxm"h gm2-sw)pxg~y#naznu>0:4c<]9%l~k }f.eo4+qu'~zex!lotlw[57b3\:$kh!rg-dh5(pz&}{by| cnwmpZ76m2_;#j|i.sd,ci6){%||cz}/bmvjqY59l1^<"i}f/pe+bj7&~x${}`{r.alqkrX;;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7<3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><0<16>S7'nxm"h gm2-sw)pxg~y#naznu]g5949:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8682??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P0338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\577<]9%l~k }f.eo4+qu'~zex!lotlw[a7X:;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T??<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<30?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18485:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:585>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?2<>338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0\477<]9%l~k }f.eo4+qu'~zex!lotlw[a4X9;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T>??4U1-dvc(un&mg<#y}/vrmpw)dg|dSio1^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}alnlku]7U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY;o7X> gsd-vc)u{}hgg"|k_sqw[duumn8;7X> gsd-vc)u{}hgg"|k_sqw[duumnUo=?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj=1b9V4*aun'xm#}{bmi,vaYu{}Uhc`l>d:W3+bta&{l$~~zmlj-q`Ztt|Vidao?>e:W3+bta&{l$~~zmlj-q`Ztt|Vxnk1<11e9V4*aun'xm#}{bmi,vaYu{}UyijQ=1e9V4*aun'xm#}{bmi,swYu{}Ujkh219V4*aun'xm#}{bmi,swYu{}Ujkh_e314>S7'nxm"h rrvahn)pzVxxxRo|rde\`77d3\:$kh!rg-qwqdkc&}yS}{_bmnf4b<]9%l~k }f.pppgjl'~xT~~zPcnoa54c<]9%l~k }f.pppgjl'~xT~~zPrde?5;7c3\:$kh!rg-qwqdkc&}yS}{_sgd[4g<]ZOYSLBFARa8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J6:UFE969>2]NM1?16:UFE94902]NM1=50?48S@G;;7<0[HL30?48S@D;97<0[HL32?:8S@D;;3:5:6YJB=1=f>QUA]OTJD\\Tb9TVLRBWDEOIROl;VPJP@YJGMOTNi5XRHVF[ROC\AUJh6Y]IUG\SLBS@VH:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C>7UA]CX48\VRKAK=0T^ZPGOF4?]USW_IHn6VPCNPF[LHAG?1ShoQFdg9[`mYWz`g]i}foo33?]bjWDkacXjrrklj46)69gmk.68 =0hd`'10+4?aoi 88";6jfn)30-2=cag":8$94dhl+50/03mce$<8&7:fjj-70!>1oec&>8(48`lh/: <0hd`'3(48`lh/< <0hd`'5(48`lh/> <0hd`'7(48`lh/0 <0hd`'9(48`lh;87=0hd`311<4?aoi48;5;6jfn=31:2=cag6:?394dhl?51803mce0<;17:fjj9716>1oec2>7?;8`lh;910;2:5kio>2<;0bh}}":>$64dnww,45.02ndyy&>4(:8`jss 8?"46j`uu*22,>1ocxz'9(58`jss49437iazt=33:==cg|~7=<07;emvp975611ocxz312<;?air|5;?255kotv?508?3me~x1?9>99gkpr;9>4j7iazt=3;>58?3me~x1?7>69gkpr;97=0hb{{<3<4?air|595;6j`uu>7:2=cg|~79394dnww83803me~x1917:flqq:?6>1ocxz39?:8aaoa:gmo96kbpu{02>`nnfUlick}aumq[s2X:%*Seagax!ALV@&@mgoymya} 02-5+64W`z886hzlm58mkrXkea37cilbtko`==h~lxm`byn;qplcZcjx}s:86~}of]fiur~W}byi~f'0(37?uthoVof|ywPtipfwm.6!8>0|ah_dosp|Ys`{oxd%<&159svjaXmdzuRzgrdqk,6/6>2zycjQjmqvz[qnumzb7?7>11c9svjaXmdzuRzgrdqk[dutm{~#<$?m;qplcZcjx}sTxe|jsi]bwvcu|!;"=o5rne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntqX|axneQnsrgqp-5.9m1{~biPelrw}ZrozlycSl}|esv?7?699k1{~biPelrw}ZrozlycSckhaug+4,7e3yxdkRkbpu{\pmtb{aUeijo{e)3*5g=wzfmTi`~{y^vkv`uoWgolmyk'2(3a?uthoVof|ywPtipfwmYimnki%=&1e9svjaXmdzuRzgrdqk[kc`i}o7?7>19:rqkbYa}ef:?6~}of]eqijX|axne&?)018twi`Wog`Rzgrdqk,4/6;2zycjQiumn\pmtb{a"9%<=4psmd[cskdV~c~h}g(2+27>vugnUmyabPtipfwm:76890|ah_gwohZrozlyc0<0>3:rqkbYa}efTxe|jsi>1:43vugnUmyabPtipfwmYf{zoyx%>&1`9svjaXn|fgSyf}erj\evubz}":%vugnUmyabPtipfwmYimnki%?&1`9svjaXn|fgSyf}erj\j`af|l"9%4re]geqgXkfex1>1139q`Zbf|hUhcx`{<283:0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus:;1a}!Pcf-{mioipVlbjbQ>9y35[jdrn'dlc45znegqbiip=2|j`dj9;vp\efm13~xToae>0:uq[agsiVidycz'0(33?rtXlh~jSnaznu*2-46<{UomyoPcnwmp-4.991|~Rjnt`]`kphs :#:>6y}_ecweZeh}g~7?7>15:uq[`h13~xT~~z>6:zjhlhWocmcR?6x04\kgsa;=1seagax^djbjY61q;=Sblzf-djbjY`mgoymya}_w6\6)HHFL&ECCK9y35[jdrn%lbjbQheogqeqiuW>T>!ul_icp[lhsWjf`1="l_icp[vtt|4:'oR|k_gpfu87+kVbjR|k_ecweZeh}g~64)eX`hyTc{k}fmmt95*dWyxn`bok_mcwake~58&hSiazt^uj`qn:90&hSjPddrwl871$jUcm~Qznegqbiip59&hSeo|_`nnkvrXn|fg1="l_icp[wus58&hSio{a^alqkrX`nd0:#c^jbwZquWhi`1="l_tqf[`ed59&hSnabmnl\giidc4;'oRy}_`ah[iip59&hSjPpovq[roc|a7? nQzsd]escrXlh~jSnaznu?3(fYoizUj``a|t^gntq:8%iT~iQkauc\gjsi|V}bhyf24-a\kscunee|Saax=1.`[rtXxg~ySzgkti?7(fYwzfmTi`~{y^vzt`;7$jU|~Rjnt`]`kphsW~coxe3;,b]sv`jhimUyij}20-a\`jssW{yS{oc=1.`[mgtWmkmRm`uov>5)eXx{elSk{cl^vkv`uoWgolmykPv`n>56*dW~xThh~{h<35(fYwzfmTi`~{y^vkv`uoWgolmykPv`n>7)eXx{elShctx]wlwct`Vkxh|{_wco97*dWakxS{ocie?3(fYwzfmTjxbc_ujqavnXizyn~yQyam?20)eheyeeiczPn`of9}okagrTjdh`_0;{53Yhj|l'oRxnlhf\hjq:8%iT|gb_gkekZr~xl7>=?v<6-a\twi`Wog`Rzvpd?0(fYjmdeyuRzvpd?2(x573qcgecvPfhdl[4?9?UdnxhPfhdl[bcim{kcQy4^0\|vrX9tJK|8>=;AB{776=N3>1=v]98;0f=?>=9:8oill521g67~h50h0:7c<7b;68 7>?2;297p]96;0f=?>=9:8oill521g67>U6>m09ih4?:011``ge2;:n985\6781a`<72899hhom:32f13=c;;i1<7?51zQ574:0V8654z3b>f"50>09io5`31294?=n;8h1<75f33c94?=h:li1<75`2dc94?=n;9>1<7*>bb804==i9kh1<65f31194?"6jj08<55a1c`95>=n;981<7*>bb804==i9kh1>65f31394?"6jj08<55a1c`97>=n:0:1<75f30f94?=h:ji1<7*>bb81``=i9kh1<65`2b`94?"6jj09hh5a1c`95>=h:jk1<7*>bb81``=i9kh1>65`2b;94?"6jj09hh5a1c`97>=h:j21<7*>bb81``=i9kh1865`2b594?"6jj09hh5a1c`91>=h:j<1<7*>bb81``=i9kh1:65`2b794?"6jj09hh5a1c`93>=h:j>1<7*>bb81``=i9kh1465`2e694?"6jj09hh5a1c`9=>=h:m91<7*>bb81``=i9kh1m65`2e094?"6jj09hh5a1c`9f>=h:m;1<7*>bb81``=i9kh1o65`2e294?"6jj09hh5a1c`9`>=h:jl1<7*>bb81``=i9kh1i65`2bg94?"6jj09hh5a1c`9b>=h:jn1<7*>bb81``=i9kh1==54o3a0>5<#9ki1>ik4n0`a>47<3f8h>7>5$0``>7bb3g;in7?=;:k1e0<72-;io7;:k1e6<72-;io74m50;&2ff<5j>1e=ol51098m7?e290/=om52c58j4de28807b<64;29 4dd2;337c?mb;28?j4>;3:1(93:1(78k:8cxL7173S?36<8t1682f?472821><4>a;a9f?7d28l1=44>f;02>f<583;36o4>c;3a>4g=900:;7s+1bg977`<,864c3-<<6>?4?::k04a<72-;io7=?e:l2fg<732c8;:k04g<72-;io7=?e:l2fg<532c8a:l2fg<732e8=:4?:%3ag?56i2d:no4>;:m053<72-;io7=>a:l2fg<532e8=84?:%3ag?56i2d:no4<;:k1b<<72-;io7;:k1b2<72-;io754?:%3ag?5512d:no4?;:m062<72-;io7==9:l2fg<632e8>;4?:%3ag?5512d:no4=;:m060<72-;io7==9:l2fg<432e9in4?::m1ad<722c8<94?:%3ag?5702d:no4?;:k046<72-;io7=?8:l2fg<632c894?::k1aa<722c95=4?::k06g<722e8=h4?::m066<722e8><4?::k05a<722e9on4?:%3ag?4cm2d:no4?;:m1gg<72-;io732e9h>4?:%3ag?4cm2d:no4n;:m1`7<72-;io70:9l6f5=83.:nn4=dd9m5gd=9810c?m=:18'5ge=:mo0b07dn3:1(l3:1(3:1(!7ek38i;6`>bc825>=n:0h1<7*>bb81f2=i9kh1=?54o3:g>5<5<#9ki1?<=4n0`a>5=5<#9ki1?<=4n0`a>7=54o3;7>5<#9ki1>464n0`a>5=5<#9ki1>464n0`a>7=54i3df>5<#9ki1>kh4n0`a>5=5<#9ki1>kh4n0`a>7=54o3;b>5<5<6290;w)?le;321>N50=1C>:>4o037>5<51;294~"6kl09;<5G2968L7173f;i57>5;|`126<72:0;6=u+1bg955d<@;2?7E<80:J62>"6::0:7d;6:188m3?=831d=ok50;9~f70f29086=4?{%3`a?77j2B9495G2628L00<,8886<5f5883>>o113:17b?me;29?xd5>;0;6>4?:1y'5fc=99h0D?6;;I044>N2>2.:>>4>;h7:>5<5;h4:>5<5<53;294~"6kl0:;?50;794?6|,8in6<>i;I0;0>N5?91C9;5+13195>o213:17d8?:188m3?=831b=oj50;9l5gc=831vn?88:187>5<7s-;hi7??e:J1<1=O:>:0(<<<:09j1<<722c=57>5;h3a`?6=3f;ii7>5;|`12`<72=0;6=u+1bg955c<@;2?7E<80:&266<63`?26=44i7;94?=n9kn1<75`1cg94?=zj8nm6=4;:183!7dm3;;i6F=859K626<,8886:5f5883>>o113:17d?md;29?j7em3:17pl>e883>1<729q/=nk511g8L7>33A8<<6*>2284?l3>2900e;750;9j5gb=831d=ok50;9~f4`a290>6=4?{%3`a?77l2B9495G2628 444281b944?::k54?6=3`<>6=44i7;94?=h9ko1<75rb323>5<2290;w)?le;33`>N50=1C>:>4$000>4=n=00;66g90;29?l022900e;750;9l5gc=831vn?>>:186>5<7s-;hi7??d:J1<1=O:>:0(<<<:09j1<<722c=<7>5;h46>5<>o183:17d8::188m3?=831d=ok50;9~f73e290>6=4?{%3`a?77l2B9495G2628 444281b944?::k54?6=3`<>6=44i7;94?=h9ko1<75rb37`>5<2290;w)?le;33`>N50=1C>:>4$000>4=n=00;66g90;29?l022900e;750;9l5gc=831vn?;k:186>5<7s-;hi7??d:J1<1=O:>:0(<<<:09j1<<722c=<7>5;h46>5<>o183:17d8::188m3?=831d=ok50;9~f731290>6=4?{%3`a?77l2B9495G2628 444281b944?::k54?6=3`<>6=44i7;94?=h9ko1<75rb376>5<2290;w)?le;33`>N50=1C>:>4$000>4=n=00;66g90;29?l022900e;750;9l5gc=831vn?;;:186>5<7s-;hi7??d:J1<1=O:>:0(<<<:09j1<<722c=<7>5;h46>5<>o183:17d86:188m4dc2900c50z&2g`<68m1C>5:4H353?!75;3;0e8750;9j25<722c=97>5;h4:>5<cd824a=O:1>0D?9?;%317?7>o1=3:17d86:188k4db2900qo<;c;291?6=8r.:oh4>0g9K6=2<@;=;7)?=3;58m0?=831b:=4?::k5=?6=3`;ih7>5;n3aa?6=3th:j:4?:483>5}#9jo1==h4H3:7?M4082.:>>48;h7:>5<>o6jm0;66a>bd83>>{e:=o1<7;50;2x 4eb28:o7E<74:J135=#9;91=6g:9;29?l072900e;;50;9j2<<722e:nh4?::a5a?=83?1<7>t$0af>46c3A8386F=719'575=92c>57>5;h43>5<>i6jl0;66sm1ec94?3=83:p(o213:17d8?:188m33=831b:44?::m2f`<722wi=il50;794?6|,8in6<>k;I0;0>N5?91/=?=51:k6=?6=3`<;6=44i7794?=n>00;66a>bd83>>{e9mi1<7;50;2x 4eb28:o7E<74:J135=#9;91=6g:9;29?l072900e;;50;9j2<<722e:nh4?::a5cg=83?1<7>t$0af>46c3A8386F=719'575=92c>57>5;h43>5<>i6jl0;66sm1g:94?3=83:p(o213:17d8?:188m33=831b:44?::m2f`<722wi=k750;794?6|,8in6<>k;I0;0>N5?91/=?=51:k6=?6=3`<;6=44i7794?=n>00;66a>bd83>>{e:::1<7:50;2x 4eb28:n7E<74:J135=#9;91=6g:9;29?l0>2900e0d9K6=2<@;=;7)?=3;38m0?=831b:44?::k2fa<722e:nh4?::a66d=83>1<7>t$0af>46b3A8386F=719'575=92c>57>5;h4:>5<5<54;294~"6kl0:00;66g>be83>>i6jl0;66sm21g94?5=83:p(>o6>k0;66a>b683>>{e:9n1<7=50;2x 4eb2;287E<74:J135=n>;0;66g>6c83>>i6j>0;66sm21494?2=83:p(>o1j3:17d?l6;29?j7e?3:17pl=0b83>6<729q/=nk52918L7>33A8<<6g92;29?l71j3:17b?m7;29?xd58<0;694?:1y'5fc=9>?0D?6;;I044>o1:3:17d8m:188m4e12900c50z&2g`<50:1C>5:4H353?l052900e<8m:188k4d02900qo749K6=2<@;=;7d8=:188m3d=831b=n850;9l5g1=831vn?><:187>5<7s-;hi7?85:J1<1=O:>:0e;<50;9j2g<722c:o;4?::m2f2<722wi>?750;194?6|,8in6<9;;I0;0>N5?91b=<950;9j54>=831d=;m50;9~f771290?6=4?{%3`a?77k2B9495G2628 444281b944?::k51?6=3`<26=44o0`f>5<54;294~"6kl0:<0;66g99;29?j7em3:17pl=1583>1<729q/=nk511a8L7>33A8<<6*>2282?l3>2900e;;50;9j2<<722e:nh4?::a645=83>1<7>t$0af>46d3A8386F=719'575=92c>57>5;h46>5<>o183:17d86:188m4dc2900c50z&2g`<68j1C>5:4H353?!75;3;0e8750;9j20<722c=57>5;n3aa?6=3th9>:4?:583>5}#9jo1==m4H3:7?M4082.:>>4>;h7:>5<>i6jl0;66sm27a94?5=83:p(5<4290;w)?le;35b>N50=1C>:>4$000>445<cd822c=O:1>0D?9?;%317?433`;:;7>5;h325;|`2b5<72:0;6=u+1bg953`<@;2?7E<80:&266<5<2c:=:4?::k25=<722e:n:4?::a613=83>1<7>t$0af>4173A8386F=719'575=:>1b=<950;9j54>=831b=<750;9l5g1=831vn:187>5<7s-;hi7?80:J1<1=O:>:0(<<<:358m4702900e2900c3:197>50z&2g`<6?;1C>5:4H353?!75;3837d?>7;29?l7603:17d?>9;29?l76i3:17b?m7;29?xd6n;0;684?:1y'5fc=9>80D?6;;I044>"6::0946g>1683>>o6910;66g>1883>>o69h0;66a>b683>>{e:;<1<7=50;2x 4eb286F=859K626<,8886>:4i034>5<5<5<53;294~"6kl0::k5G2968L7173-;9?7j4i034>5<5<55;294~"6kl0:;?5G2968L7173-;9?75;h32=?6=3`;:m7>5;n3a3?6=3th9=i4?:483>5}#9jo1=:<4H3:7?M4082.:>>4<3:k252<722c:=54?::k25<<722c:=l4?::m2f2<722wi>?>50;694?6|,8in6<9?;I0;0>N5?91/=?=5229j541=831b=<650;9j54?=831d=o950;9~f4c3290?6=4?{%3`a?7082B9495G2628 4442;o0e739K6=2<@;=;7)?=3;12?l76?3:17d?>8;29?l7613:17d?>a;29?j7e?3:17pl=3283>1<729q/=nk51628L7>33A8<<6*>22806>o69>0;66g>1983>>o6900;66a>b683>>{e::?1<7;50;2x 4eb28=97E<74:J135=#9;91?<5f10594?=n9821<75f10;94?=n98k1<75`1c594?=zj;9=6=4::183!7dm3;<>6F=859K626<,8886>?4i034>5<5<5<53;294~"6kl0::k5G2968L7173-;9?75;n3a3?6=3th:il4?:283>5}#9jo1=;h4H3:7?M4082.:>>4k;h323?6=3`;:47>5;n3a3?6=3th:i54?:483>5}#9jo1=:<4H3:7?M4082.:>>4>089j541=831b=<650;9j54?=831b=5<7s-;hi7?82:J1<1=O:>:0(<<<:228m4702900e2900e6g9K6=2<@;=;7)?=3;4:?l76?3:17d?>8;29?j7e?3:17pl=3383>0<729q/=nk51608L7>33A8<<6*>228254=n98=1<75f10:94?=n9831<75f10c94?=h9k=1<75rb0g0>5<4290;w)?le;35b>N50=1C>:>4$000>725<cd8237=O:1>0D?9?;%317?76:2c:=:4?::k25=<722c:=44?::k25d<722e:n:4?::a651=8391<7>t$0af>40a3A8386F=719'575=:o1b=<950;9j54>=831d=o950;9~f76?29086=4?{%3`a?71n2B9495G2628 4442;l0e50z&2g`<6>o1C>5:4H353?!75;38m7d?>7;29?l7603:17b?m7;29?xd59o0;684?:1y'5fc=9>80D?6;;I044>"6::09o6g>1683>>o6910;66g>1883>>o69h0;66a>b683>>{e:9k1<7=50;2x 4eb28k5f10594?=n9821<75`1c594?=zj8n<6=4::183!7dm3;<>6F=859K626<,8886;o4i034>5<5<5<55;294~"6kl0:;?5G2968L7173-;9?7?>0:k252<722c:=54?::k25<<722c:=l4?::m2f2<722wi=ik50;794?6|,8in6<9=;I0;0>N5?91/=?=5249j541=831b=<650;9j54?=831b=5<7s-;hi7?82:J1<1=O:>:0(<<<:348m4702900e2900e739K6=2<@;=;7)?=3;7a?l76?3:17d?>8;29?l7613:17d?>a;29?j7e?3:17pl>d783>0<729q/=nk51608L7>33A8<<6*>2281e>o69>0;66g>1983>>o6900;66g>1`83>>i6j>0;66sm23094?2=83:p(5<2290;w)?le;346>N50=1C>:>4$000>0=n98=1<75f10:94?=n9831<75f10c94?=h9k=1<75rb307>5<2290;w)?le;346>N50=1C>:>4$000>46?3`;:;7>5;h325;h32e?6=3f;i;7>5;|`17=<72=0;6=u+1bg9520<@;2?7E<80:k252<722c:=54?::k2g3<722e:n:4?::a66?=83?1<7>t$0af>4153A8386F=719'575==h1b=<950;9j54>=831b=<750;9j54g=831d=o950;9~f75f290>6=4?{%3`a?70:2B9495G2628 44427>50z&2g`<6>>1C>5:4H353?l76>3:17b?m7;29?xd6mo0;6?4?:1y'5fc=9?=0D?6;;I044>o69?0;66a>b683>>{e9m>1<7<50;2x 4eb28<<7E<74:J135=n98<1<75`1c594?=zj;<;6=4l2;294~"6kl0:nk5G2968L7173S?36nu6:`8f>c<6;3;?6<;517824?7628n1=h4rne;94>haj3:0(<8;:39'533=:2.:=o4<;%32g?5<,8;o6>5+10g97>"69o087)?=0;18 4e028:j7)?la;08 4432:1/=?;53:&263<43-;9;7=4$00;>6=#9;31?6*>2`80?!75j390(<h4<;%31b?5<,89;6>5+12397>"6;;087)?<3;18 4532:1/=>;53:&273<43-;8;7=4$01;>6=#9:31?6*>3`80?!74j390(<=l:29'56b=;2.:?h4<;%30b?5<,8>;6>5+15397>"6<;087)?;3;18 4232:1/=9;53:&203<43-;?;7=4$06;>6=#9=31?6*>4`80?!73j390(<:l:29'51b=;2.:8h4<;%37b?5<,8?;6>5+14397>"6=;087)?:3;18 4332:1/=8;53:&213<43-;>;7=4$07;>6=#9<31?6*>5`80?!72j390(<;l:29'50b=;2.:9h4<;%36b?5<,8<;6>5+17397>"6>;087)?93;18 40128h=7)?98;33e>"6>00:2.:><4<;%316?5<,;=n6?9k;%04b?40l2d94=4=4:l1<4<5<2.:oo4=;h7`>5<>o1<3:17d??6;29?l7d<3:17d?l5;29?l77?3:17d?lc;29?l7dl3:17b;j:188k0`=831b?o4?:%3ag?5f3g;in7>4;h1:>5<#9ki1?l5a1c`95>=n;10;6)?mc;1b?k7ej3807d=8:18'5ge=;h1e=ol53:9j73<72-;io7=n;o3af?2<3`>?6=4+1ca97d=i9kh1965f4283>!7ek39j7c?mb;48?l25290/=om53`9m5gd=?21b8<4?:%3ag?5f3g;in764;h63>5<#9ki1?l5a1c`9=>=n;o0;6)?mc;1b?k7ej3k07d=j:18'5ge=;h1e=ol5b:9j7a<72-;io7=n;o3af?e<3`9h6=4+1ca97d=i9kh1h65f3483>!7ek39j7c?mb;g8?l2e290/=om54`9m5gd=821b844?:%3ag?2f3g;in7?4;h6;>5<#9ki18l5a1c`96>=n<>0;6)?mc;6b?k7ej3907d:9:18'5ge=!7ek3>j7c?mb;58?l36290/=om54`9m5gd=021b9=4?:%3ag?2f3g;in774;h6e>5<#9ki18l5a1c`9e>=n>6=4+1ca90d=i9kh1i65f8483>!7ek32?7c?mb;28?l>4290/=om5859m5gd=921b4<4?:%3ag?>33g;in7<4;h:3>5<#9ki1495a1c`97>=n?o0;6)?mc;:7?k7ej3>07d9j:18'5ge=0=1e=ol55:9j3a<72-;io76;;o3af?0<3`=h6=4+1ca9<1=i9kh1;65f7c83>!7ek32?7c?mb;:8?l1f290/=om5859m5gd=121b;44?:%3ag?>33g;in7o4;h5;>5<#9ki1495a1c`9f>=n??0;6)?mc;:7?k7ej3i07d9::18'5ge=0=1e=ol5d:9j31<72-;io76;;o3af?c<3`=86=4+1ca9<1=i9kh1j65f7383>!7ek32?7c?mb;33?>o093:1(33g;in7?;;:k5`?6=,8hh65:4n0`a>43<3`2h6=4+1ca9<1=i9kh1=;54i9`94?"6jj0386`>bc823>=n0h0;6)?mc;:7?k7ej3;376g79;29 4dd21>0b?290/=om5859m5gd=9h10e5950;&2ffb:9j<3<72-;io76;;o3af?7d32c3>7>5$0``>=25<#9ki1495a1c`95`=bb8;0>h6jk0:j65fa783>!7ek3k>7c?mb;28?lg3290/=om5a49m5gd=921bm?4?:%3ag?g23g;in7<4;hc2>5<#9ki1m85a1c`97>=ni90;6)?mc;c6?k7ej3>07d7i:18'5ge=i<1e=ol55:9j=`<72-;io7o:;o3af?0<3`3o6=4+1ca9e0=i9kh1;65f9b83>!7ek3k>7c?mb;:8?l?e290/=om5a49m5gd=121b5l4?:%3ag?g23g;in7o4;h;:>5<#9ki1m85a1c`9f>=n1>0;6)?mc;c6?k7ej3i07d79:18'5ge=i<1e=ol5d:9j=0<72-;io7o:;o3af?c<3`3?6=4+1ca9e0=i9kh1j65f9283>!7ek3k>7c?mb;33?>o>:3:1(:18'5ge=i<1e=ol51398m<6=83.:nn4n5:l2fg<6;21b4k4?:%3ag?g23g;in7?;;:k;a?6=,8hh6l;4n0`a>43<3`ko6=4+1ca9e0=i9kh1=;54i`a94?"6jj0j96`>bc823>=nik0;6)?mc;c6?k7ej3;376gna;29 4dd2h?0b290/=om5a49m5gd=9h10el650;&2ffb:9je2<72-;io7o:;o3af?7d32cj?7>5$0``>d35<#9ki1m85a1c`95`=bb8b1>h6jk0:j65fb383>!7ek3h:7c?mb;28?ld7290/=om5b09m5gd=921bmk4?:%3ag?d63g;in7<4;hcf>5<#9ki1n<5a1c`97>=nj>0;6)?mc;`5?k7ej3:07dl::18'5ge=j?1e=ol51:9jf1<72-;io7l9;o3af?4<3`h86=4+1ca9f3=i9kh1?65f26594?"6jj09;;5a1c`94>=n:>?1<7*>bb8133=i9kh1=65f26694?"6jj09;;5a1c`96>=n:>91<7*>bb8133=i9kh1?65f26a94?"6jj09;o5a1c`94>=n:>k1<7*>bb813g=i9kh1=65f26;94?"6jj09;o5a1c`96>=n:>21<7*>bb813g=i9kh1?65`d083>!7ek3n;7c?mb;28?jea290/=om5d19m5gd=921doi4?:%3ag?b73g;in7<4;na`>5<#9ki1h=5a1c`97>=hkk0;6)?mc;f3?k7ej3>07bmn:18'5ge=l91e=ol55:9lg<<72-;io7j?;o3af?0<3fi36=4+1ca9`5=i9kh1;65`c683>!7ek3n;7c?mb;:8?je1290/=om5d19m5gd=121do84?:%3ag?b73g;in7o4;na7>5<#9ki1h=5a1c`9f>=hk;0;6)?mc;f3?k7ej3i07bm>:18'5ge=l91e=ol5d:9lg5<72-;io7j?;o3af?c<3fhm6=4+1ca9`5=i9kh1j65`bd83>!7ek3n;7c?mb;33?>iel3:1(4n0`a>43<3fn36=4+1ca9`5=i9kh1=;54oe594?"6jj0o<6`>bc823>=hl?0;6)?mc;f3?k7ej3;376ak5;29 4dd2m:0bb:9l`7<72-;io7j?;o3af?7d32ehi7>5$0``>a65<#9ki1h=5a1c`95`=bb8g4>h6jk0:j65`f283>!7ek3l97c?mb;28?j`6290/=om5f39m5gd=921dik4?:%3ag?`53g;in7<4;ngf>5<#9ki1j?5a1c`97>=hmm0;6)?mc;d1?k7ej3>07bkl:18'5ge=n;1e=ol55:9lag<72-;io7h=;o3af?0<3foj6=4+1ca9b7=i9kh1;65`e883>!7ek3l97c?mb;:8?jc?290/=om5f39m5gd=121di:4?:%3ag?`53g;in7o4;ng5>5<#9ki1j?5a1c`9f>=hm=0;6)?mc;d1?k7ej3i07bk<:18'5ge=n;1e=ol5d:9la7<72-;io7h=;o3af?c<3fo:6=4+1ca9b7=i9kh1j65`e183>!7ek3l97c?mb;33?>icn3:1(43<3flj6=4+1ca9b7=i9kh1=;54og;94?"6jj0m>6`>bc823>=hn10;6)?mc;d1?k7ej3;376ai7;29 4dd2o80bb:9lb1<72-;io7h=;o3af?7d32em<7>5$0``>c45<#9ki1j?5a1c`95`=bb8e6>h6jk0:j65`11294?"6jj0mj6`>bc83?>iam3:1(6=6=4+1ca95525<#9ki1==:4n0`a>4=5<#9ki1==:4n0`a>6=55;294~"6kl0:;>5G2968L7173-;9?7=>;h323?6=3`;:47>5;h32=?6=3`;:m7>5;n35g?6=3th9?:4?:483>5}#9jo1=:=4H3:7?M4082.:>>4;;h323?6=3`;:47>5;h32=?6=3`;:m7>5;n35g?6=3th:i?4?:483>5}#9jo1=:=4H3:7?M4082.:>>4=9:k252<722c:=54?::k25<<722c:=l4?::m22f<722wi>N5?91/=?=56:k252<722c:=54?::k25<<722c:=l4?::m22f<722wi=h850;694?6|,8in6<9>;I0;0>N5?91/=?=52e9j541=831b=<650;9j54?=831d=;m50;9~w7?72908635==016>;o5589>634==016>;65589>63?==016>;?5589>631==016>;k5589>5a`==016=h75589>5c`==016>=>5589>657==016>=<5589>60d==016>8m5589>60b==016>8k5589>600==016>8;5589>602==016>8=5589>61b==016>9l5589>61e==016=k95589>61c==016=i75589>5ag==016=il5589>5ae==016=ko5589>5c>==016=k75589>666==016>>m5589>66d==016>>j5589>640==016><;5589>642==016><=5589>64d==016>?65589>671==016>;>5629>636=>=1v>?m:18:[56j27:i94>169>5`g=98=01?dd825<=:9m?1=47>348857?>7:p74?=83<>;_0;g>X49l1U>hh4^201?[4a82T8>55Q3358Z6413W9996P<199]7415:?1<0<69=16>;65689>637=>016>;95689>63c=>016>>j5689>655=9j<01?8?:4a8970726d<5;<;6>74=343>6><5;<;6>94=343>60<5;<;69:4=343>6`<5;<;6>k4=343>6b<5;<;6>m4=343>63<5;<;69l4=343>1?<5;<;6964=343>11<5;<;6984=343>02<5;<;69h4=343>1c<5;<;69j4=343>1e<5;<;69;4=343>=3<5;<;65=4=343>=7<5;<;65>4=343>2d<5;<;6:o4=343>2?<5;<;6:<4=343>27<5;<;6:>4=343>=g<5;<;6574=343>=><5;<;6l84=343>d2<5;<;6l<4=343>d7<5;<;6l>4=343><`<5;<;64k4=343><0<5;<;64;4=343><2<5;<;64=4=343><4<5;<;64?4=343><6<5;<;65h4=343>=c<5;<;6lj4=343>de<5;<;6ll4=343>dg<5;<;6l74=343>d><5;<;6l94=343>d5<5;<;6464=343>=b<5;<;6o<4=343>g6<5;<;6lh4=343>dc<5;<;6o94=343>g3<5;<;6o:4=343>g5<5;<;6?9l;<054?40i279:=4=789>636=:>20q~=>d;297~X49m16>;651cf8970628ho7p}=e`83>7}Y:lk01;6ll0:=l521d59541<5;926hm4=31`>4db3ty8<=4?:3y]756<5;;i64:4=0f`>4db34;o47?>7:p6<1=83>pR?7<;<3gf?7em27:h54>199>5a1=98k0q~<66;291~X51;16=io51cg894b028;270?k5;32<>;6l?0:=l5rs3;6>5<1sW82=63>d882f`=:9m=1=<94=0f6>47034;o:7?>8:?2`1<69?1v?ji:181[4dk279:=4jd:p6ab=838pR?mm;<054?cd3ty9hn4?:3y]6fg<5;<;6hl4}r0gf?6=:rT9o4522729ad=z{;nj6=4={_0`<>;5>90n:6s|2e;94?4|V;i<70<90;g7?xu5l10;6?uQ2b4897072l90q~;>5e39~w7b12909wSh650;0xZ7b3348=<7jk;|q1a2<72;qU>i=4=343>ae52z\1`7=::?:1ho5rs3g6>5<5sW8o=63=618ee>{t:l>1<77}Y:jl01?8?:g78yv4b:3:1>vP=cd9>636=n=1v?k>:181[4dl279:=4i0:p6`6=838pR?m<;<054?c23ty9h84?:3y]6f4<5;<;6io4}r0a;5>90i0q~;>5799~w7d52909wS2wx>o?50;0xZ7?a348=<79:;|q1f5<72;qU>4k4=343>2252z\1=a=::?:1;>5rs3a2>5<5sW8jh63=6185b>{t:j:1<77}Y:hh01?8?:7f8yv4em3:1>vP=a`9>636=0j1v?lk:181[4f1279:=47b:p6ge=838pR?o7;<054?>03ty9no4?:3y]6d1<5;<;6584}r0ae?6=:rT9m;522729<7=z{;h26=4={_0:g>;5>90<;6s|2`g94?4|V;3i70<90;4`?xu4800;69uQ3168977c28;<70<=3;32e>;5:=0:=55rs224>5<3sW9;?63=22825<=::;>1=<94=33f>4703ty8<;4?:2y]754<5;8:68:?15c<6911v<96:18084?>3;i563=02856>;5>90:<;5rs341>5<4s48=?786;<056?7em279:<490:p635=838p1?8<:0`f?841=3;:;6s|27;94?5|5;36<5;<26;o51cg8970d28;<7p}=6083>6}::?81:45227395gc<5;<>6;651cg8970>2?301?8l:03;?xu5>=0;6?u227595gb<5;<>6;951cg894ba28ho70?j9;3a`>;6no0=963=01851>;5880=963=03851>;6n>0:ni521e;920=:9mk1:8521e`920=:9mi1:8521gc920=:9o21:8521g;920=z{;b69~w70c290:mv3=6d82f`=::1:85224195gb<5;>o6;;4=36a>33<5;>h6>l51cf8975c28ho70<>6;46?846=3<>70<>4;46?846;3<>70<>b;3a`>;5:10=963=26851>;5;:0:=45222c954g53z?2`c<1127:i4499:?2a=<6j>1ve`825==:9l21=<94=30a>47?348887?>a:?2``<69116=i;510;894b128;<70<<9;32e>{t9jl1<7ht=0de>36<5;:;6;>4=322>36<5;:96;>4=0d4>36<58lj6;>4=0d;>36<58l26;>4=304>3?<58n36199>66g=98301?8?:024?844?3;:m6s|1g194?1|58lm6;74=0d4>4db34;m<7?>8:?2b4<69016=k<510;894c028;j70?jf;322>{t9oh1<78t=0de>4db348897?>9:?16f<69116>=9510:897072<:0147034;m=7?>8:?2b7<69116=h;51058yv7ak3:1:v3=0182f`=:::<1=47?348;47?>8:?125<2927:i?4>189~w4`2290>w0f08252=:9o81=47?3ty:ji4?:7y>657=9ko01?=9:03;?87b;3;:463=08825==::?:19?5223f954g54z?147<1127:jl4>bd9>5c4=98=016199>636==:16>?j510:8yv42n3:1=8u224`925=::4d034;n47?>8:?125<5?>16>;>5267897072;=?70<90;047>;5;>0:=45rs36e>5<2s48>n786;<067?7em279<949b:?16f<69>16>=951058yv42?3:18v3=5c82f`=:9l=1=<64=343>16<58o968m5689>602=9ko01?>::7`8974b28;<70{t:<21<7:t=37`>4db34;n97?>8:?125<3927:i?4>1`9~w736290>w0<:d;4:?842=3;ii63=0785f>;5800:=:5223f954?57>54z?11a<6jl16=h:510;894c428;<70<90;61?xu5=;0;69u224g92<=::<<1=ok4=32b>4703489h7?>7:p60g=83>p1?;j:0`f?87b=3;:m63>e08252=::?:18>5rs36b>5<3s48>:786;<07a?7em2798;4>169>663=98=0q~<;9;291~;5=<0=563=4e82f`=::=?1=<94=365>47>3488:7?>7:p61>=83;5<<0:=552254954g<5;9=6q6>8=5689>61d=9ko01?:;:03;?843=3;:563=47825==:::?1=4713ty98<4?:3y>61b=>016>9;51c58yv44n3:1>v3=4c85=>;5<:0:n:5rs363>5<5s48?o786;<070?7e?2wx=hl50;0x94`02?301b69~w4b72909w0?k9;4:?87c<3;i;6s|1e094?4|58nj6;74=0f6>4d03ty:h>4?:3y>5ad=>016=i951c58yv7c93:1>v3>db85=>;6l10:n:5rs0gf>5<5s4;mm786;<3e6?7e?2wx=hm50;0x94`?2?3011v?=?:18084483;ii63=278252=:::91=<94}r00b69~w75e290=w0<;5;l0:=552226954><58nn6c79~w75c290>w0<;5:?0:=5522329541<5;986=850;0x976b2?801?>9:0`4?xu58h0;6?u221g953d<5;:j6=k51c5897712?30q~63=07856>;58<0:n:5rs32:>5<4s48;h7?9b:?143<6k?16>=751c58yv4613:1>v3=0e82f2=::8?1:45rs327>5<4s48;o78=;<031?05348;87?m7:p65>=839p1?>l:04a?847=3;h:63=0982f2=z{;;36=4={<03g?7e?279=9499:p655=839p1?>m:70897632?801?><:0`4?xu58>0;6>u221`953d<5;:?6<950;0x976e28h<70<>3;4:?xu5:h0;6?u221192g=::;31=;m4}r01=?6=:r79>44>199>67d=9k=0q~<>2;290~;59?0:nh5220f954g<5;886;5:=0:=l5220g954>53z?151<6jl16>??510c8977a28;27p}=0g83>6}::891=ok4=302>47>348:j7?>a:p673=838p1??m:728974128h<7p}=1b83>7}::8h1:45223295g152z?16=<6jl16>v3=2682f`=::8n1=<64}r3:5?6=:r7:h54>1`9>5a0=9k=0q~<=1;296~;5:80:n:5223095f052z?15a<6j>16>v3=21825==::8o1=;m4}r016?6=:r79>=4>189>674=9k=0q~?j4;296~;6m=0:n:521d4954152z?2a0<6j>16=h8510;8yv44;3:1>v3=3282f2=:::81=<64}r001?6=:r79?84>b69>661=9820q~<<6;296~;5;?0:n:52225954152z?16f<6j>16>?k510;8yv7b>3:1>v3>e9825<=:9l<1=;m4}r34b?6=;r7:i54>1`9>5`7=9k=01?=n:034?xu5:m0;6?u223g954g<5;8o6<8l;|q16c<72:q6>?k51c58975528;270<<9;32=>{t9091<7470348887?m7:p661=838p1?==:03b?844?3;=o6s|1`094?4|58o86{t9k91<74d0348:i7?>9:p52e=838p13;:46s|16g94?4|5;89650;0x974528;370<=4;3a3>{t91;1<7470348857?m7:p5=4=838p1?=7:03;?844i3;i;6srs3;3>5<5sW82<63:7;0:4>"5>o0:4;5rs3`;>5<5sW8j963:7;0b1>"5>o0:5:5rs3`5>5<5sW8j863:7;0b0>"5>o0:m?5rs3`6>5<5sW8j?63:7;0b7>"5>o0:mi5rs3`7>5<5sW8j>63:7;0b6>"5>o0:n>5rs3`0>5<5sW8j=63:7;0b5>"5>o0:;l5rs3`1>5<5sW8j<63:7;0b4>"5>o0:;o5rs3`2>5<5sW82j63:7;0:b>"5>o0:;i5rs3`3>5<5sW82i63:7;0:a>"5>o0:;h5rs3ce>5<5sW82h63:7;0:`>"5>o0:;k5rs3a2>5<5sW8jh63:7;0b`>"5>o0:4<5rs3a3>5<5sW8jo63:7;0bg>"5>o0:4?5rs3`e>5<5sW8jn63:7;0bf>"5>o0:4>5rs3`f>5<5sW8jm63:7;0be>"5>o0:495rs3`g>5<5sW8j563:7;0b=>"5>o0:485rs3``>5<5sW8j463:7;0b<>"5>o0:4:5rs3`a>5<5sW8j;63:7;0b3>"5>o0:455rs3`b>5<5sW8j:63:7;0b2>"5>o0:445rs3`:>5<5sW82o63:7;0:g>"5>o0:4l5rs3cf>5<5sW82n63:7;0:f>"5>o0:4o5rs22:>5<5sW9;863:7;130>"5>o0:4i5rs224>5<5sW9;?63:7;137>"5>o0:4h5rs225>5<5sW9;>63:7;136>"5>o0:4k5rs226>5<5sW9;=63:7;135>"5>o0:5=5rs23a>5<5sW9:n63:7;12f>"5>o0:5?5rs23g>5<5sW9:h63:7;12`>"5>o0:595rs20b>5<5sW99m63:7;11e>"5>o0:585rs3;:>5<5sW82863:7;0:0>"5>o0:5;5rs3;4>5<5sW82?63:7;0:7>"5>o0:555rs3;5>5<5sW82>63:7;0:6>"5>o0:545rs3;6>5<5sW82=63:7;0:5>"5>o0:5l5rs3fe>5<5sW8ho63:7;0`g>"5>o0:5o5rs3fg>5<5sW8hn63:7;0`f>"5>o0:5n5rs3f`>5<5sW8hm63:7;0`e>"5>o0:5i5rs3fa>5<5sW8h563:7;0`=>"5>o0:5h5rs3fb>5<5sW8h463:7;0`<>"5>o0:5k5rs3f:>5<5sW8h;63:7;0`3>"5>o0:m=5rs3f;>5<5sW8h:63:7;0`2>"5>o0:m<5rs3f4>5<5sW8h963:7;0`1>"5>o0:m>5rs3f5>5<5sW8h863:7;0`0>"5>o0:m95rs3g;>5<5sW8o863:7;0g0>"5>o0:m85rs3g4>5<5sW8o?63:7;0g7>"5>o0:m;5rs3g5>5<5sW8o>63:7;0g6>"5>o0:m:5rs3g6>5<5sW8o=63:7;0g5>"5>o0:m55rs3g7>5<5sW8o<63:7;0g4>"5>o0:m45rs3g0>5<5sW8hj63:7;0`b>"5>o0:ml5rs3g1>5<5sW8hi63:7;0`a>"5>o0:mo5rs3g2>5<5sW8hh63:7;0``>"5>o0:mn5rs3g3>5<5sW8h?63:7;0`7>"5>o0:mh5rs3f6>5<5sW8h>63:7;0`6>"5>o0:mk5rs3gb>5<5sW8nm63:7;0fe>"5>o0:n=5rs3g`>5<5sW8no63:7;0fg>"5>o0:n<5rs223>5<5sW9;<63:7;134>"5>o0:n?5r}o607?6=:rB9;=5rn517>5<5sA8<<6sa42794?4|@;=;7p`;3783>7}O:>:0qc:<7;296~N5?91vb9=7:181M4082we8>750;0xL7173td??l4?:3yK6268n7>52zJ135=zf=9h6=4={I044>{i<:n1<7vF=719~j1272909wE<80:m017=838pD?9?;|l707<72;qC>:>4}o677?6=:rB9;=5rn567>5<5sA8<<6sa45794?4|@;=;7p`;4783>7}O:>:0qc:;7;296~N5?91vb9:7:181M4082we89750;0xL7173td?8l4?:3yK626?n7>52zJ135=zf=>h6=4={I044>{i<=n1<7vF=719~j1372909wE<80:m007=838pD?9?;|l717<72;qC>:>4}o667?6=:rB9;=5rn577>5<5sA8<<6sa44794?4|@;=;7p`;5783>7}O:>:0qc::7;296~N5?91vb9;7:181M4082we88750;0xL7173td?9l4?:3yK626>n7>52zJ135=zf=?h6=4={I044>{i<vF=719~j1072909wE<80:m037=838pD?9?;|l727<72;qC>:>4}o657?6=:rB9;=5rn547>5<5sA8<<6sa47794?4|@;=;7p`;6783>7}O:>:0qc:97;296~N5?91vb>7m:182M4082we?nh50;3xL7173td8h=4?:0yK62651zJ135=zf:n96=4>{I044>{i;m91<7?tH353?xh4l=0;6:>4}o1g=?6=9rB9;=5rn2fb>5<6sA8<<6sa3e`94?7|@;=;7p`4}O:>:0qc=kd;295~N5?91vb>jj:182M4082we?ih50;3xL7173td8i=4?:0yK62651zJ135=zf:o96=4>{I044>{i;l91<7?tH353?xh4m=0;6:>4}o1f=?6=9rB9;=5rn2gb>5<6sA8<<6sa3d`94?7|@;=;7p`4}O:>:0qc=jd;295~N5?91vb>kj:182M4082we?hh50;3xL7173td8j=4?:0yK62651zJ135=zf:l96=4>{I044>{i;o91<7?tH353?xh4n=0;6:>4}o1e=?6=9rB9;=5rn2db>5<6sA8<<6sa3g`94?7|@;=;7p`4}O:>:0qc=id;295~N5?91vb>hj:182M4082we?kh50;3xL7173td?<=4?:0yK626;=7>51zJ135=zf=:96=4>{I044>{i<991<7?tH353?xh38=0;6:>4}o63=?6=9rB9;=5rn52b>5<6sA8<<6sa41`94?7|@;=;7p`;0b83>4}O:>:0qc:?d;295~N5?91vb9>j:182M4082we8=h50;3xL7173td?==4?:0yK626:=7>51zJ135=zf=;96=4>{I044>{i<891<7?tH353?xh39=0;6:>4}o62=?6=9rB9;=5rn53b>5<6sA8<<6sa40`94?7|@;=;7p`;1b83>4}O:>:0qc:>d;295~N5?91vb9?j:182M4082we8=4?:0yK6269=7>51zJ135=zf=896=4>{I044>{i<;91<7?tH353?xh3:=0;6:>4}o61=?6=9rB9;=5rn50b>5<6sA8<<6sa43`94?7|@;=;7p`;2b83>4}O:>:0qc:=d;295~N5?91vb98=7>51zJ135=zf=996=4>{I044>{zutJKOv;?2;0;5g0c>:wKLOu?}ABSxFG \ No newline at end of file diff --git a/xilinx/virtex4/xilinx_fifo_19x16_obuf.vhd b/xilinx/virtex4/xilinx_fifo_19x16_obuf.vhd index 089db83..a4bff1f 100644 --- a/xilinx/virtex4/xilinx_fifo_19x16_obuf.vhd +++ b/xilinx/virtex4/xilinx_fifo_19x16_obuf.vhd @@ -1,155 +1,1703 @@ -------------------------------------------------------------------------------- --- This file is owned and controlled by Xilinx and must be used -- --- solely for design, simulation, implementation and creation of -- --- design files limited to Xilinx devices or technologies. Use -- --- with non-Xilinx devices or technologies is expressly prohibited -- --- and immediately terminates your license. -- --- -- --- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- --- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- --- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- --- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- --- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- --- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- --- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- --- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- --- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- --- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- --- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- --- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- --- FOR A PARTICULAR PURPOSE. -- --- -- --- Xilinx products are not intended for use in life support -- --- appliances, devices, or systems. Use in such applications are -- --- expressly prohibited. -- --- -- --- (c) Copyright 1995-2007 Xilinx, Inc. -- --- All rights reserved. -- +-- Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. +-------------------------------------------------------------------------------- +-- ____ ____ +-- / /\/ / +-- /___/ \ / Vendor: Xilinx +-- \ \ \/ Version: K.39 +-- \ \ Application: netgen +-- / / Filename: xilinx_fifo_19x16_obuf.vhd +-- /___/ /\ Timestamp: Wed Oct 19 15:47:43 2011 +-- \ \ / \ +-- \___\/\___\ +-- +-- Command : -intstyle ise -w -sim -ofmt vhdl /home/marek/trbv2/tmp/_cg/xilinx_fifo_19x16_obuf.ngc /home/marek/trbv2/tmp/_cg/xilinx_fifo_19x16_obuf.vhd +-- Device : 4vlx40ff1148-10 +-- Input file : /home/marek/trbv2/tmp/_cg/xilinx_fifo_19x16_obuf.ngc +-- Output file : /home/marek/trbv2/tmp/_cg/xilinx_fifo_19x16_obuf.vhd +-- # of Entities : 1 +-- Design Name : xilinx_fifo_19x16_obuf +-- Xilinx : /opt/xilinx/ISE10.1/ISE +-- +-- Purpose: +-- This VHDL netlist is a verification model and uses simulation +-- primitives which may not represent the true implementation of the +-- device, however the netlist is functionally correct and should not +-- be modified. This file cannot be synthesized and should only be used +-- with supported simulation tools. +-- +-- Reference: +-- Development System Reference Guide, Chapter 23 +-- Synthesis and Simulation Design Guide, Chapter 6 +-- -------------------------------------------------------------------------------- --- You must compile the wrapper file xilinx_fifo_19x16_obuf.vhd when simulating --- the core, xilinx_fifo_19x16_obuf. When compiling the wrapper file, be sure to --- reference the XilinxCoreLib VHDL simulation library. For detailed --- instructions, please refer to the "CORE Generator Help". --- The synthesis directives "translate_off/translate_on" specified --- below are supported by Xilinx, Mentor Graphics and Synplicity --- synthesis tools. Ensure they are correct for your synthesis tool(s). -LIBRARY ieee; -USE ieee.std_logic_1164.ALL; -- synthesis translate_off -Library XilinxCoreLib; --- synthesis translate_on -ENTITY xilinx_fifo_19x16_obuf IS - port ( - clk: IN std_logic; - din: IN std_logic_VECTOR(18 downto 0); - prog_full_thresh: IN std_logic_VECTOR(3 downto 0); - rd_en: IN std_logic; - rst: IN std_logic; - wr_en: IN std_logic; - data_count: OUT std_logic_VECTOR(4 downto 0); - dout: OUT std_logic_VECTOR(18 downto 0); - empty: OUT std_logic; - full: OUT std_logic; - prog_full: OUT std_logic); -END xilinx_fifo_19x16_obuf; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +use UNISIM.VPKG.ALL; -ARCHITECTURE xilinx_fifo_19x16_obuf_a OF xilinx_fifo_19x16_obuf IS --- synthesis translate_off -component wrapped_xilinx_fifo_19x16_obuf - port ( - clk: IN std_logic; - din: IN std_logic_VECTOR(18 downto 0); - prog_full_thresh: IN std_logic_VECTOR(3 downto 0); - rd_en: IN std_logic; - rst: IN std_logic; - wr_en: IN std_logic; - data_count: OUT std_logic_VECTOR(4 downto 0); - dout: OUT std_logic_VECTOR(18 downto 0); - empty: OUT std_logic; - full: OUT std_logic; - prog_full: OUT std_logic); -end component; +entity xilinx_fifo_19x16_obuf is + port ( + prog_full : out STD_LOGIC; + rd_en : in STD_LOGIC := 'X'; + wr_en : in STD_LOGIC := 'X'; + full : out STD_LOGIC; + empty : out STD_LOGIC; + clk : in STD_LOGIC := 'X'; + rst : in STD_LOGIC := 'X'; + prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); + dout : out STD_LOGIC_VECTOR ( 18 downto 0 ); + din : in STD_LOGIC_VECTOR ( 18 downto 0 ); + data_count : out STD_LOGIC_VECTOR ( 3 downto 0 ) + ); +end xilinx_fifo_19x16_obuf; --- Configuration specification - for all : wrapped_xilinx_fifo_19x16_obuf use entity XilinxCoreLib.fifo_generator_v4_2(behavioral) - generic map( - c_has_int_clk => 0, - c_rd_freq => 1, - c_wr_response_latency => 1, - c_has_srst => 0, - c_has_rd_data_count => 0, - c_din_width => 19, - c_has_wr_data_count => 0, - c_full_flags_rst_val => 1, - c_implementation_type => 0, - c_family => "virtex4", - c_use_embedded_reg => 1, - c_has_wr_rst => 0, - c_wr_freq => 1, - c_use_dout_rst => 0, - c_underflow_low => 0, - c_has_meminit_file => 0, - c_has_overflow => 0, - c_preload_latency => 0, - c_dout_width => 19, - c_rd_depth => 16, - c_default_value => "BlankString", - c_mif_file_name => "BlankString", - c_has_underflow => 0, - c_has_rd_rst => 0, - c_has_almost_full => 0, - c_has_rst => 1, - c_data_count_width => 5, - c_has_wr_ack => 0, - c_use_ecc => 0, - c_wr_ack_low => 0, - c_common_clock => 1, - c_rd_pntr_width => 4, - c_use_fwft_data_count => 1, - c_has_almost_empty => 0, - c_rd_data_count_width => 5, - c_enable_rlocs => 0, - c_wr_pntr_width => 4, - c_overflow_low => 0, - c_prog_empty_type => 0, - c_optimization_mode => 0, - c_wr_data_count_width => 5, - c_preload_regs => 1, - c_dout_rst_val => "0", - c_has_data_count => 1, - c_prog_full_thresh_negate_val => 14, - c_wr_depth => 16, - c_prog_empty_thresh_negate_val => 5, - c_prog_empty_thresh_assert_val => 4, - c_has_valid => 0, - c_init_wr_pntr_val => 0, - c_prog_full_thresh_assert_val => 15, - c_use_fifo16_flags => 0, - c_has_backup => 0, - c_valid_low => 0, - c_prim_fifo_type => "512x36", - c_count_type => 0, - c_prog_full_type => 3, - c_memory_type => 1); --- synthesis translate_on -BEGIN --- synthesis translate_off -U0 : wrapped_xilinx_fifo_19x16_obuf - port map ( - clk => clk, - din => din, - prog_full_thresh => prog_full_thresh, - rd_en => rd_en, - rst => rst, - wr_en => wr_en, - data_count => data_count, - dout => dout, - empty => empty, - full => full, - prog_full => prog_full); --- synthesis translate_on +architecture STRUCTURE of xilinx_fifo_19x16_obuf is + signal BU2_N16 : STD_LOGIC; + signal BU2_N15 : STD_LOGIC; + signal BU2_N141 : STD_LOGIC; + signal BU2_N13 : STD_LOGIC; + signal BU2_N111 : STD_LOGIC; + signal BU2_N9 : STD_LOGIC; + signal BU2_N3 : STD_LOGIC; + signal BU2_N7 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000092_145 : STD_LOGIC; + signal BU2_N51 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_comp1 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i53_142 : STD_LOGIC; + signal BU2_N14 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000079_140 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i17_139 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000078_138 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000048_137 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000013_136 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000062_135 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000035_134 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670_133 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006153_132 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006138_131 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000643_130 : STD_LOGIC; + signal BU2_N5 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_128 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_127 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_not0001 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand_120 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand1 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_104 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_103 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or0000 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count6 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count3 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count9 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count10 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count7 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count4 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count1 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count9 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count3 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count6 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66 : STD_LOGIC; + signal BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_mux0000 : STD_LOGIC; + signal BU2_U0_grf_rf_rstblk_wr_rst_comb : STD_LOGIC; + signal BU2_U0_grf_rf_rstblk_rd_rst_comb : STD_LOGIC; + signal BU2_U0_grf_rf_rstblk_wr_rst_asreg_60 : STD_LOGIC; + signal BU2_U0_grf_rf_rstblk_rd_rst_asreg_59 : STD_LOGIC; + signal BU2_U0_grf_rf_rstblk_wr_rst_asreg_d2_58 : STD_LOGIC; + signal BU2_U0_grf_rf_rstblk_wr_rst_asreg_d1_57 : STD_LOGIC; + signal BU2_U0_grf_rf_rstblk_rd_rst_asreg_d2_56 : STD_LOGIC; + signal BU2_U0_grf_rf_rstblk_rd_rst_asreg_d1_55 : STD_LOGIC; + signal BU2_N1 : STD_LOGIC; + signal NLW_VCC_P_UNCONNECTED : STD_LOGIC; + signal NLW_GND_G_UNCONNECTED : STD_LOGIC; + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_CASCADEOUTA_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_CASCADEOUTB_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_31_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_30_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_29_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_28_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_27_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_26_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_25_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_24_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_23_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_22_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_21_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_20_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_19_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_18_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_17_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_16_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_15_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_14_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_13_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_12_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_11_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_10_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_9_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_8_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_7_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_6_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_5_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_4_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_3_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_2_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_1_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_0_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_31_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_30_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_29_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_28_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_23_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_22_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_21_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_15_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_14_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_13_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_7_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_6_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_5_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_3_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_2_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_1_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_0_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_3_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_2_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_1_UNCONNECTED : STD_LOGIC; + + signal NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_0_UNCONNECTED : STD_LOGIC; + + signal din_2 : STD_LOGIC_VECTOR ( 18 downto 0 ); + signal prog_full_thresh_3 : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NlwRenamedSig_OI_data_count : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal dout_4 : STD_LOGIC_VECTOR ( 18 downto 0 ); + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad : STD_LOGIC_VECTOR ( 4 downto 1 ); + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000 : STD_LOGIC_VECTOR ( 4 downto 1 ); + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut : STD_LOGIC_VECTOR ( 4 downto 1 ); + signal BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal BU2_U0_grf_rf_gl0_wr_wpntr_count : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal BU2_U0_grf_rf_gl0_wr_wpntr_count_d2 : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal BU2_U0_grf_rf_gl0_wr_wpntr_count_d1 : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal BU2_U0_grf_rf_gl0_rd_rpntr_count_d1 : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal BU2_U0_grf_rf_gl0_rd_rpntr_count : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal BU2_U0_grf_rf_rstblk_wr_rst_reg : STD_LOGIC_VECTOR ( 1 downto 1 ); + signal BU2_U0_grf_rf_rstblk_rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 2 ); + signal BU2_rd_data_count : STD_LOGIC_VECTOR ( 0 downto 0 ); +begin + prog_full_thresh_3(3) <= prog_full_thresh(3); + prog_full_thresh_3(2) <= prog_full_thresh(2); + prog_full_thresh_3(1) <= prog_full_thresh(1); + prog_full_thresh_3(0) <= prog_full_thresh(0); + dout(18) <= dout_4(18); + dout(17) <= dout_4(17); + dout(16) <= dout_4(16); + dout(15) <= dout_4(15); + dout(14) <= dout_4(14); + dout(13) <= dout_4(13); + dout(12) <= dout_4(12); + dout(11) <= dout_4(11); + dout(10) <= dout_4(10); + dout(9) <= dout_4(9); + dout(8) <= dout_4(8); + dout(7) <= dout_4(7); + dout(6) <= dout_4(6); + dout(5) <= dout_4(5); + dout(4) <= dout_4(4); + dout(3) <= dout_4(3); + dout(2) <= dout_4(2); + dout(1) <= dout_4(1); + dout(0) <= dout_4(0); + din_2(18) <= din(18); + din_2(17) <= din(17); + din_2(16) <= din(16); + din_2(15) <= din(15); + din_2(14) <= din(14); + din_2(13) <= din(13); + din_2(12) <= din(12); + din_2(11) <= din(11); + din_2(10) <= din(10); + din_2(9) <= din(9); + din_2(8) <= din(8); + din_2(7) <= din(7); + din_2(6) <= din(6); + din_2(5) <= din(5); + din_2(4) <= din(4); + din_2(3) <= din(3); + din_2(2) <= din(2); + din_2(1) <= din(1); + din_2(0) <= din(0); + data_count(3) <= NlwRenamedSig_OI_data_count(3); + data_count(2) <= NlwRenamedSig_OI_data_count(2); + data_count(1) <= NlwRenamedSig_OI_data_count(1); + data_count(0) <= NlwRenamedSig_OI_data_count(0); + VCC_0 : VCC + port map ( + P => NLW_VCC_P_UNCONNECTED + ); + GND_1 : GND + port map ( + G => NLW_GND_G_UNCONNECTED + ); + BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000079 : LUT3_L + generic map( + INIT => X"80" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000035_134, + I1 => BU2_N111, + I2 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000062_135, + LO => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000079_140 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006153 : LUT4_L + generic map( + INIT => X"0900" + ) + port map ( + I0 => prog_full_thresh_3(2), + I1 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3), + I2 => BU2_N9, + I3 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006138_131, + LO => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006153_132 + ); + BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or00003168_SW0 : LUT4_L + generic map( + INIT => X"6FF6" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0), + I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(0), + I2 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1), + I3 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(1), + LO => BU2_N3 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000092 : LUT4_L + generic map( + INIT => X"0080" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000078_138, + I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000048_137, + I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + I3 => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + LO => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000092_145 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i53 : LUT4_L + generic map( + INIT => X"9009" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(3), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3), + I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(2), + I3 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2), + LO => BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i53_142 + ); + BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP : RAMB16 + generic map( + DOA_REG => 0, + DOB_REG => 0, + INIT_A => X"000000000", + INIT_B => X"000000000", + INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + SRVAL_A => X"000000000", + INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_FILE => "NONE", + INVERT_CLK_DOA_REG => FALSE, + INVERT_CLK_DOB_REG => FALSE, + RAM_EXTENSION_A => "NONE", + RAM_EXTENSION_B => "NONE", + READ_WIDTH_A => 36, + READ_WIDTH_B => 36, + SIM_COLLISION_CHECK => "NONE", + INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + WRITE_MODE_A => "WRITE_FIRST", + WRITE_MODE_B => "WRITE_FIRST", + WRITE_WIDTH_A => 36, + WRITE_WIDTH_B => 36, + SRVAL_B => X"000000000" + ) + port map ( + CASCADEINA => BU2_rd_data_count(0), + CASCADEINB => BU2_rd_data_count(0), + CLKA => clk, + CLKB => clk, + ENA => BU2_N1, + REGCEA => BU2_rd_data_count(0), + REGCEB => BU2_rd_data_count(0), + ENB => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + SSRA => BU2_rd_data_count(0), + SSRB => BU2_rd_data_count(0), + CASCADEOUTA => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_CASCADEOUTA_UNCONNECTED, + CASCADEOUTB => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_CASCADEOUTB_UNCONNECTED, + ADDRA(14) => BU2_rd_data_count(0), + ADDRA(13) => BU2_rd_data_count(0), + ADDRA(12) => BU2_rd_data_count(0), + ADDRA(11) => BU2_rd_data_count(0), + ADDRA(10) => BU2_rd_data_count(0), + ADDRA(9) => BU2_rd_data_count(0), + ADDRA(8) => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(3), + ADDRA(7) => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(2), + ADDRA(6) => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(1), + ADDRA(5) => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(0), + ADDRA(4) => BU2_rd_data_count(0), + ADDRA(3) => BU2_rd_data_count(0), + ADDRA(2) => BU2_rd_data_count(0), + ADDRA(1) => BU2_rd_data_count(0), + ADDRA(0) => BU2_rd_data_count(0), + ADDRB(14) => BU2_rd_data_count(0), + ADDRB(13) => BU2_rd_data_count(0), + ADDRB(12) => BU2_rd_data_count(0), + ADDRB(11) => BU2_rd_data_count(0), + ADDRB(10) => BU2_rd_data_count(0), + ADDRB(9) => BU2_rd_data_count(0), + ADDRB(8) => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3), + ADDRB(7) => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2), + ADDRB(6) => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1), + ADDRB(5) => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0), + ADDRB(4) => BU2_rd_data_count(0), + ADDRB(3) => BU2_rd_data_count(0), + ADDRB(2) => BU2_rd_data_count(0), + ADDRB(1) => BU2_rd_data_count(0), + ADDRB(0) => BU2_rd_data_count(0), + DIA(31) => BU2_rd_data_count(0), + DIA(30) => BU2_rd_data_count(0), + DIA(29) => BU2_rd_data_count(0), + DIA(28) => BU2_rd_data_count(0), + DIA(27) => din_2(18), + DIA(26) => din_2(17), + DIA(25) => din_2(16), + DIA(24) => din_2(15), + DIA(23) => BU2_rd_data_count(0), + DIA(22) => BU2_rd_data_count(0), + DIA(21) => BU2_rd_data_count(0), + DIA(20) => din_2(14), + DIA(19) => din_2(13), + DIA(18) => din_2(12), + DIA(17) => din_2(11), + DIA(16) => din_2(10), + DIA(15) => BU2_rd_data_count(0), + DIA(14) => BU2_rd_data_count(0), + DIA(13) => BU2_rd_data_count(0), + DIA(12) => din_2(9), + DIA(11) => din_2(8), + DIA(10) => din_2(7), + DIA(9) => din_2(6), + DIA(8) => din_2(5), + DIA(7) => BU2_rd_data_count(0), + DIA(6) => BU2_rd_data_count(0), + DIA(5) => BU2_rd_data_count(0), + DIA(4) => din_2(4), + DIA(3) => din_2(3), + DIA(2) => din_2(2), + DIA(1) => din_2(1), + DIA(0) => din_2(0), + DIB(31) => BU2_rd_data_count(0), + DIB(30) => BU2_rd_data_count(0), + DIB(29) => BU2_rd_data_count(0), + DIB(28) => BU2_rd_data_count(0), + DIB(27) => BU2_rd_data_count(0), + DIB(26) => BU2_rd_data_count(0), + DIB(25) => BU2_rd_data_count(0), + DIB(24) => BU2_rd_data_count(0), + DIB(23) => BU2_rd_data_count(0), + DIB(22) => BU2_rd_data_count(0), + DIB(21) => BU2_rd_data_count(0), + DIB(20) => BU2_rd_data_count(0), + DIB(19) => BU2_rd_data_count(0), + DIB(18) => BU2_rd_data_count(0), + DIB(17) => BU2_rd_data_count(0), + DIB(16) => BU2_rd_data_count(0), + DIB(15) => BU2_rd_data_count(0), + DIB(14) => BU2_rd_data_count(0), + DIB(13) => BU2_rd_data_count(0), + DIB(12) => BU2_rd_data_count(0), + DIB(11) => BU2_rd_data_count(0), + DIB(10) => BU2_rd_data_count(0), + DIB(9) => BU2_rd_data_count(0), + DIB(8) => BU2_rd_data_count(0), + DIB(7) => BU2_rd_data_count(0), + DIB(6) => BU2_rd_data_count(0), + DIB(5) => BU2_rd_data_count(0), + DIB(4) => BU2_rd_data_count(0), + DIB(3) => BU2_rd_data_count(0), + DIB(2) => BU2_rd_data_count(0), + DIB(1) => BU2_rd_data_count(0), + DIB(0) => BU2_rd_data_count(0), + DIPA(3) => BU2_rd_data_count(0), + DIPA(2) => BU2_rd_data_count(0), + DIPA(1) => BU2_rd_data_count(0), + DIPA(0) => BU2_rd_data_count(0), + DIPB(3) => BU2_rd_data_count(0), + DIPB(2) => BU2_rd_data_count(0), + DIPB(1) => BU2_rd_data_count(0), + DIPB(0) => BU2_rd_data_count(0), + WEA(3) => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + WEA(2) => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + WEA(1) => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + WEA(0) => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + WEB(3) => BU2_rd_data_count(0), + WEB(2) => BU2_rd_data_count(0), + WEB(1) => BU2_rd_data_count(0), + WEB(0) => BU2_rd_data_count(0), + DOA(31) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_31_UNCONNECTED, + DOA(30) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_30_UNCONNECTED, + DOA(29) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_29_UNCONNECTED, + DOA(28) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_28_UNCONNECTED, + DOA(27) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_27_UNCONNECTED, + DOA(26) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_26_UNCONNECTED, + DOA(25) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_25_UNCONNECTED, + DOA(24) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_24_UNCONNECTED, + DOA(23) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_23_UNCONNECTED, + DOA(22) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_22_UNCONNECTED, + DOA(21) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_21_UNCONNECTED, + DOA(20) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_20_UNCONNECTED, + DOA(19) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_19_UNCONNECTED, + DOA(18) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_18_UNCONNECTED, + DOA(17) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_17_UNCONNECTED, + DOA(16) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_16_UNCONNECTED, + DOA(15) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_15_UNCONNECTED, + DOA(14) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_14_UNCONNECTED, + DOA(13) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_13_UNCONNECTED, + DOA(12) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_12_UNCONNECTED, + DOA(11) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_11_UNCONNECTED, + DOA(10) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_10_UNCONNECTED, + DOA(9) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_9_UNCONNECTED, + DOA(8) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_8_UNCONNECTED, + DOA(7) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_7_UNCONNECTED, + DOA(6) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_6_UNCONNECTED, + DOA(5) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_5_UNCONNECTED, + DOA(4) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_4_UNCONNECTED, + DOA(3) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_3_UNCONNECTED, + DOA(2) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_2_UNCONNECTED, + DOA(1) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_1_UNCONNECTED, + DOA(0) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOA_0_UNCONNECTED, + DOB(31) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_31_UNCONNECTED, + DOB(30) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_30_UNCONNECTED, + DOB(29) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_29_UNCONNECTED, + DOB(28) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_28_UNCONNECTED, + DOB(27) => dout_4(18), + DOB(26) => dout_4(17), + DOB(25) => dout_4(16), + DOB(24) => dout_4(15), + DOB(23) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_23_UNCONNECTED, + DOB(22) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_22_UNCONNECTED, + DOB(21) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_21_UNCONNECTED, + DOB(20) => dout_4(14), + DOB(19) => dout_4(13), + DOB(18) => dout_4(12), + DOB(17) => dout_4(11), + DOB(16) => dout_4(10), + DOB(15) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_15_UNCONNECTED, + DOB(14) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_14_UNCONNECTED, + DOB(13) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_13_UNCONNECTED, + DOB(12) => dout_4(9), + DOB(11) => dout_4(8), + DOB(10) => dout_4(7), + DOB(9) => dout_4(6), + DOB(8) => dout_4(5), + DOB(7) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_7_UNCONNECTED, + DOB(6) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_6_UNCONNECTED, + DOB(5) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOB_5_UNCONNECTED, + DOB(4) => dout_4(4), + DOB(3) => dout_4(3), + DOB(2) => dout_4(2), + DOB(1) => dout_4(1), + DOB(0) => dout_4(0), + DOPA(3) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_3_UNCONNECTED, + DOPA(2) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_2_UNCONNECTED, + DOPA(1) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_1_UNCONNECTED, + DOPA(0) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPA_0_UNCONNECTED, + DOPB(3) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_3_UNCONNECTED, + DOPB(2) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_2_UNCONNECTED, + DOPB(1) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_1_UNCONNECTED, + DOPB(0) => +NLW_BU2_U0_grf_rf_mem_gbm_gbmg_gbmga_ngecc_bmg_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_ram_SDP_SINGLE_PRIM_SDP_DOPB_0_UNCONNECTED + ); + BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_0_11_INV_0 : INV + port map ( + I => NlwRenamedSig_OI_data_count(0), + O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count1 + ); + BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count_xor_0_11_INV_0 : INV + port map ( + I => BU2_U0_grf_rf_gl0_rd_rpntr_count(0), + O => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count + ); + BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count_xor_0_11_INV_0 : INV + port map ( + I => BU2_U0_grf_rf_gl0_wr_wpntr_count(0), + O => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_G : LUT4 + generic map( + INIT => X"AF23" + ) + port map ( + I0 => BU2_N14, + I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106, + I2 => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + I3 => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + O => BU2_N16 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_F : LUT4 + generic map( + INIT => X"C040" + ) + port map ( + I0 => rd_en, + I1 => wr_en, + I2 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_comp1, + I3 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66, + O => BU2_N15 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb : MUXF5 + port map ( + I0 => BU2_N15, + I1 => BU2_N16, + S => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105, + O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_104 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670_G : LUT4 + generic map( + INIT => X"F371" + ) + port map ( + I0 => prog_full_thresh_3(2), + I1 => prog_full_thresh_3(3), + I2 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4), + I3 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3), + O => BU2_N141 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670_F : LUT4 + generic map( + INIT => X"08AE" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4), + I1 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3), + I2 => prog_full_thresh_3(2), + I3 => prog_full_thresh_3(3), + O => BU2_N13 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670 : MUXF5 + port map ( + I0 => BU2_N13, + I1 => BU2_N141, + S => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000643_130, + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670_133 + ); + BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_2_111 : LUT4 + generic map( + INIT => X"73EF" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66, + I1 => NlwRenamedSig_OI_data_count(0), + I2 => rd_en, + I3 => NlwRenamedSig_OI_data_count(1), + O => BU2_N5 + ); + BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000079_SW0 : LUT4 + generic map( + INIT => X"C431" + ) + port map ( + I0 => wr_en, + I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(0), + I2 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105, + I3 => BU2_U0_grf_rf_gl0_rd_rpntr_count(0), + O => BU2_N111 + ); + BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_1_11 : LUT4 + generic map( + INIT => X"6966" + ) + port map ( + I0 => NlwRenamedSig_OI_data_count(0), + I1 => NlwRenamedSig_OI_data_count(1), + I2 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66, + I3 => rd_en, + O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count4 + ); + BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not00011 : LUT4 + generic map( + INIT => X"6530" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105, + I1 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66, + I2 => rd_en, + I3 => wr_en, + O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000018_SW0 : LUT4 + generic map( + INIT => X"FF75" + ) + port map ( + I0 => rd_en, + I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105, + I2 => wr_en, + I3 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66, + O => BU2_N51 + ); + BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_2_12 : LUT4 + generic map( + INIT => X"DB24" + ) + port map ( + I0 => NlwRenamedSig_OI_data_count(0), + I1 => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + I2 => NlwRenamedSig_OI_data_count(1), + I3 => NlwRenamedSig_OI_data_count(2), + O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count7 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut_4_Q : LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(3), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(4) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006153_SW0 : LUT4 + generic map( + INIT => X"7BDE" + ) + port map ( + I0 => prog_full_thresh_3(1), + I1 => prog_full_thresh_3(0), + I2 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(2), + I3 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(1), + O => BU2_N9 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut_3_Q : LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(2), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(3) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut_2_Q : LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(1), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(2) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut_1_Q : LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(0), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(1) + ); + BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or00003168 : LUT4 + generic map( + INIT => X"FFF6" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(3), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3), + I2 => BU2_N7, + I3 => BU2_N3, + O => BU2_N14 + ); + BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or00003168_SW1 : LUT2 + generic map( + INIT => X"6" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(2), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2), + O => BU2_N7 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or0000104 : LUT4 + generic map( + INIT => X"FF8C" + ) + port map ( + I0 => BU2_N51, + I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000013_136, + I2 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_comp1, + I3 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000092_145, + O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or0000 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_0_and000011 : LUT2 + generic map( + INIT => X"D" + ) + port map ( + I0 => rd_en, + I1 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66, + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand_120 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i55 : LUT4 + generic map( + INIT => X"9000" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(1), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1), + I2 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i17_139, + I3 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i53_142, + O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_comp1 + ); + BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000089 : LUT4 + generic map( + INIT => X"FAF2" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66, + I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + I2 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000079_140, + I3 => BU2_N14, + O => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_mux0000 + ); + BU2_U0_grf_rf_gl0_rd_ram_rd_en_i1 : LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => rd_en, + I1 => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66, + O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i17 : LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(0), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0), + O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_c1_dout_i17_139 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000078 : LUT4 + generic map( + INIT => X"9009" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count(2), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2), + I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count(1), + I3 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1), + O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000078_138 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000048 : LUT4 + generic map( + INIT => X"9009" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count(3), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3), + I2 => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0), + I3 => BU2_U0_grf_rf_gl0_wr_wpntr_count(0), + O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000048_137 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000013 : LUT3 + generic map( + INIT => X"A2" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_103, + I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106, + I2 => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + O => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or000013_136 + ); + BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000062 : LUT4 + generic map( + INIT => X"9009" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_rd_rpntr_count(1), + I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(1), + I2 => BU2_U0_grf_rf_gl0_rd_rpntr_count(3), + I3 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(3), + O => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000062_135 + ); + BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000035 : LUT3 + generic map( + INIT => X"82" + ) + port map ( + I0 => rd_en, + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count(2), + I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(2), + O => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_or000035_134 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006177 : LUT3 + generic map( + INIT => X"54" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106, + I1 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006153_132, + I2 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000670_133, + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006138 : LUT4 + generic map( + INIT => X"A251" + ) + port map ( + I0 => prog_full_thresh_3(3), + I1 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_128, + I2 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_127, + I3 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006138_131 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000643 : LUT4 + generic map( + INIT => X"7510" + ) + port map ( + I0 => prog_full_thresh_3(1), + I1 => prog_full_thresh_3(0), + I2 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(1), + I3 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(2), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux000643_130 + ); + BU2_U0_grf_rf_gl0_wr_ram_wr_en_i1 : LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => wr_en, + I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105, + O => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001 + ); + BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_3_11 : LUT4 + generic map( + INIT => X"AA96" + ) + port map ( + I0 => NlwRenamedSig_OI_data_count(3), + I1 => NlwRenamedSig_OI_data_count(2), + I2 => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + I3 => BU2_N5, + O => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count10 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_not00011 : LUT2 + generic map( + INIT => X"D" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_103, + I1 => BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106, + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_not0001 + ); + BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count_xor_3_11 : LUT4 + generic map( + INIT => X"6AAA" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_rd_rpntr_count(3), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count(1), + I2 => BU2_U0_grf_rf_gl0_rd_rpntr_count(0), + I3 => BU2_U0_grf_rf_gl0_rd_rpntr_count(2), + O => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count9 + ); + BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count_xor_3_11 : LUT4 + generic map( + INIT => X"6AAA" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count(3), + I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count(0), + I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count(2), + I3 => BU2_U0_grf_rf_gl0_wr_wpntr_count(1), + O => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count9 + ); + BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count_xor_2_11 : LUT3 + generic map( + INIT => X"6A" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_rd_rpntr_count(2), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count(1), + I2 => BU2_U0_grf_rf_gl0_rd_rpntr_count(0), + O => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count6 + ); + BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count_xor_2_11 : LUT3 + generic map( + INIT => X"6A" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count(2), + I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count(1), + I2 => BU2_U0_grf_rf_gl0_wr_wpntr_count(0), + O => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count6 + ); + BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count_xor_1_11 : LUT2 + generic map( + INIT => X"6" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_rd_rpntr_count(1), + I1 => BU2_U0_grf_rf_gl0_rd_rpntr_count(0), + O => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count3 + ); + BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count_xor_1_11 : LUT2 + generic map( + INIT => X"6" + ) + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count(1), + I1 => BU2_U0_grf_rf_gl0_wr_wpntr_count(0), + O => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count3 + ); + BU2_U0_grf_rf_rstblk_rd_rst_comb1 : LUT2 + generic map( + INIT => X"4" + ) + port map ( + I0 => BU2_U0_grf_rf_rstblk_rd_rst_asreg_d2_56, + I1 => BU2_U0_grf_rf_rstblk_rd_rst_asreg_59, + O => BU2_U0_grf_rf_rstblk_rd_rst_comb + ); + BU2_U0_grf_rf_rstblk_wr_rst_comb1 : LUT2 + generic map( + INIT => X"4" + ) + port map ( + I0 => BU2_U0_grf_rf_rstblk_wr_rst_asreg_d2_58, + I1 => BU2_U0_grf_rf_rstblk_wr_rst_asreg_60, + O => BU2_U0_grf_rf_rstblk_wr_rst_comb + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i : FDC + generic map( + INIT => '0' + ) + port map ( + C => clk, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_128 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i : FDC + generic map( + INIT => '0' + ) + port map ( + C => clk, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_127 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i : FDPE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_not0001, + D => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_prog_full_i_mux0006, + PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + Q => prog_full + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_1 : FDC + generic map( + INIT => '0' + ) + port map ( + C => clk, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(1), + Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(1) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_2 : FDC + generic map( + INIT => '0' + ) + port map ( + C => clk, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(2), + Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(2) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_3 : FDC + generic map( + INIT => '0' + ) + port map ( + C => clk, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(3), + Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_4 : FDC + generic map( + INIT => '0' + ) + port map ( + C => clk, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(4), + Q => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand : MULT_AND + port map ( + I0 => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + I1 => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand_120, + LO => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand1 + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy_0_Q : MUXCY + port map ( + CI => BU2_N1, + DI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_rd_pntr_wr_inv_pad_0_mand1, + S => BU2_rd_data_count(0), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(0) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy_1_Q : MUXCY + port map ( + CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(0), + DI => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(0), + S => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(1), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(1) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_xor_1_Q : XORCY + port map ( + CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(0), + LI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(1), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(1) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy_2_Q : MUXCY + port map ( + CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(1), + DI => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(1), + S => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(2), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(2) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_xor_2_Q : XORCY + port map ( + CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(1), + LI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(2), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(2) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy_3_Q : MUXCY + port map ( + CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(2), + DI => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(2), + S => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(3), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(3) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_xor_3_Q : XORCY + port map ( + CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(2), + LI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(3), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(3) + ); + BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_xor_4_Q : XORCY + port map ( + CI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_cy(3), + LI => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_Madd_diff_pntr_pad_add0000_lut(4), + O => BU2_U0_grf_rf_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_add0000(4) + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1 : FDP + generic map( + INIT => '1' + ) + port map ( + C => clk, + D => BU2_rd_data_count(0), + PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + Q => BU2_U0_grf_rf_gl0_wr_gwss_wsts_wr_rst_d1_106 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i : FDP + generic map( + INIT => '0' + ) + port map ( + C => clk, + D => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_104, + PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + Q => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_fb_i_105 + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_i : FDP + generic map( + INIT => '0' + ) + port map ( + C => clk, + D => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_full_comb_104, + PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + Q => full + ); + BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb : FDP + generic map( + INIT => '0' + ) + port map ( + C => clk, + D => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_or0000, + PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + Q => BU2_U0_grf_rf_gl0_wr_gwss_wsts_ram_afull_fb_103 + ); + BU2_U0_grf_rf_gl0_rd_rpntr_count_2 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + D => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count6, + Q => BU2_U0_grf_rf_gl0_rd_rpntr_count(2) + ); + BU2_U0_grf_rf_gl0_rd_rpntr_count_1 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + D => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count3, + Q => BU2_U0_grf_rf_gl0_rd_rpntr_count(1) + ); + BU2_U0_grf_rf_gl0_rd_rpntr_count_3 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + D => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count9, + Q => BU2_U0_grf_rf_gl0_rd_rpntr_count(3) + ); + BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_3 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + D => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count10, + Q => NlwRenamedSig_OI_data_count(3) + ); + BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_2 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + D => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count7, + Q => NlwRenamedSig_OI_data_count(2) + ); + BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_1 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + D => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count4, + Q => NlwRenamedSig_OI_data_count(1) + ); + BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_0 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + D => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count1, + Q => NlwRenamedSig_OI_data_count(0) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_3 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count9, + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count(3) + ); + BU2_U0_grf_rf_gl0_rd_rpntr_count_0 : FDPE + generic map( + INIT => '1' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + D => BU2_U0_grf_rf_gl0_rd_rpntr_Mcount_count, + PRE => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + Q => BU2_U0_grf_rf_gl0_rd_rpntr_count(0) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_1 : FDPE + generic map( + INIT => '1' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + D => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count3, + PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count(1) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_0 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count, + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count(0) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_2 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_wpntr_Mcount_count6, + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count(2) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_d1_0 : FDPE + generic map( + INIT => '1' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + D => BU2_U0_grf_rf_gl0_wr_wpntr_count(0), + PRE => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(0) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_d1_1 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_wpntr_count(1), + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(1) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_d1_2 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_wpntr_count(2), + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(2) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_d1_3 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_wpntr_count(3), + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(3) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_d2_3 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(3), + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(3) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_d2_2 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(2), + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(2) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_d2_1 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(1), + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(1) + ); + BU2_U0_grf_rf_gl0_wr_wpntr_count_d2_0 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_wr_wpntr_count_not0001, + CLR => BU2_U0_grf_rf_rstblk_wr_rst_reg(1), + D => BU2_U0_grf_rf_gl0_wr_wpntr_count_d1(0), + Q => BU2_U0_grf_rf_gl0_wr_wpntr_count_d2(0) + ); + BU2_U0_grf_rf_gl0_rd_rpntr_count_d1_3 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + D => BU2_U0_grf_rf_gl0_rd_rpntr_count(3), + Q => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(3) + ); + BU2_U0_grf_rf_gl0_rd_rpntr_count_d1_2 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + D => BU2_U0_grf_rf_gl0_rd_rpntr_count(2), + Q => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(2) + ); + BU2_U0_grf_rf_gl0_rd_rpntr_count_d1_1 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + D => BU2_U0_grf_rf_gl0_rd_rpntr_count(1), + Q => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(1) + ); + BU2_U0_grf_rf_gl0_rd_rpntr_count_d1_0 : FDCE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_gl0_rd_grss_gdc_dc_dc_Mcount_count, + CLR => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + D => BU2_U0_grf_rf_gl0_rd_rpntr_count(0), + Q => BU2_U0_grf_rf_gl0_rd_rpntr_count_d1(0) + ); + BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_i : FDP + generic map( + INIT => '1' + ) + port map ( + C => clk, + D => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_mux0000, + PRE => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + Q => empty + ); + BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i : FDP + generic map( + INIT => '1' + ) + port map ( + C => clk, + D => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_mux0000, + PRE => BU2_U0_grf_rf_rstblk_rd_rst_reg(2), + Q => BU2_U0_grf_rf_gl0_rd_grss_rsts_ram_empty_fb_i_66 + ); + BU2_U0_grf_rf_rstblk_wr_rst_reg_1 : FDP + generic map( + INIT => '0' + ) + port map ( + C => clk, + D => BU2_rd_data_count(0), + PRE => BU2_U0_grf_rf_rstblk_wr_rst_comb, + Q => BU2_U0_grf_rf_rstblk_wr_rst_reg(1) + ); + BU2_U0_grf_rf_rstblk_rd_rst_reg_2 : FDP + generic map( + INIT => '0' + ) + port map ( + C => clk, + D => BU2_rd_data_count(0), + PRE => BU2_U0_grf_rf_rstblk_rd_rst_comb, + Q => BU2_U0_grf_rf_rstblk_rd_rst_reg(2) + ); + BU2_U0_grf_rf_rstblk_rd_rst_asreg : FDPE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_rstblk_rd_rst_asreg_d1_55, + D => BU2_rd_data_count(0), + PRE => rst, + Q => BU2_U0_grf_rf_rstblk_rd_rst_asreg_59 + ); + BU2_U0_grf_rf_rstblk_wr_rst_asreg_d1 : FD + generic map( + INIT => '0' + ) + port map ( + C => clk, + D => BU2_U0_grf_rf_rstblk_wr_rst_asreg_60, + Q => BU2_U0_grf_rf_rstblk_wr_rst_asreg_d1_57 + ); + BU2_U0_grf_rf_rstblk_wr_rst_asreg : FDPE + generic map( + INIT => '0' + ) + port map ( + C => clk, + CE => BU2_U0_grf_rf_rstblk_wr_rst_asreg_d1_57, + D => BU2_rd_data_count(0), + PRE => rst, + Q => BU2_U0_grf_rf_rstblk_wr_rst_asreg_60 + ); + BU2_U0_grf_rf_rstblk_rd_rst_asreg_d1 : FD + generic map( + INIT => '0' + ) + port map ( + C => clk, + D => BU2_U0_grf_rf_rstblk_rd_rst_asreg_59, + Q => BU2_U0_grf_rf_rstblk_rd_rst_asreg_d1_55 + ); + BU2_U0_grf_rf_rstblk_wr_rst_asreg_d2 : FD + generic map( + INIT => '0' + ) + port map ( + C => clk, + D => BU2_U0_grf_rf_rstblk_wr_rst_asreg_d1_57, + Q => BU2_U0_grf_rf_rstblk_wr_rst_asreg_d2_58 + ); + BU2_U0_grf_rf_rstblk_rd_rst_asreg_d2 : FD + generic map( + INIT => '0' + ) + port map ( + C => clk, + D => BU2_U0_grf_rf_rstblk_rd_rst_asreg_d1_55, + Q => BU2_U0_grf_rf_rstblk_rd_rst_asreg_d2_56 + ); + BU2_XST_VCC : VCC + port map ( + P => BU2_N1 + ); + BU2_XST_GND : GND + port map ( + G => BU2_rd_data_count(0) + ); -END xilinx_fifo_19x16_obuf_a; +end STRUCTURE; +-- synthesis translate_on diff --git a/xilinx/virtex4/xilinx_fifo_19x16_obuf.xco b/xilinx/virtex4/xilinx_fifo_19x16_obuf.xco index 9e6c0dd..40ece94 100644 --- a/xilinx/virtex4/xilinx_fifo_19x16_obuf.xco +++ b/xilinx/virtex4/xilinx_fifo_19x16_obuf.xco @@ -1,7 +1,7 @@ ############################################################## # -# Xilinx Core Generator version J.40 -# Date: Fri Oct 22 12:18:02 2010 +# Xilinx Core Generator version K.39 +# Date: Wed Oct 19 13:47:43 2011 # ############################################################## # @@ -14,21 +14,21 @@ # # BEGIN Project Options SET addpads = False -SET asysymbol = True +SET asysymbol = False SET busformat = BusFormatAngleBracketNotRipped SET createndf = False SET designentry = VHDL SET device = xc4vlx40 SET devicefamily = virtex4 -SET flowvendor = Foundation_iSE +SET flowvendor = Other SET formalverification = False SET foundationsym = False SET implementationfiletype = Ngc SET package = ff1148 SET removerpms = False -SET simulationfiles = Behavioral +SET simulationfiles = Structural SET speedgrade = -10 -SET verilogsim = True +SET verilogsim = False SET vhdlsim = True # END Project Options # BEGIN Select @@ -39,43 +39,43 @@ CSET almost_empty_flag=false CSET almost_full_flag=false CSET component_name=xilinx_fifo_19x16_obuf CSET data_count=true -CSET data_count_width=5 +CSET data_count_width=4 CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 +CSET empty_threshold_assert_value=2 +CSET empty_threshold_negate_value=3 CSET enable_ecc=false CSET enable_int_clk=false CSET fifo_implementation=Common_Clock_Block_RAM CSET full_flags_reset_value=1 -CSET full_threshold_assert_value=15 -CSET full_threshold_negate_value=14 +CSET full_threshold_assert_value=14 +CSET full_threshold_negate_value=13 CSET input_data_width=19 CSET input_depth=16 CSET output_data_width=19 CSET output_depth=16 CSET overflow_flag=false CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through +CSET performance_options=Standard_FIFO CSET programmable_empty_type=No_Programmable_Empty_Threshold CSET programmable_full_type=Single_Programmable_Full_Threshold_Input_Port CSET read_clock_frequency=1 CSET read_data_count=false -CSET read_data_count_width=5 +CSET read_data_count_width=4 CSET reset_pin=true CSET reset_type=Asynchronous_Reset CSET underflow_flag=false CSET underflow_sense=Active_High CSET use_dout_reset=false -CSET use_embedded_registers=true -CSET use_extra_logic=true +CSET use_embedded_registers=false +CSET use_extra_logic=false CSET valid_flag=false CSET valid_sense=Active_High CSET write_acknowledge_flag=false CSET write_acknowledge_sense=Active_High CSET write_clock_frequency=1 CSET write_data_count=false -CSET write_data_count_width=5 +CSET write_data_count_width=4 # END Parameters GENERATE -# CRC: 9158f11f +# CRC: febfada4 -- 2.43.0