From 2502e748e9d2afb5362a3a0143b341299d2015bc Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Fri, 3 Dec 2021 20:53:09 +0100 Subject: [PATCH] RST komma transmission inside MI --- media_interfaces/med_ecp3_sfp_sync_all_RS.vhd | 15 ++++++++++----- 1 file changed, 10 insertions(+), 5 deletions(-) diff --git a/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd b/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd index 2a493f4..ced1d90 100644 --- a/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd +++ b/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd @@ -132,7 +132,6 @@ architecture med_ecp3_sfp_sync_all_RS_arch of med_ecp3_sfp_sync_all_RS is signal global_reset_i : std_logic_vector(3 downto 0); - signal global_reset_sel : std_logic; signal pll_lol_i : std_logic; signal link_rx_ready_i : std_logic_vector(3 downto 0); @@ -191,14 +190,12 @@ begin -- global reset -- output only if a slave port is available in QUAD ------------------------------------------------- - global_reset_sel <= global_reset_i(0) when ((quad_mode >= 8) and (IS_MODE(0) = c_IS_SLAVE)) else + GLOBAL_RESET_OUT <= global_reset_i(0) when ((quad_mode >= 8) and (IS_MODE(0) = c_IS_SLAVE)) else global_reset_i(1) when ((quad_mode >= 8) and (IS_MODE(1) = c_IS_SLAVE)) else global_reset_i(2) when ((quad_mode >= 8) and (IS_MODE(2) = c_IS_SLAVE)) else global_reset_i(3) when ((quad_mode >= 8) and (IS_MODE(3) = c_IS_SLAVE)) else GLOBAL_RESET_IN; - GLOBAL_RESET_OUT <= global_reset_sel; - ------------------------------------------------- -- stable RX recovered clock available ------------------------------------------------- @@ -211,7 +208,7 @@ begin TX_CLK_AVAIL_OUT <= tx_clk_avail_sel; ------------------------------------------------- --- reset komma +-- reset komma receive -- output only if a slave port is available in QUAD ------------------------------------------------- RX_RST_OUT <= rx_rst_i(0) when ((quad_mode >= 8) and (IS_MODE(0) = c_IS_SLAVE)) else @@ -226,6 +223,14 @@ begin rx_rst_word_i(3*8+7 downto 3*8) when ((quad_mode >= 8) and (IS_MODE(3) = c_IS_SLAVE)) else x"00"; +------------------------------------------------- +-- reset komma transmit, only on master ports +------------------------------------------------- + tx_rst_i(0) <= TX_RST_IN when (IS_MODE(0) = c_IS_MASTER) else '0'; + tx_rst_i(1) <= TX_RST_IN when (IS_MODE(1) = c_IS_MASTER) else '0'; + tx_rst_i(2) <= TX_RST_IN when (IS_MODE(2) = c_IS_MASTER) else '0'; + tx_rst_i(3) <= TX_RST_IN when (IS_MODE(3) = c_IS_MASTER) else '0'; + ------------------------------------------------- -- Serdes ------------------------------------------------- -- 2.43.0