From 2f2e4b9e2aaefb479257e2e676375dcef43ef952 Mon Sep 17 00:00:00 2001 From: Tobias Weber Date: Wed, 13 Dec 2017 10:38:53 +0100 Subject: [PATCH] minor changes --- mupix/Mupix8/sources/CircularMemory.vhd | 2 +- mupix/Mupix8/sources/MupixBoard.vhd | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/mupix/Mupix8/sources/CircularMemory.vhd b/mupix/Mupix8/sources/CircularMemory.vhd index e99ebe4..4544389 100644 --- a/mupix/Mupix8/sources/CircularMemory.vhd +++ b/mupix/Mupix8/sources/CircularMemory.vhd @@ -24,7 +24,7 @@ entity CircularMemory is data_out : out std_logic_vector(g_datawidth - 1 downto 0); -- output word empty : out std_logic; --empty flag full : out std_logic; --full flag - fillcnt : out std_logic_vector(g_addresswidth - 1 downto 0); -- number of words in circullar buffer + fillcnt : out std_logic_vector(g_addresswidth - 1 downto 0); -- number of words in circular buffer inword_freq : out std_logic_vector(31 downto 0); -- number of input words per second outword_freq : out std_logic_vector(31 downto 0) -- number of output words per second ); diff --git a/mupix/Mupix8/sources/MupixBoard.vhd b/mupix/Mupix8/sources/MupixBoard.vhd index 3a62433..6343fd6 100644 --- a/mupix/Mupix8/sources/MupixBoard.vhd +++ b/mupix/Mupix8/sources/MupixBoard.vhd @@ -45,7 +45,7 @@ entity MupixBoard is --fast data signals clkref : out std_logic; --reference clock clkext : out std_logic; --external clock (difference to first one?) - syncres : out std_logic; --sync something + syncres : out std_logic; --synchronous reset of mupix 8 counters and time stamps trigger : in std_logic; --external trigger --data data1_P : in std_logic; --data 1 -- 2.43.0