From 30cc0b4aa93514b7bc91f7f30885994074121086 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Fri, 18 Mar 2016 15:49:26 +0100 Subject: [PATCH] Additional temperature output for hub --- trb_net16_hub_base.vhd | 3 +- trb_net16_hub_func.vhd | 216 +---------------------------------------- 2 files changed, 3 insertions(+), 216 deletions(-) diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index a086d19..981b485 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -88,6 +88,7 @@ entity trb_net16_hub_base is COMMON_STAT_REG_STROBE : out std_logic_vector (std_COMSTATREG-1 downto 0); COMMON_CTRL_REG_STROBE : out std_logic_vector (std_COMCTRLREG-1 downto 0); MY_ADDRESS_OUT : out std_logic_vector (15 downto 0); + TEMPERATURE_OUT : out std_logic_vector (11 downto 0); --REGIO INTERFACE REGIO_ADDR_OUT : out std_logic_vector(16-1 downto 0); REGIO_READ_ENABLE_OUT : out std_logic; @@ -1758,5 +1759,5 @@ HUB_MED_CONNECTED(31 downto MII_NUMBER) <= (others => '1'); TIMER_TICKS_OUT(0) <= timer_us_tick; TIMER_TICKS_OUT(1) <= timer_ms_tick; - + TEMPERATURE_OUT <= TEMP_OUT; end architecture; diff --git a/trb_net16_hub_func.vhd b/trb_net16_hub_func.vhd index 2c451c3..a72189a 100644 --- a/trb_net16_hub_func.vhd +++ b/trb_net16_hub_func.vhd @@ -166,6 +166,7 @@ package trb_net16_hub_func is REGIO_TIMEOUT_OUT : out std_logic; REGIO_VAR_ENDPOINT_ID : in std_logic_vector(15 downto 0) := (others => '0'); TIMER_TICKS_OUT : out std_logic_vector(1 downto 0); + TEMPERATURE_OUT : out std_logic_vector (11 downto 0); ONEWIRE : inout std_logic; ONEWIRE_MONITOR_IN : in std_logic; ONEWIRE_MONITOR_OUT : out std_logic; @@ -380,221 +381,6 @@ component trb_net16_hub_streaming_port is ); end component; --- component trb_net16_gbe_buf is --- generic( --- DO_SIMULATION : integer range 0 to 1 := 1; --- USE_125MHZ_EXTCLK : integer range 0 to 1 := 1 --- ); --- port( --- CLK : in std_logic; --- TEST_CLK : in std_logic; -- only for simulation! --- CLK_125_TX_IN : in std_logic; -- gk 28.04.01 used only in internal 125MHz clock mode --- CLK_125_RX_IN : in std_logic; -- gk 28.04.01 used only in internal 125MHz clock mode --- RESET : in std_logic; --- GSR_N : in std_logic; --- -- Debug --- STAGE_STAT_REGS_OUT : out std_logic_vector(31 downto 0); --- STAGE_CTRL_REGS_IN : in std_logic_vector(31 downto 0); --- -- configuration interface --- IP_CFG_START_IN : in std_logic; --- IP_CFG_BANK_SEL_IN : in std_logic_vector(3 downto 0); --- IP_CFG_DONE_OUT : out std_logic; --- IP_CFG_MEM_ADDR_OUT : out std_logic_vector(7 downto 0); --- IP_CFG_MEM_DATA_IN : in std_logic_vector(31 downto 0); --- IP_CFG_MEM_CLK_OUT : out std_logic; --- MR_RESET_IN : in std_logic; --- MR_MODE_IN : in std_logic; --- MR_RESTART_IN : in std_logic; --- -- gk 29.03.10 --- SLV_ADDR_IN : in std_logic_vector(7 downto 0); --- SLV_READ_IN : in std_logic; --- SLV_WRITE_IN : in std_logic; --- SLV_BUSY_OUT : out std_logic; --- SLV_ACK_OUT : out std_logic; --- SLV_DATA_IN : in std_logic_vector(31 downto 0); --- SLV_DATA_OUT : out std_logic_vector(31 downto 0); --- -- gk 22.04.10 --- -- registers setup interface --- BUS_ADDR_IN : in std_logic_vector(7 downto 0); --- BUS_DATA_IN : in std_logic_vector(31 downto 0); --- BUS_DATA_OUT : out std_logic_vector(31 downto 0); -- gk 26.04.10 --- BUS_WRITE_EN_IN : in std_logic; -- gk 26.04.10 --- BUS_READ_EN_IN : in std_logic; -- gk 26.04.10 --- BUS_ACK_OUT : out std_logic; -- gk 26.04.10 --- -- gk 23.04.10 --- LED_PACKET_SENT_OUT : out std_logic; --- LED_AN_DONE_N_OUT : out std_logic; --- -- CTS interface --- CTS_NUMBER_IN : in std_logic_vector (15 downto 0); --- CTS_CODE_IN : in std_logic_vector (7 downto 0); --- CTS_INFORMATION_IN : in std_logic_vector (7 downto 0); --- CTS_READOUT_TYPE_IN : in std_logic_vector (3 downto 0); --- CTS_START_READOUT_IN : in std_logic; --- CTS_DATA_OUT : out std_logic_vector (31 downto 0); --- CTS_DATAREADY_OUT : out std_logic; --- CTS_READOUT_FINISHED_OUT : out std_logic; --- CTS_READ_IN : in std_logic; --- CTS_LENGTH_OUT : out std_logic_vector (15 downto 0); --- CTS_ERROR_PATTERN_OUT : out std_logic_vector (31 downto 0); --- -- Data payload interface --- FEE_DATA_IN : in std_logic_vector (15 downto 0); --- FEE_DATAREADY_IN : in std_logic; --- FEE_READ_OUT : out std_logic; --- FEE_STATUS_BITS_IN : in std_logic_vector (31 downto 0); --- FEE_BUSY_IN : in std_logic; --- --SFP Connection --- SFP_RXD_P_IN : in std_logic; --- SFP_RXD_N_IN : in std_logic; --- SFP_TXD_P_OUT : out std_logic; --- SFP_TXD_N_OUT : out std_logic; --- SFP_REFCLK_P_IN : in std_logic; --- SFP_REFCLK_N_IN : in std_logic; --- SFP_PRSNT_N_IN : in std_logic; -- SFP Present ('0' = SFP in place, '1' = no SFP mounted) --- SFP_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal) --- SFP_TXDIS_OUT : out std_logic; -- SFP disable --- ------------------------------------------------------------------------------------------- --- ------------------------------------------------------------------------------------------- --- -- PacketConstructor interface --- IG_CTS_CTR_TST : out std_logic_vector(2 downto 0); --- IG_REM_CTR_TST : out std_logic_vector(3 downto 0); --- IG_BSM_LOAD_TST : out std_logic_vector(3 downto 0); --- IG_BSM_SAVE_TST : out std_logic_vector(3 downto 0); --- IG_DATA_TST : out std_logic_vector(15 downto 0); --- IG_WCNT_TST : out std_logic_vector(15 downto 0); --- IG_RCNT_TST : out std_logic_vector(16 downto 0); --- IG_RD_EN_TST : out std_logic; --- IG_WR_EN_TST : out std_logic; --- IG_EMPTY_TST : out std_logic; --- IG_AEMPTY_TST : out std_logic; --- IG_FULL_TST : out std_logic; --- IG_AFULL_TST : out std_logic; --- PC_WR_EN_TST : out std_logic; --- PC_DATA_TST : out std_logic_vector (7 downto 0); --- PC_READY_TST : out std_logic; --- PC_START_OF_SUB_TST : out std_logic; --- PC_END_OF_DATA_TST : out std_logic; --- PC_SUB_SIZE_TST : out std_logic_vector(31 downto 0); --- PC_TRIG_NR_TST : out std_logic_vector(31 downto 0); --- PC_PADDING_TST : out std_logic; --- PC_DECODING_TST : out std_logic_vector(31 downto 0); --- PC_EVENT_ID_TST : out std_logic_vector(31 downto 0); --- PC_QUEUE_DEC_TST : out std_logic_vector(31 downto 0); --- PC_BSM_CONSTR_TST : out std_logic_vector(3 downto 0); --- PC_BSM_LOAD_TST : out std_logic_vector(3 downto 0); --- PC_BSM_SAVE_TST : out std_logic_vector(3 downto 0); --- PC_SHF_EMPTY_TST : out std_logic; --- PC_SHF_FULL_TST : out std_logic; --- PC_SHF_WR_EN_TST : out std_logic; --- PC_SHF_RD_EN_TST : out std_logic; --- PC_SHF_Q_TST : out std_logic_vector(7 downto 0); --- PC_DF_EMPTY_TST : out std_logic; --- PC_DF_FULL_TST : out std_logic; --- PC_DF_WR_EN_TST : out std_logic; --- PC_DF_RD_EN_TST : out std_logic; --- PC_DF_Q_TST : out std_logic_vector(7 downto 0); --- PC_ALL_CTR_TST : out std_logic_vector(4 downto 0); --- PC_SUB_CTR_TST : out std_logic_vector(4 downto 0); --- PC_BYTES_LOADED_TST : out std_logic_vector(15 downto 0); --- PC_SIZE_LEFT_TST : out std_logic_vector(31 downto 0); --- PC_SUB_SIZE_TO_SAVE_TST : out std_logic_vector(31 downto 0); --- PC_SUB_SIZE_LOADED_TST : out std_logic_vector(31 downto 0); --- PC_SUB_BYTES_LOADED_TST : out std_logic_vector(31 downto 0); --- PC_QUEUE_SIZE_TST : out std_logic_vector(31 downto 0); --- PC_ACT_QUEUE_SIZE_TST : out std_logic_vector(31 downto 0); --- ------------------------------------------------------------------------------------------- --- ------------------------------------------------------------------------------------------- --- -- FrameConstructor interface --- FC_WR_EN_TST : out std_logic; --- FC_DATA_TST : out std_logic_vector(7 downto 0); --- FC_H_READY_TST : out std_logic; --- FC_READY_TST : out std_logic; --- FC_IP_SIZE_TST : out std_logic_vector(15 downto 0); --- FC_UDP_SIZE_TST : out std_logic_vector(15 downto 0); --- FC_IDENT_TST : out std_logic_vector(15 downto 0); --- FC_FLAGS_OFFSET_TST : out std_logic_vector(15 downto 0); --- FC_SOD_TST : out std_logic; --- FC_EOD_TST : out std_logic; --- FC_BSM_CONSTR_TST : out std_logic_vector(7 downto 0); --- FC_BSM_TRANS_TST : out std_logic_vector(3 downto 0); --- ------------------------------------------------------------------------------------------- --- ------------------------------------------------------------------------------------------- --- -- FrameTransmitter interface --- FT_DATA_TST : out std_logic_vector(8 downto 0); --- FT_TX_EMPTY_TST : out std_logic; --- FT_START_OF_PACKET_TST : out std_logic; --- FT_BSM_INIT_TST : out std_logic_vector(3 downto 0); --- FT_BSM_MAC_TST : out std_logic_vector(3 downto 0); --- FT_BSM_TRANS_TST : out std_logic_vector(3 downto 0); --- ------------------------------------------------------------------------------------------- --- ------------------------------------------------------------------------------------------- --- -- MAC interface --- MAC_HADDR_TST : out std_logic_vector(7 downto 0); --- MAC_HDATA_TST : out std_logic_vector(7 downto 0); --- MAC_HCS_TST : out std_logic; --- MAC_HWRITE_TST : out std_logic; --- MAC_HREAD_TST : out std_logic; --- MAC_HREADY_TST : out std_logic; --- MAC_HDATA_EN_TST : out std_logic; --- MAC_FIFOAVAIL_TST : out std_logic; --- MAC_FIFOEOF_TST : out std_logic; --- MAC_FIFOEMPTY_TST : out std_logic; --- MAC_TX_READ_TST : out std_logic; --- MAC_TX_DONE_TST : out std_logic; --- ------------------------------------------------------------------------------------------- --- ------------------------------------------------------------------------------------------- --- -- pcs and serdes --- PCS_AN_LP_ABILITY_TST : out std_logic_vector(15 downto 0); --- PCS_AN_COMPLETE_TST : out std_logic; --- PCS_AN_PAGE_RX_TST : out std_logic; --- ------------------------------------------------------------------------------------------- --- ------------------------------------------------------------------------------------------- --- -- debug ports --- ANALYZER_DEBUG_OUT : out std_logic_vector(63 downto 0) --- ); --- end component; - --- component gbe_setup is --- port( --- CLK : in std_logic; --- RESET : in std_logic; --- --- -- interface to regio bus --- BUS_ADDR_IN : in std_logic_vector(7 downto 0); --- BUS_DATA_IN : in std_logic_vector(31 downto 0); --- BUS_DATA_OUT : out std_logic_vector(31 downto 0); -- gk 26.04.10 --- BUS_WRITE_EN_IN : in std_logic; -- gk 26.04.10 --- BUS_READ_EN_IN : in std_logic; -- gk 26.04.10 --- BUS_ACK_OUT : out std_logic; -- gk 26.04.10 --- --- -- gk 26.04.10 --- -- input from gbe_buf (only to return the whole trigger number via regio) --- GBE_TRIG_NR_IN : in std_logic_vector(31 downto 0); --- --- -- output to gbe_buf --- GBE_SUBEVENT_ID_OUT : out std_logic_vector(31 downto 0); --- GBE_SUBEVENT_DEC_OUT : out std_logic_vector(31 downto 0); --- GBE_QUEUE_DEC_OUT : out std_logic_vector(31 downto 0); --- GBE_MAX_PACKET_OUT : out std_logic_vector(31 downto 0); --- GBE_MIN_PACKET_OUT : out std_logic_vector(31 downto 0); --- GBE_MAX_FRAME_OUT : out std_logic_vector(15 downto 0); --- GBE_USE_GBE_OUT : out std_logic; --- GBE_USE_TRBNET_OUT : out std_logic; --- GBE_USE_MULTIEVENTS_OUT : out std_logic; --- GBE_READOUT_CTR_OUT : out std_logic_vector(23 downto 0); -- gk 26.04.10 --- GBE_READOUT_CTR_VALID_OUT : out std_logic; -- gk 26.04.10 --- GBE_DELAY_OUT : out std_logic_vector(31 downto 0); --- -- gk 01.06.10 --- DBG_IPU2GBE1_IN : in std_logic_vector(31 downto 0); --- DBG_IPU2GBE2_IN : in std_logic_vector(31 downto 0); --- DBG_PC1_IN : in std_logic_vector(31 downto 0); --- DBG_PC2_IN : in std_logic_vector(31 downto 0); --- DBG_FC1_IN : in std_logic_vector(31 downto 0); --- DBG_FC2_IN : in std_logic_vector(31 downto 0); --- DBG_FT1_IN : in std_logic_vector(31 downto 0); --- DBG_FT2_IN : in std_logic_vector(31 downto 0) --- ); --- end component; - component trb_net16_hub_streaming_port_sctrl is generic( --hub control -- 2.43.0