From 3b4344fde5b253745e8cd6d82ccadc0b98be0848 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Tue, 2 Jun 2009 09:46:18 +0000 Subject: [PATCH] *** empty log message *** --- design/mdc_oepb_pack.vhd | 2 +- mdc_oepb.vhd | 6 +++--- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/design/mdc_oepb_pack.vhd b/design/mdc_oepb_pack.vhd index 699c8ee..f1427c5 100644 --- a/design/mdc_oepb_pack.vhd +++ b/design/mdc_oepb_pack.vhd @@ -224,7 +224,7 @@ component mdc_addon_daq_bus_0 REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001"; REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678"; REGIO_USE_1WIRE_INTERFACE: integer := c_YES; --c_YES,c_NO,c_MONITOR - CLOCK_FREQUENCY : itneger range 1 to 200 := 100; + CLOCK_FREQUENCY : integer range 1 to 200 := 100 ); port( diff --git a/mdc_oepb.vhd b/mdc_oepb.vhd index ce90923..00dbfdd 100644 --- a/mdc_oepb.vhd +++ b/mdc_oepb.vhd @@ -66,7 +66,7 @@ architecture mdc_oepb_arch of mdc_oepb is signal MED_STAT_OP : std_logic_vector(16-1 downto 0); signal MED_CTRL_OP : std_logic_vector(16-1 downto 0); signal MED_STAT_DEBUG : std_logic_vector(63 downto 0); - signal MED_CTRL_DEBUG : std_logic_vector(63 downto 0); + signal MED_CTRL_DEBUG : std_logic_vector(15 downto 0); signal LVL1_TRG_TYPE_OUT : std_logic_vector(3 downto 0); signal LVL1_TRG_RECEIVED_OUT : std_logic; @@ -195,7 +195,6 @@ begin --------------------------------------------------------------------- API: trb_net16_endpoint_hades_full generic map( - BROADCAST_BITMASK => x"FD", REGIO_NUM_STAT_REGS => 3, REGIO_NUM_CTRL_REGS => 3, --standard values for output registers @@ -204,7 +203,8 @@ begin REGIO_USED_CTRL_REGS => (others => '1'), REGIO_USED_CTRL_BITMASK => (others => '1'), BROADCAST_BITMASK => x"FD", - REGIO_COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)) + REGIO_COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)), + CLOCK_FREQUENCY => 100 ) port map( CLK => CLK_100, -- 2.43.0