From 40a56afa6ef30cae213dc15116b7c208be703a1b Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Tue, 9 Aug 2022 09:52:34 +0200 Subject: [PATCH] Move 'gbe' project to old directory --- .../syn_results/synlog/extref_compiler.srr | 46 - .../syn_results/synlog/extref_compiler.srr.db | Bin 8192 -> 0 bytes .../synlog/extref_compiler.srr.rptmap | 1 - .../syn_results/synlog/extref_fpga_mapper.srr | 201 ----- .../synlog/extref_fpga_mapper.srr.db | Bin 8192 -> 0 bytes .../syn_results/synlog/extref_fpga_mapper.szr | Bin 5141 -> 0 bytes .../synlog/extref_multi_srs_gen.srr | 11 - .../synlog/extref_multi_srs_gen.srr.db | Bin 8192 -> 0 bytes .../syn_results/synlog/extref_premap.srr | 62 -- .../syn_results/synlog/extref_premap.srr.db | Bin 8192 -> 0 bytes .../syn_results/synlog/extref_premap.szr | Bin 2533 -> 0 bytes .../syn_results/synlog/layer0.tlg.rptmap | 1 - .../synlog/report/extref_compiler_notes.txt | 8 - .../report/extref_compiler_runstatus.xml | 41 - .../report/extref_fpga_mapper_area_report.xml | 26 - .../report/extref_fpga_mapper_errors.txt | 0 .../report/extref_fpga_mapper_notes.txt | 7 - .../report/extref_fpga_mapper_opt_report.xml | 14 - .../report/extref_fpga_mapper_runstatus.xml | 46 - .../extref_fpga_mapper_timing_report.xml | 23 - .../report/extref_fpga_mapper_warnings.txt | 1 - .../synlog/report/extref_premap_errors.txt | 0 .../synlog/report/extref_premap_notes.txt | 2 - .../synlog/report/extref_premap_runstatus.xml | 46 - .../synlog/report/extref_premap_warnings.txt | 0 .../syn_results/synlog/report/metrics.db | Bin 20480 -> 0 bytes .../synlog/syntax_constraint_check.rpt.rptmap | 1 - .../extref/syn_results/syntmp/extref.plg | 8 - .../extref/syn_results/syntmp/extref_srr.htm | 357 -------- .../extref/syn_results/syntmp/extref_toc.htm | 38 - .../extref/syn_results/syntmp/run_option.xml | 24 - .../syn_results/syntmp/statusReport.html | 112 --- .../extref/syn_results/synwork/.cckTransfer | Bin 457 -> 0 bytes .../extref/syn_results/synwork/_mh_info | 1 - .../syn_results/synwork/extref_comp.fdep | 21 - .../syn_results/synwork/extref_comp.srs | Bin 2470 -> 0 bytes .../extref/syn_results/synwork/extref_m.srm | Bin 3159 -> 0 bytes .../synwork/extref_m_srm/fileinfo.srm | Bin 320 -> 0 bytes .../syn_results/synwork/extref_mult.srs | Bin 2126 -> 0 bytes .../synwork/extref_mult_srs/fileinfo.srs | Bin 299 -> 0 bytes .../synwork/extref_mult_srs/skeleton.srs | Bin 514 -> 0 bytes .../syn_results/synwork/extref_prem.fse | 0 .../syn_results/synwork/extref_prem.srd | Bin 2465 -> 0 bytes .../extref/syn_results/synwork/layer0.fdep | 28 - .../syn_results/synwork/layer0.fdeporig | 24 - .../extref/syn_results/synwork/layer0.srs | Bin 2552 -> 0 bytes .../extref/syn_results/synwork/layer0.tlg | 4 - .../extref/syn_results/synwork/layer0.tlg.db | Bin 8192 -> 0 bytes .../syn_results/synwork/modulechange.db | Bin 28672 -> 0 bytes .../syn_results/synlog/layer0.tlg.rptmap | 1 - .../syn_results/synlog/layer1.tlg.rptmap | 1 - .../syn_results/synlog/linker.rpt.rptmap | 1 - .../syn_results/synlog/report/metrics.db | Bin 20480 -> 0 bytes .../report/sgmii_ecp5_compiler_notes.txt | 16 - .../report/sgmii_ecp5_compiler_runstatus.xml | 41 - .../report/sgmii_ecp5_compiler_warnings.txt | 77 -- .../sgmii_ecp5_fpga_mapper_area_report.xml | 26 - .../report/sgmii_ecp5_fpga_mapper_errors.txt | 0 .../report/sgmii_ecp5_fpga_mapper_notes.txt | 22 - .../sgmii_ecp5_fpga_mapper_opt_report.xml | 14 - .../sgmii_ecp5_fpga_mapper_runstatus.xml | 46 - .../sgmii_ecp5_fpga_mapper_timing_report.xml | 41 - .../sgmii_ecp5_fpga_mapper_warnings.txt | 4 - .../report/sgmii_ecp5_premap_errors.txt | 0 .../synlog/report/sgmii_ecp5_premap_notes.txt | 9 - .../report/sgmii_ecp5_premap_runstatus.xml | 46 - .../report/sgmii_ecp5_premap_warnings.txt | 3 - .../synlog/sgmii_ecp5_compiler.srr | 351 -------- .../synlog/sgmii_ecp5_compiler.srr.db | Bin 28672 -> 0 bytes .../synlog/sgmii_ecp5_compiler.srr.rptmap | 1 - .../synlog/sgmii_ecp5_fpga_mapper.srr | 673 -------------- .../synlog/sgmii_ecp5_fpga_mapper.srr.db | Bin 16384 -> 0 bytes .../synlog/sgmii_ecp5_fpga_mapper.szr | Bin 12840 -> 0 bytes .../synlog/sgmii_ecp5_fpga_mapper.xck | 3 - .../synlog/sgmii_ecp5_multi_srs_gen.srr | 11 - .../synlog/sgmii_ecp5_multi_srs_gen.srr.db | Bin 8192 -> 0 bytes .../syn_results/synlog/sgmii_ecp5_premap.srr | 86 -- .../synlog/sgmii_ecp5_premap.srr.db | Bin 8192 -> 0 bytes .../syn_results/synlog/sgmii_ecp5_premap.szr | Bin 3856 -> 0 bytes .../synlog/syntax_constraint_check.rpt.rptmap | 1 - .../syn_results/synwork/.cckTransfer | Bin 456 -> 0 bytes .../sgmii_ecp5/syn_results/synwork/_mh_info | 2 - .../syn_results/synwork/_verilog_hintfile | 69 -- .../syn_results/synwork/layer0.fdep | 33 - .../syn_results/synwork/layer0.fdeporig | 29 - .../sgmii_ecp5/syn_results/synwork/layer0.srs | Bin 50556 -> 0 bytes .../sgmii_ecp5/syn_results/synwork/layer0.tlg | 2 - .../syn_results/synwork/layer0.tlg.db | Bin 8192 -> 0 bytes .../syn_results/synwork/layer1.fdep | 22 - .../sgmii_ecp5/syn_results/synwork/layer1.srs | Bin 21473 -> 0 bytes .../sgmii_ecp5/syn_results/synwork/layer1.tlg | 252 ------ .../syn_results/synwork/layer1.tlg.db | Bin 28672 -> 0 bytes .../syn_results/synwork/modulechange.db | Bin 28672 -> 0 bytes .../layer0/modulechange.db | Bin 20480 -> 0 bytes .../layer1/modulechange.db | Bin 20480 -> 0 bytes .../syn_results/synwork/sgmii_ecp5_comp.fdep | 37 - .../syn_results/synwork/sgmii_ecp5_comp.srs | Bin 46539 -> 0 bytes .../syn_results/synwork/sgmii_ecp5_m.srm | Bin 31486 -> 0 bytes .../synwork/sgmii_ecp5_m_srm/1.srm | Bin 68660 -> 0 bytes .../synwork/sgmii_ecp5_m_srm/fileinfo.srm | Bin 425 -> 0 bytes .../syn_results/synwork/sgmii_ecp5_mult.srs | Bin 27443 -> 0 bytes .../synwork/sgmii_ecp5_mult_srs/1.srs | Bin 13852 -> 0 bytes .../synwork/sgmii_ecp5_mult_srs/fileinfo.srs | Bin 403 -> 0 bytes .../synwork/sgmii_ecp5_mult_srs/skeleton.srs | Bin 6095 -> 0 bytes .../syn_results/synwork/sgmii_ecp5_prem.srd | Bin 55846 -> 0 bytes .../pll_200_125_100/syn_results/_CMD_.CML | 1 - .../pll_200_125_100/syn_results/_cmd._cml | 1 - .../pll_200_125_100/syn_results/dm/layer0.xdm | 163 ---- .../syn_results/pll_200_125_100.areasrr | 15 - .../syn_results/pll_200_125_100.fse | 0 .../syn_results/pll_200_125_100.htm | 9 - .../syn_results/pll_200_125_100.prj | 46 - .../syn_results/pll_200_125_100.srd | Bin 5505 -> 0 bytes .../syn_results/pll_200_125_100.srf | 403 --------- .../syn_results/pll_200_125_100.srm | Bin 5633 -> 0 bytes .../syn_results/pll_200_125_100.srr | 403 --------- .../syn_results/pll_200_125_100.srr.db | Bin 8192 -> 0 bytes .../syn_results/pll_200_125_100.srs | Bin 4742 -> 0 bytes .../syn_results/pll_200_125_100.vhm | 108 --- .../syn_results/pll_200_125_100.vm | 117 --- .../syn_results/pll_200_125_100_cck.rpt.db | Bin 8192 -> 0 bytes .../syn_results/pll_200_125_100_scck.rpt.db | Bin 8192 -> 0 bytes .../syn_results/pll_200_125_100_synplify.lpf | 20 - .../pll_200_125_100_synplify_tmp2.lpf | 0 .../pll_200_125_100_synplify_tmp4.lpf | 0 .../pll_200_125_100_synplify_tmp8.lpf | 0 .../syn_results/run_options.txt | 75 -- .../syn_results/scratchproject.prs | 73 -- .../syn_results/synlog/layer0.tlg.rptmap | 1 - .../synlog/pll_200_125_100_compiler.srr | 51 -- .../synlog/pll_200_125_100_compiler.srr.db | Bin 8192 -> 0 bytes .../pll_200_125_100_compiler.srr.rptmap | 1 - .../synlog/pll_200_125_100_fpga_mapper.srr | 265 ------ .../synlog/pll_200_125_100_fpga_mapper.srr.db | Bin 8192 -> 0 bytes .../synlog/pll_200_125_100_fpga_mapper.szr | Bin 6127 -> 0 bytes .../synlog/pll_200_125_100_multi_srs_gen.srr | 11 - .../pll_200_125_100_multi_srs_gen.srr.db | Bin 8192 -> 0 bytes .../synlog/pll_200_125_100_premap.srr | 63 -- .../synlog/pll_200_125_100_premap.srr.db | Bin 8192 -> 0 bytes .../synlog/pll_200_125_100_premap.szr | Bin 2598 -> 0 bytes .../syn_results/synlog/report/metrics.db | Bin 20480 -> 0 bytes .../report/pll_200_125_100_compiler_notes.txt | 10 - .../pll_200_125_100_compiler_runstatus.xml | 41 - .../pll_200_125_100_compiler_warnings.txt | 2 - ...ll_200_125_100_fpga_mapper_area_report.xml | 26 - .../pll_200_125_100_fpga_mapper_errors.txt | 0 .../pll_200_125_100_fpga_mapper_notes.txt | 8 - ...pll_200_125_100_fpga_mapper_opt_report.xml | 14 - .../pll_200_125_100_fpga_mapper_runstatus.xml | 46 - ..._200_125_100_fpga_mapper_timing_report.xml | 23 - .../pll_200_125_100_fpga_mapper_warnings.txt | 1 - .../report/pll_200_125_100_premap_errors.txt | 0 .../report/pll_200_125_100_premap_notes.txt | 2 - .../pll_200_125_100_premap_runstatus.xml | 46 - .../pll_200_125_100_premap_warnings.txt | 0 .../synlog/syntax_constraint_check.rpt.rptmap | 1 - .../syn_results/syntmp/pll_200_125_100.plg | 8 - .../syntmp/pll_200_125_100_srr.htm | 428 --------- .../syntmp/pll_200_125_100_toc.htm | 45 - .../syn_results/syntmp/run_option.xml | 24 - .../syn_results/syntmp/statusReport.html | 112 --- .../syn_results/synwork/.cckTransfer | Bin 456 -> 0 bytes .../syn_results/synwork/_mh_info | 1 - .../syn_results/synwork/layer0.fdep | 28 - .../syn_results/synwork/layer0.fdeporig | 24 - .../syn_results/synwork/layer0.srs | Bin 6188 -> 0 bytes .../syn_results/synwork/layer0.tlg | 9 - .../syn_results/synwork/layer0.tlg.db | Bin 8192 -> 0 bytes .../syn_results/synwork/modulechange.db | Bin 28672 -> 0 bytes .../synwork/pll_200_125_100_comp.fdep | 21 - .../synwork/pll_200_125_100_comp.srs | Bin 5092 -> 0 bytes .../syn_results/synwork/pll_200_125_100_m.srm | Bin 5633 -> 0 bytes .../pll_200_125_100_m_srm/fileinfo.srm | Bin 316 -> 0 bytes .../synwork/pll_200_125_100_mult.srs | Bin 4742 -> 0 bytes .../pll_200_125_100_mult_srs/fileinfo.srs | Bin 296 -> 0 bytes .../pll_200_125_100_mult_srs/skeleton.srs | Bin 578 -> 0 bytes .../synwork/pll_200_125_100_prem.fse | 0 .../synwork/pll_200_125_100_prem.srd | Bin 4854 -> 0 bytes .../PCSD/syn_results/synlog/PCSD_compiler.srr | 201 ----- .../syn_results/synlog/PCSD_compiler.srr.db | Bin 20480 -> 0 bytes .../synlog/PCSD_compiler.srr.rptmap | 1 - .../syn_results/synlog/PCSD_fpga_mapper.srr | 537 ----------- .../synlog/PCSD_fpga_mapper.srr.db | Bin 8192 -> 0 bytes .../syn_results/synlog/PCSD_fpga_mapper.szr | Bin 10217 -> 0 bytes .../syn_results/synlog/PCSD_fpga_mapper.xck | 2 - .../syn_results/synlog/PCSD_multi_srs_gen.srr | 12 - .../synlog/PCSD_multi_srs_gen.srr.db | Bin 8192 -> 0 bytes .../PCSD/syn_results/synlog/PCSD_premap.srr | 70 -- .../syn_results/synlog/PCSD_premap.srr.db | Bin 8192 -> 0 bytes .../PCSD/syn_results/synlog/PCSD_premap.szr | Bin 3007 -> 0 bytes .../PCSD/syn_results/synlog/layer0.tlg.rptmap | 1 - .../PCSD/syn_results/synlog/layer1.tlg.rptmap | 1 - .../PCSD/syn_results/synlog/linker.rpt.rptmap | 1 - .../synlog/report/PCSD_compiler_notes.txt | 11 - .../synlog/report/PCSD_compiler_runstatus.xml | 41 - .../synlog/report/PCSD_compiler_warnings.txt | 51 -- .../report/PCSD_fpga_mapper_area_report.xml | 26 - .../synlog/report/PCSD_fpga_mapper_errors.txt | 0 .../synlog/report/PCSD_fpga_mapper_notes.txt | 11 - .../report/PCSD_fpga_mapper_opt_report.xml | 14 - .../report/PCSD_fpga_mapper_runstatus.xml | 46 - .../report/PCSD_fpga_mapper_timing_report.xml | 35 - .../report/PCSD_fpga_mapper_warnings.txt | 3 - .../synlog/report/PCSD_premap_errors.txt | 0 .../synlog/report/PCSD_premap_notes.txt | 2 - .../synlog/report/PCSD_premap_runstatus.xml | 46 - .../synlog/report/PCSD_premap_warnings.txt | 2 - .../PCSD/syn_results/synlog/report/metrics.db | Bin 20480 -> 0 bytes .../synlog/syntax_constraint_check.rpt.rptmap | 1 - .../sgmii/PCSD/syn_results/syntmp/PCSD.plg | 19 - .../PCSD/syn_results/syntmp/PCSD_srr.htm | 848 ------------------ .../PCSD/syn_results/syntmp/PCSD_toc.htm | 55 -- .../PCSD/syn_results/syntmp/run_option.xml | 24 - .../PCSD/syn_results/syntmp/statusReport.html | 114 --- .../PCSD/syn_results/synwork/.cckTransfer | Bin 457 -> 0 bytes .../PCSD/syn_results/synwork/PCSD_comp.fdep | 35 - .../syn_results/synwork/PCSD_comp.linkerlog | 0 .../PCSD/syn_results/synwork/PCSD_comp.srs | Bin 31689 -> 0 bytes .../sgmii/PCSD/syn_results/synwork/PCSD_m.srm | Bin 27644 -> 0 bytes .../PCSD/syn_results/synwork/PCSD_m_srm/1.srm | Bin 26132 -> 0 bytes .../synwork/PCSD_m_srm/fileinfo.srm | Bin 414 -> 0 bytes .../PCSD/syn_results/synwork/PCSD_mult.srs | Bin 24257 -> 0 bytes .../syn_results/synwork/PCSD_mult_srs/1.srs | Bin 5162 -> 0 bytes .../synwork/PCSD_mult_srs/fileinfo.srs | Bin 395 -> 0 bytes .../synwork/PCSD_mult_srs/skeleton.srs | Bin 2633 -> 0 bytes .../PCSD/syn_results/synwork/PCSD_prem.fse | 0 .../PCSD/syn_results/synwork/PCSD_prem.srd | Bin 38649 -> 0 bytes .../sgmii/PCSD/syn_results/synwork/_mh_info | 2 - .../syn_results/synwork/_verilog_hintfile | 54 -- .../PCSD/syn_results/synwork/layer0.fdep | 32 - .../PCSD/syn_results/synwork/layer0.fdeporig | 28 - .../sgmii/PCSD/syn_results/synwork/layer0.srs | Bin 47561 -> 0 bytes .../sgmii/PCSD/syn_results/synwork/layer0.tlg | 2 - .../PCSD/syn_results/synwork/layer0.tlg.db | Bin 8192 -> 0 bytes .../PCSD/syn_results/synwork/layer1.fdep | 20 - .../PCSD/syn_results/synwork/layer1.info | 1 - .../sgmii/PCSD/syn_results/synwork/layer1.srs | Bin 9437 -> 0 bytes .../sgmii/PCSD/syn_results/synwork/layer1.tlg | 96 -- .../PCSD/syn_results/synwork/layer1.tlg.db | Bin 20480 -> 0 bytes .../PCSD/syn_results/synwork/modulechange.db | Bin 28672 -> 0 bytes .../layer0/modulechange.db | Bin 20480 -> 0 bytes .../layer1/modulechange.db | Bin 20480 -> 0 bytes .../syn_results/synlog/layer0.tlg.rptmap | 1 - .../pll_in125_out125_out33_compiler.srr | 55 -- .../pll_in125_out125_out33_compiler.srr.db | Bin 8192 -> 0 bytes ...pll_in125_out125_out33_compiler.srr.rptmap | 1 - .../pll_in125_out125_out33_fpga_mapper.srr | 265 ------ .../pll_in125_out125_out33_fpga_mapper.srr.db | Bin 8192 -> 0 bytes .../pll_in125_out125_out33_fpga_mapper.szr | Bin 6132 -> 0 bytes .../pll_in125_out125_out33_multi_srs_gen.srr | 12 - ...ll_in125_out125_out33_multi_srs_gen.srr.db | Bin 8192 -> 0 bytes .../synlog/pll_in125_out125_out33_premap.srr | 63 -- .../pll_in125_out125_out33_premap.srr.db | Bin 8192 -> 0 bytes .../synlog/pll_in125_out125_out33_premap.szr | Bin 2667 -> 0 bytes .../syn_results/synlog/report/metrics.db | Bin 20480 -> 0 bytes .../pll_in125_out125_out33_compiler_notes.txt | 10 - ..._in125_out125_out33_compiler_runstatus.xml | 41 - ...l_in125_out125_out33_compiler_warnings.txt | 2 - ...5_out125_out33_fpga_mapper_area_report.xml | 26 - ..._in125_out125_out33_fpga_mapper_errors.txt | 0 ...l_in125_out125_out33_fpga_mapper_notes.txt | 8 - ...25_out125_out33_fpga_mapper_opt_report.xml | 14 - ...125_out125_out33_fpga_mapper_runstatus.xml | 46 - ...out125_out33_fpga_mapper_timing_report.xml | 23 - ...n125_out125_out33_fpga_mapper_warnings.txt | 1 - .../pll_in125_out125_out33_premap_errors.txt | 0 .../pll_in125_out125_out33_premap_notes.txt | 2 - ...ll_in125_out125_out33_premap_runstatus.xml | 46 - ...pll_in125_out125_out33_premap_warnings.txt | 0 .../synlog/syntax_constraint_check.rpt.rptmap | 1 - .../syn_results/synwork/.cckTransfer | Bin 457 -> 0 bytes .../syn_results/synwork/_mh_info | 1 - .../syn_results/synwork/layer0.fdep | 28 - .../syn_results/synwork/layer0.fdeporig | 24 - .../syn_results/synwork/layer0.srs | Bin 6123 -> 0 bytes .../syn_results/synwork/layer0.tlg | 9 - .../syn_results/synwork/layer0.tlg.db | Bin 8192 -> 0 bytes .../syn_results/synwork/modulechange.db | Bin 28672 -> 0 bytes .../synwork/pll_in125_out125_out33_comp.fdep | 21 - .../synwork/pll_in125_out125_out33_comp.srs | Bin 5086 -> 0 bytes .../synwork/pll_in125_out125_out33_m.srm | Bin 5576 -> 0 bytes .../pll_in125_out125_out33_m_srm/fileinfo.srm | Bin 318 -> 0 bytes .../synwork/pll_in125_out125_out33_mult.srs | Bin 4807 -> 0 bytes .../fileinfo.srs | Bin 298 -> 0 bytes .../skeleton.srs | Bin 584 -> 0 bytes .../synwork/pll_in125_out125_out33_prem.fse | 0 .../synwork/pll_in125_out125_out33_prem.srd | Bin 4790 -> 0 bytes .../syn_results/synlog/layer0.tlg.rptmap | 1 - .../syn_results/synlog/layer1.tlg.rptmap | 1 - .../syn_results/synlog/linker.rpt.rptmap | 1 - .../syn_results/synlog/report/metrics.db | Bin 20480 -> 0 bytes .../report/sgmii_ecp5_compiler_notes.txt | 16 - .../report/sgmii_ecp5_compiler_runstatus.xml | 41 - .../report/sgmii_ecp5_compiler_warnings.txt | 77 -- .../sgmii_ecp5_fpga_mapper_area_report.xml | 26 - .../report/sgmii_ecp5_fpga_mapper_errors.txt | 0 .../report/sgmii_ecp5_fpga_mapper_notes.txt | 22 - .../sgmii_ecp5_fpga_mapper_opt_report.xml | 14 - .../sgmii_ecp5_fpga_mapper_runstatus.xml | 46 - .../sgmii_ecp5_fpga_mapper_timing_report.xml | 41 - .../sgmii_ecp5_fpga_mapper_warnings.txt | 4 - .../report/sgmii_ecp5_premap_errors.txt | 0 .../synlog/report/sgmii_ecp5_premap_notes.txt | 9 - .../report/sgmii_ecp5_premap_runstatus.xml | 46 - .../report/sgmii_ecp5_premap_warnings.txt | 3 - .../synlog/sgmii_ecp5_compiler.srr | 357 -------- .../synlog/sgmii_ecp5_compiler.srr.db | Bin 28672 -> 0 bytes .../synlog/sgmii_ecp5_compiler.srr.rptmap | 1 - .../synlog/sgmii_ecp5_fpga_mapper.srr | 673 -------------- .../synlog/sgmii_ecp5_fpga_mapper.srr.db | Bin 16384 -> 0 bytes .../synlog/sgmii_ecp5_fpga_mapper.szr | Bin 12878 -> 0 bytes .../synlog/sgmii_ecp5_fpga_mapper.xck | 3 - .../synlog/sgmii_ecp5_multi_srs_gen.srr | 12 - .../synlog/sgmii_ecp5_multi_srs_gen.srr.db | Bin 8192 -> 0 bytes .../syn_results/synlog/sgmii_ecp5_premap.srr | 86 -- .../synlog/sgmii_ecp5_premap.srr.db | Bin 8192 -> 0 bytes .../syn_results/synlog/sgmii_ecp5_premap.szr | Bin 3942 -> 0 bytes .../synlog/syntax_constraint_check.rpt.rptmap | 1 - .../sgmii_ecp5/syn_results/syntmp/closed.png | Bin 3672 -> 0 bytes .../sgmii_ecp5/syn_results/syntmp/open.png | Bin 452 -> 0 bytes .../syn_results/synwork/layer1.info | 2 - .../syn_results/synlog/layer0.tlg.rptmap | 1 - .../syn_results/synlog/layer1.tlg.rptmap | 1 - .../syn_results/synlog/linker.rpt.rptmap | 1 - .../syn_results/synlog/report/metrics.db | Bin 20480 -> 0 bytes .../report/sgmii_ecp5_compiler_notes.txt | 16 - .../report/sgmii_ecp5_compiler_runstatus.xml | 41 - .../report/sgmii_ecp5_compiler_warnings.txt | 78 -- .../sgmii_ecp5_fpga_mapper_area_report.xml | 26 - .../report/sgmii_ecp5_fpga_mapper_errors.txt | 0 .../report/sgmii_ecp5_fpga_mapper_notes.txt | 22 - .../sgmii_ecp5_fpga_mapper_opt_report.xml | 14 - .../sgmii_ecp5_fpga_mapper_runstatus.xml | 46 - .../sgmii_ecp5_fpga_mapper_timing_report.xml | 41 - .../sgmii_ecp5_fpga_mapper_warnings.txt | 4 - .../report/sgmii_ecp5_premap_errors.txt | 0 .../synlog/report/sgmii_ecp5_premap_notes.txt | 9 - .../report/sgmii_ecp5_premap_runstatus.xml | 46 - .../report/sgmii_ecp5_premap_warnings.txt | 3 - .../synlog/sgmii_ecp5_compiler.srr | 352 -------- .../synlog/sgmii_ecp5_compiler.srr.db | Bin 32768 -> 0 bytes .../synlog/sgmii_ecp5_compiler.srr.rptmap | 1 - .../synlog/sgmii_ecp5_fpga_mapper.srr | 682 -------------- .../synlog/sgmii_ecp5_fpga_mapper.srr.db | Bin 16384 -> 0 bytes .../synlog/sgmii_ecp5_fpga_mapper.szr | Bin 13092 -> 0 bytes .../synlog/sgmii_ecp5_fpga_mapper.xck | 3 - .../synlog/sgmii_ecp5_multi_srs_gen.srr | 11 - .../synlog/sgmii_ecp5_multi_srs_gen.srr.db | Bin 8192 -> 0 bytes .../syn_results/synlog/sgmii_ecp5_premap.srr | 86 -- .../synlog/sgmii_ecp5_premap.srr.db | Bin 8192 -> 0 bytes .../syn_results/synlog/sgmii_ecp5_premap.szr | Bin 3835 -> 0 bytes .../synlog/syntax_constraint_check.rpt.rptmap | 1 - .../sgmii_ecp5/syn_results/syntmp/closed.png | Bin 3672 -> 0 bytes .../sgmii_ecp5/syn_results/syntmp/open.png | Bin 452 -> 0 bytes .../syn_results/synwork/layer1.fdepxmr | 1 - .../synwork/sgmii_ecp5_comp.linkerlog | 0 .../syn_results/synwork/sgmii_ecp5_prem.fse | 12 - .../serdes_sync_1/syn_results/.recordref | 0 .../serdes_sync_1/syn_results/scemi_cfg.txt | 3 - .../syn_results/synlog/layer0.tlg.rptmap | 1 - .../syn_results/synlog/layer1.tlg.rptmap | 1 - .../syn_results/synlog/linker.rpt.rptmap | 1 - .../syn_results/synlog/report/metrics.db | Bin 20480 -> 0 bytes .../report/serdes_sync_1_compiler_notes.txt | 16 - .../serdes_sync_1_compiler_runstatus.xml | 41 - .../serdes_sync_1_compiler_warnings.txt | 78 -- .../serdes_sync_1_fpga_mapper_area_report.xml | 26 - .../serdes_sync_1_fpga_mapper_errors.txt | 0 .../serdes_sync_1_fpga_mapper_notes.txt | 23 - .../serdes_sync_1_fpga_mapper_opt_report.xml | 14 - .../serdes_sync_1_fpga_mapper_runstatus.xml | 46 - ...erdes_sync_1_fpga_mapper_timing_report.xml | 41 - .../serdes_sync_1_fpga_mapper_warnings.txt | 4 - .../report/serdes_sync_1_premap_errors.txt | 0 .../report/serdes_sync_1_premap_notes.txt | 8 - .../report/serdes_sync_1_premap_runstatus.xml | 46 - .../report/serdes_sync_1_premap_warnings.txt | 3 - .../synlog/serdes_sync_1_compiler.srr | 357 -------- .../synlog/serdes_sync_1_compiler.srr.db | Bin 32768 -> 0 bytes .../synlog/serdes_sync_1_compiler.srr.rptmap | 1 - .../synlog/serdes_sync_1_fpga_mapper.srr | 685 -------------- .../synlog/serdes_sync_1_fpga_mapper.srr.db | Bin 16384 -> 0 bytes .../synlog/serdes_sync_1_fpga_mapper.szr | Bin 12971 -> 0 bytes .../synlog/serdes_sync_1_fpga_mapper.xck | 3 - .../synlog/serdes_sync_1_multi_srs_gen.srr | 12 - .../synlog/serdes_sync_1_multi_srs_gen.srr.db | Bin 8192 -> 0 bytes .../synlog/serdes_sync_1_premap.srr | 84 -- .../synlog/serdes_sync_1_premap.srr.db | Bin 8192 -> 0 bytes .../synlog/serdes_sync_1_premap.szr | Bin 3615 -> 0 bytes .../synlog/syntax_constraint_check.rpt.rptmap | 1 - .../syn_results/syntmp/closed.png | Bin 3672 -> 0 bytes .../serdes_sync_1/syn_results/syntmp/open.png | Bin 452 -> 0 bytes .../syn_results/synwork/layer1.fdepxmr | 1 - {gbe => old/gbe}/compile.pl | 0 {gbe => old/gbe}/config.vhd | 0 {gbe => old/gbe}/config_compile_frankfurt.pl | 0 {gbe => old/gbe}/config_compile_gsi.pl | 0 .../cores/GbePcsExtrefclk/GbePcsExtrefclk.sbx | 0 .../cores/GbePcsExtrefclk/GbePcsExtrefclk.vhd | 0 .../GbePcsExtrefclk/GbePcsExtrefclk_tmpl.v | 0 .../cores/GbePcsExtrefclk/extref/extref.cmd | 0 .../cores/GbePcsExtrefclk/extref/extref.fdc | 0 .../cores/GbePcsExtrefclk/extref/extref.lpc | 0 .../cores/GbePcsExtrefclk/extref/extref.ngd | Bin .../cores/GbePcsExtrefclk/extref/extref.ngo | Bin .../cores/GbePcsExtrefclk/extref/extref.vhd | 0 .../GbePcsExtrefclk/extref/extref_ngd.asd | 0 .../extref/syn_results/.recordref | 0 .../extref/syn_results/_CMD_.CML | 0 .../extref/syn_results/_cmd._cml | 0 .../extref/syn_results/dm/layer0.xdm | 0 .../extref/syn_results/extref.areasrr | 0 .../extref/syn_results/extref.fse | 0 .../extref/syn_results/extref.htm | 0 .../extref/syn_results/extref.prj | 0 .../extref/syn_results/extref.srd | Bin .../extref/syn_results/extref.srf | 0 .../extref/syn_results/extref.srm | Bin .../extref/syn_results/extref.srr | 0 .../extref/syn_results/extref.srr.db | Bin .../extref/syn_results/extref.srs | Bin .../extref/syn_results/extref.vhm | 0 .../extref/syn_results/extref.vm | 0 .../extref/syn_results/extref_cck.rpt.db | Bin .../extref/syn_results/extref_scck.rpt.db | Bin .../extref/syn_results/extref_synplify.lpf | 0 .../syn_results/extref_synplify_tmp2.lpf | 0 .../syn_results/extref_synplify_tmp4.lpf | 0 .../syn_results/extref_synplify_tmp8.lpf | 0 .../extref/syn_results/run_options.txt | 0 .../extref/syn_results/scemi_cfg.txt | 0 .../extref/syn_results/scratchproject.prs | 0 .../GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.cmd | 0 .../GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.cst | 0 .../GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.fdc | 0 .../GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.lpc | 0 .../GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.ngd | Bin .../GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.ngo | Bin .../GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.vhd | 0 .../sgmii_ecp5/sgmii_ecp5_ngd.asd | 0 .../sgmii_ecp5/sgmii_ecp5_softlogic.v | 0 .../sgmii_ecp5/syn_results/.recordref | 0 .../sgmii_ecp5/syn_results/_CMD_.CML | 0 .../sgmii_ecp5/syn_results/_cmd._cml | 0 .../sgmii_ecp5/syn_results/dm/layer0.xdm | 0 .../sgmii_ecp5/syn_results/dm/layer1.xdm | 0 .../sgmii_ecp5/syn_results/run_options.txt | 0 .../sgmii_ecp5/syn_results/scemi_cfg.txt | 0 .../sgmii_ecp5/syn_results/scratchproject.prs | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.areasrr | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.fse | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.htm | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.prj | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.srd | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.srf | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.srm | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.srr | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.srr.db | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.srs | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.vhm | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.vm | 0 .../syn_results/sgmii_ecp5_cck.rpt.db | Bin .../syn_results/sgmii_ecp5_scck.rpt.db | Bin .../syn_results/sgmii_ecp5_synplify.lpf | 0 .../syn_results/sgmii_ecp5_synplify_tmp2.lpf | 0 .../syn_results/sgmii_ecp5_synplify_tmp4.lpf | 0 .../syn_results/sgmii_ecp5_synplify_tmp8.lpf | 0 .../sgmii_ecp5}/syn_results/syntmp/closed.png | Bin .../sgmii_ecp5}/syn_results/syntmp/open.png | Bin .../syn_results/syntmp/run_option.xml | 0 .../syn_results/syntmp/sgmii_ecp5.plg | 0 .../syn_results/syntmp/sgmii_ecp5_srr.htm | 0 .../syn_results/syntmp/sgmii_ecp5_toc.htm | 0 .../syn_results/syntmp/statusReport.html | 0 .../pll_200_125_100/pll_200_125_100.cmd | 0 .../pll_200_125_100/pll_200_125_100.cst | 0 .../pll_200_125_100/pll_200_125_100.fdc | 0 .../pll_200_125_100/pll_200_125_100.lpc | 0 .../pll_200_125_100/pll_200_125_100.ngd | Bin .../pll_200_125_100/pll_200_125_100.ngo | Bin .../pll_200_125_100/pll_200_125_100.vhd | 0 .../pll_200_125_100/pll_200_125_100_ngd.asd | 0 .../pll_200_125_100/pll_240_100.lpc | 0 .../pll_200_200_125_100.sbx | 0 .../pll_200_200_125_100.vhd | 0 .../pll_200_200_125_100_tmpl.v | 0 {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.cmd | 0 {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.cst | 0 {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.fdc | 0 {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.lpc | 0 {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.ngd | Bin {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.ngo | Bin {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.vhd | 0 .../gbe}/cores/sgmii/PCSD/PCSD_ngd.asd | 0 .../gbe}/cores/sgmii/PCSD/PCSD_softlogic.v | 0 .../gbe}/cores/sgmii/PCSD/stdout.log.bak.1 | 0 .../cores/sgmii/PCSD}/syn_results/.recordref | 0 .../cores/sgmii/PCSD/syn_results/PCSD.areasrr | 0 .../cores/sgmii/PCSD/syn_results/PCSD.fse | 0 .../cores/sgmii/PCSD/syn_results/PCSD.htm | 0 .../cores/sgmii/PCSD/syn_results/PCSD.prj | 0 .../cores/sgmii/PCSD/syn_results/PCSD.srd | Bin .../cores/sgmii/PCSD/syn_results/PCSD.srf | 0 .../cores/sgmii/PCSD/syn_results/PCSD.srm | Bin .../cores/sgmii/PCSD/syn_results/PCSD.srr | 0 .../cores/sgmii/PCSD/syn_results/PCSD.srr.db | Bin .../cores/sgmii/PCSD/syn_results/PCSD.srs | Bin .../cores/sgmii/PCSD/syn_results/PCSD.vhm | 0 .../gbe}/cores/sgmii/PCSD/syn_results/PCSD.vm | 0 .../sgmii/PCSD/syn_results/PCSD_cck.rpt.db | Bin .../sgmii/PCSD/syn_results/PCSD_scck.rpt.db | Bin .../sgmii/PCSD/syn_results/PCSD_synplify.lpf | 0 .../PCSD/syn_results/PCSD_synplify_tmp2.lpf | 0 .../PCSD/syn_results/PCSD_synplify_tmp4.lpf | 0 .../PCSD/syn_results/PCSD_synplify_tmp8.lpf | 0 .../cores/sgmii/PCSD/syn_results/_CMD_.CML | 0 .../cores/sgmii/PCSD/syn_results/_cmd._cml | 0 .../sgmii/PCSD/syn_results/backup/PCSD.srr | 0 .../sgmii/PCSD/syn_results/dm/layer0.xdm | 0 .../sgmii/PCSD/syn_results/dm/layer1.xdm | 0 .../sgmii/PCSD/syn_results/run_options.txt | 0 .../sgmii/PCSD}/syn_results/scemi_cfg.txt | 0 .../sgmii/PCSD/syn_results/scratchproject.prs | 0 .../pll_in125_out125_out33.cmd | 0 .../pll_in125_out125_out33.cst | 0 .../pll_in125_out125_out33.fdc | 0 .../pll_in125_out125_out33.lpc | 0 .../pll_in125_out125_out33.ngd | Bin .../pll_in125_out125_out33.ngo | Bin .../pll_in125_out125_out33.vhd | 0 .../pll_in125_out125_out33_ngd.asd | 0 .../syn_results/.recordref | 0 .../syn_results/_CMD_.CML | 0 .../syn_results/_cmd._cml | 0 .../backup/pll_in125_out125_out33.srr | 0 .../syn_results/dm/layer0.xdm | 0 .../pll_in125_out125_out33.areasrr | 0 .../syn_results/pll_in125_out125_out33.fse | 0 .../syn_results/pll_in125_out125_out33.htm | 0 .../syn_results/pll_in125_out125_out33.prj | 0 .../syn_results/pll_in125_out125_out33.srd | Bin .../syn_results/pll_in125_out125_out33.srf | 0 .../syn_results/pll_in125_out125_out33.srm | Bin .../syn_results/pll_in125_out125_out33.srr | 0 .../syn_results/pll_in125_out125_out33.srr.db | Bin .../syn_results/pll_in125_out125_out33.srs | Bin .../syn_results/pll_in125_out125_out33.vhm | 0 .../syn_results/pll_in125_out125_out33.vm | 0 .../pll_in125_out125_out33_cck.rpt.db | Bin .../pll_in125_out125_out33_scck.rpt.db | Bin .../pll_in125_out125_out33_synplify.lpf | 0 .../pll_in125_out125_out33_synplify_tmp2.lpf | 0 .../pll_in125_out125_out33_synplify_tmp4.lpf | 0 .../pll_in125_out125_out33_synplify_tmp8.lpf | 0 .../syn_results/run_options.txt | 0 .../syn_results/scemi_cfg.txt | 0 .../syn_results/scratchproject.prs | 0 .../syn_results/syntmp/closed.png | Bin .../syn_results/syntmp/open.png | Bin .../syntmp/pll_in125_out125_out33.plg | 0 .../syntmp/pll_in125_out125_out33_srr.htm | 0 .../syntmp/pll_in125_out125_out33_toc.htm | 0 .../syn_results/syntmp/run_option.xml | 0 .../syn_results/syntmp/statusReport.html | 0 {gbe => old/gbe}/cores/sgmii/sgmii.sbx | 0 {gbe => old/gbe}/cores/sgmii/sgmii.vhd | 0 ...o_dcEEan163201024102410241818p12701671.ngo | Bin .../pmi_fifo_dcLEan1308881010p11aab620.ngo | Bin .../pmi_fifo_dcLEan1308881212p11aac711.ngo | Bin .../sgmii_channel_smi/sgmii_channel_smi.cmd | 0 .../sgmii_channel_smi/sgmii_channel_smi.fdc | 0 .../sgmii_channel_smi/sgmii_channel_smi.lpc | 0 .../sgmii_channel_smi/sgmii_channel_smi.ngd | Bin .../sgmii_channel_smi/sgmii_channel_smi.ngo | Bin .../sgmii_channel_smi/sgmii_channel_smi.v | 0 .../sgmii_channel_smi/sgmii_channel_smi.vhd | 0 .../sgmii_channel_smi/sgmii_channel_smi_bb.v | 0 .../sgmii_channel_smi/sgmii_channel_smi_beh.v | 0 .../sgmii_channel_smi_core.ngo | Bin .../sgmii_channel_smi_core.v | 0 .../sgmii_channel_smi_core_bb.v | 0 .../sgmii_channel_smi_ngd.asd | 0 .../sgmii_channel_smi/sgmii_channel_smi_pcs.v | 0 .../sgmii_channel_smi_pcs_bb.v | 0 .../sgmii/sgmii_channel_smi/sgmii_defines.v | 0 .../pcs_serdes/sgmii_channel_smi_pcs.lpc | 0 .../ecp5um/pcs_serdes/sgmii_channel_smi_pcs.v | 0 .../sgmii_channel_smi_pcs_softlogic.v | 0 .../models/ecp5um/pmi_fifo_dc/pmi_fifo_dc.v | 0 .../sgmii_pcs_eval/readme.htm | 0 .../sgmii_channel_smi_core_only_eval.ldf | 0 .../sgmii_channel_smi_core_only_eval.lpf | 0 .../sgmii_channel_smi_core_only_eval.sty | 0 .../sgmii_channel_smi_reference_eval.ldf | 0 .../sgmii_channel_smi_reference_eval.lpf | 0 .../sgmii_channel_smi_reference_eval.sty | 0 .../sgmii_channel_smi_reference_eval_se.do | 0 .../src/rtl/template/ecp5um/rate_resolution.v | 0 .../template/ecp5um/register_interface_hb.v | 0 .../rtl/template/ecp5um/sgmii_channel_smi.v | 0 .../src/rtl/top/ecp5um/top_hb.v | 0 .../src/rtl/top/ecp5um/top_pcs_core_only.v | 0 .../sgmii_pcs_eval/testbench/mii_monitor.v | 0 .../sgmii_pcs_eval/testbench/port_monitor.v | 0 .../testbench/port_parser_mii.v | 0 .../sgmii_pcs_eval/testbench/sgmii_node.v | 0 .../sgmii_pcs_eval/testbench/tb_hb.v | 0 .../sgmii/sgmii_channel_smi/stdout.log.bak.1 | 0 .../sgmii/sgmii_channel_smi/stdout.log.bak.2 | 0 .../sgmii/sgmii_channel_smi/stdout.log.bak.3 | 0 .../sgmii/sgmii_channel_smi/stdout.log.bak.4 | 0 .../sgmii/sgmii_channel_smi/stdout.log.bak.5 | 0 .../cores/sgmii/sgmii_ecp5/sgmii_ecp5.cmd | 0 .../cores/sgmii/sgmii_ecp5/sgmii_ecp5.cst | 0 .../cores/sgmii/sgmii_ecp5/sgmii_ecp5.fdc | 0 .../cores/sgmii/sgmii_ecp5/sgmii_ecp5.lpc | 0 .../cores/sgmii/sgmii_ecp5/sgmii_ecp5.ngd | Bin .../cores/sgmii/sgmii_ecp5/sgmii_ecp5.ngo | Bin .../cores/sgmii/sgmii_ecp5/sgmii_ecp5.vhd | 0 .../cores/sgmii/sgmii_ecp5/sgmii_ecp5_ngd.asd | 0 .../sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v | 0 .../cores/sgmii/sgmii_ecp5/stdout.log.bak.1 | 0 .../cores/sgmii/sgmii_ecp5/stdout.log.bak.2 | 0 .../cores/sgmii/sgmii_ecp5/stdout.log.bak.3 | 0 .../cores/sgmii/sgmii_ecp5/stdout.log.bak.4 | 0 .../sgmii/sgmii_ecp5}/syn_results/.recordref | 0 .../sgmii/sgmii_ecp5/syn_results/_CMD_.CML | 0 .../sgmii/sgmii_ecp5/syn_results/_cmd._cml | 0 .../syn_results/backup/sgmii_ecp5.srr | 0 .../sgmii_ecp5/syn_results/dm/layer0.xdm | 0 .../sgmii_ecp5/syn_results/dm/layer1.xdm | 0 .../sgmii_ecp5/syn_results/run_options.txt | 0 .../sgmii_ecp5}/syn_results/scemi_cfg.txt | 0 .../sgmii_ecp5/syn_results/scratchproject.prs | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.areasrr | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.fse | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.htm | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.prj | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.srd | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.srf | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.srm | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.srr | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.srr.db | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.srs | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.vhm | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.vm | 0 .../syn_results/sgmii_ecp5_cck.rpt.db | Bin .../syn_results/sgmii_ecp5_scck.rpt.db | Bin .../syn_results/sgmii_ecp5_synplify.lpf | 0 .../syn_results/sgmii_ecp5_synplify_tmp2.lpf | 0 .../syn_results/sgmii_ecp5_synplify_tmp4.lpf | 0 .../syn_results/sgmii_ecp5_synplify_tmp8.lpf | 0 .../sgmii_ecp5}/syn_results/syntmp/closed.png | Bin .../sgmii_ecp5}/syn_results/syntmp/open.png | Bin .../syn_results/syntmp/run_option.xml | 0 .../syn_results/syntmp/sgmii_ecp5.plg | 0 .../syn_results/syntmp/sgmii_ecp5_srr.htm | 0 .../syn_results/syntmp/sgmii_ecp5_toc.htm | 0 .../syn_results/syntmp/statusReport.html | 0 .../syn_results/synwork/.cckTransfer | Bin .../sgmii_ecp5/syn_results/synwork/_mh_info | 0 .../syn_results/synwork/_verilog_hintfile | 0 .../syn_results/synwork/layer0.fdep | 0 .../syn_results/synwork/layer0.fdeporig | 0 .../sgmii_ecp5/syn_results/synwork/layer0.srs | Bin .../sgmii_ecp5/syn_results/synwork/layer0.tlg | 0 .../syn_results/synwork/layer0.tlg.db | Bin .../syn_results/synwork/layer1.fdep | 0 .../syn_results/synwork/layer1.fdepxmr | 0 .../syn_results/synwork/layer1.info | 0 .../sgmii_ecp5/syn_results/synwork/layer1.srs | Bin .../sgmii_ecp5/syn_results/synwork/layer1.tlg | 0 .../syn_results/synwork/layer1.tlg.db | Bin .../syn_results/synwork/modulechange.db | Bin .../layer0/modulechange.db | Bin .../layer1/modulechange.db | Bin .../syn_results/synwork/sgmii_ecp5_comp.fdep | 0 .../synwork/sgmii_ecp5_comp.linkerlog | 0 .../syn_results/synwork/sgmii_ecp5_comp.srs | Bin .../syn_results/synwork/sgmii_ecp5_m.srm | Bin .../synwork/sgmii_ecp5_m_srm/1.srm | Bin .../synwork/sgmii_ecp5_m_srm/fileinfo.srm | Bin .../syn_results/synwork/sgmii_ecp5_mult.srs | Bin .../synwork/sgmii_ecp5_mult_srs/1.srs | Bin .../synwork/sgmii_ecp5_mult_srs/fileinfo.srs | Bin .../synwork/sgmii_ecp5_mult_srs/skeleton.srs | Bin .../syn_results/synwork/sgmii_ecp5_prem.fse | 0 .../syn_results/synwork/sgmii_ecp5_prem.srd | Bin {gbe => old/gbe}/cores/sgmii/sgmii_tmpl.v | 0 ..._ram_dpEbnonessdn208256208256p138702ef.ngo | Bin .../pmi_ram_dpEbnonessdn96649664p13506f63.ngo | Bin .../tsmac/ts_mac_eval/models/ecp5um/JTAGG.v | 0 .../ts_mac_eval/models/ecp5um/JTAG_ECP5UM.v | 0 .../ts_mac_eval/models/ecp5um/rxmac_clk_pll.v | 0 .../ts_mac_eval/models/ecp5um/txmac_clk_pll.v | 0 .../cores/sgmii/tsmac/ts_mac_eval/readme.htm | 0 .../ts_mac_eval/testbench/tests/testcase.v | 0 .../ts_mac_eval/testbench/top/env_params.v | 0 .../ts_mac_eval/testbench/top/orcastra_drv.v | 0 .../tsmac/ts_mac_eval/testbench/top/pkt_mon.v | 0 .../ts_mac_eval/testbench/top/rdwr_task.v | 0 .../ts_mac_eval/testbench/top/rx_gen_tasks.v | 0 .../ts_mac_eval/testbench/top/test_ts_mac.v | 0 .../testbench/top/test_tsmac_params.v | 0 .../tsmac/impl/synplify/ts_mac_defines.v | 0 .../impl/synplify/tsmac_core_only_eval.ldf | 0 .../impl/synplify/tsmac_core_only_eval.lpf | 0 .../synplify/tsmac_core_only_eval_setting.sty | 0 .../impl/synplify/tsmac_reference_eval.ldf | 0 .../impl/synplify/tsmac_reference_eval.lpf | 0 .../synplify/tsmac_reference_eval_setting.sty | 0 .../tsmac/sim/modelsim/tsmac_eval_se.do | 0 .../sim/modelsim/tsmac_eval_timing_synp_se.do | 0 .../ts_mac_eval/tsmac/sim/modelsim/wave.do | 0 .../tsmac/sim/modelsim/wave_sdf.do | 0 .../tsmac/src/params/ts_mac_defines.v | 0 .../tsmac/src/rtl/template/fifo_2048x9.v | 0 .../tsmac/src/rtl/template/fifo_512_ctl.v | 0 .../tsmac/src/rtl/template/oddrx_soft.v | 0 .../tsmac/src/rtl/template/orcastra.v | 0 .../tsmac/src/rtl/template/reg_intf.v | 0 .../tsmac/src/rtl/template/rx_loopbk.v | 0 .../tsmac/src/rtl/template/tst_logic.v | 0 .../tsmac/src/rtl/top/ts_mac_core_only_top.v | 0 .../src/rtl/top/ts_mac_core_only_top.vhd | 0 .../tsmac/src/rtl/top/ts_mac_top.v | 0 {gbe => old/gbe}/cores/sgmii/tsmac/tsmac.fdc | 0 {gbe => old/gbe}/cores/sgmii/tsmac/tsmac.lpc | 0 {gbe => old/gbe}/cores/sgmii/tsmac/tsmac.ngd | Bin {gbe => old/gbe}/cores/sgmii/tsmac/tsmac.ngo | Bin {gbe => old/gbe}/cores/sgmii/tsmac/tsmac.v | 0 {gbe => old/gbe}/cores/sgmii/tsmac/tsmac_bb.v | 0 .../gbe}/cores/sgmii/tsmac/tsmac_beh.v | 0 .../gbe}/cores/sgmii/tsmac/tsmac_inst.v | 0 .../gbe}/cores/sgmii/tsmac/tsmac_ngd.asd | 0 .../test_gbepcs/sgmii_ecp5/sgmii_ecp5.cmd | 0 .../test_gbepcs/sgmii_ecp5/sgmii_ecp5.fdc | 0 .../test_gbepcs/sgmii_ecp5/sgmii_ecp5.lpc | 0 .../test_gbepcs/sgmii_ecp5/sgmii_ecp5.ngd | Bin .../test_gbepcs/sgmii_ecp5/sgmii_ecp5.ngo | Bin .../test_gbepcs/sgmii_ecp5/sgmii_ecp5.vhd | 0 .../test_gbepcs/sgmii_ecp5/sgmii_ecp5_ngd.asd | 0 .../sgmii_ecp5/sgmii_ecp5_softlogic.v | 0 .../sgmii_ecp5/syn_results/.recordref | 0 .../sgmii_ecp5/syn_results/_CMD_.CML | 0 .../sgmii_ecp5/syn_results/_cmd._cml | 0 .../sgmii_ecp5/syn_results/dm/layer0.xdm | 0 .../sgmii_ecp5/syn_results/dm/layer1.xdm | 0 .../sgmii_ecp5/syn_results/run_options.txt | 0 .../sgmii_ecp5/syn_results/scemi_cfg.txt | 0 .../sgmii_ecp5/syn_results/scratchproject.prs | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.areasrr | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.fse | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.htm | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.prj | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.srd | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.srf | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.srm | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.srr | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.srr.db | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.srs | Bin .../sgmii_ecp5/syn_results/sgmii_ecp5.vhm | 0 .../sgmii_ecp5/syn_results/sgmii_ecp5.vm | 0 .../syn_results/sgmii_ecp5_cck.rpt.db | Bin .../syn_results/sgmii_ecp5_scck.rpt.db | Bin .../syn_results/sgmii_ecp5_synplify.lpf | 0 .../syn_results/sgmii_ecp5_synplify_tmp2.lpf | 0 .../syn_results/sgmii_ecp5_synplify_tmp4.lpf | 0 .../syn_results/sgmii_ecp5_synplify_tmp8.lpf | 0 .../sgmii_ecp5}/syn_results/syntmp/closed.png | Bin .../sgmii_ecp5}/syn_results/syntmp/open.png | Bin .../syn_results/syntmp/run_option.xml | 0 .../syn_results/syntmp/sgmii_ecp5.plg | 0 .../syn_results/syntmp/sgmii_ecp5_srr.htm | 0 .../syn_results/syntmp/sgmii_ecp5_toc.htm | 0 .../syn_results/syntmp/statusReport.html | 0 .../syn_results/synwork/.cckTransfer | Bin .../sgmii_ecp5/syn_results/synwork/_mh_info | 0 .../syn_results/synwork/_verilog_hintfile | 0 .../syn_results/synwork/layer0.fdep | 0 .../syn_results/synwork/layer0.fdeporig | 0 .../sgmii_ecp5/syn_results/synwork/layer0.srs | Bin .../sgmii_ecp5/syn_results/synwork/layer0.tlg | 0 .../syn_results/synwork/layer0.tlg.db | Bin .../syn_results/synwork/layer1.fdep | 0 .../syn_results/synwork/layer1.fdepxmr | 0 .../syn_results/synwork/layer1.info | 0 .../sgmii_ecp5/syn_results/synwork/layer1.srs | Bin .../sgmii_ecp5/syn_results/synwork/layer1.tlg | 0 .../syn_results/synwork/layer1.tlg.db | Bin .../syn_results/synwork/modulechange.db | Bin .../layer0/modulechange.db | Bin .../layer1/modulechange.db | Bin .../syn_results/synwork/sgmii_ecp5_comp.fdep | 0 .../synwork/sgmii_ecp5_comp.linkerlog | 0 .../syn_results/synwork/sgmii_ecp5_comp.srs | Bin .../syn_results/synwork/sgmii_ecp5_m.srm | Bin .../synwork/sgmii_ecp5_m_srm/1.srm | Bin .../synwork/sgmii_ecp5_m_srm/fileinfo.srm | Bin .../syn_results/synwork/sgmii_ecp5_mult.srs | Bin .../synwork/sgmii_ecp5_mult_srs/1.srs | Bin .../synwork/sgmii_ecp5_mult_srs/fileinfo.srs | Bin .../synwork/sgmii_ecp5_mult_srs/skeleton.srs | Bin .../syn_results/synwork/sgmii_ecp5_prem.fse | 0 .../syn_results/synwork/sgmii_ecp5_prem.srd | Bin .../gbe}/cores/test_gbepcs/test_gbepcs.sbx | 0 .../gbe}/cores/test_gbepcs/test_gbepcs.vhd | 0 .../gbe}/cores/test_gbepcs/test_gbepcs_tmpl.v | 0 .../test_sfp/serdes_sync_0/serdes_sync_0.sbx | 0 .../test_sfp/serdes_sync_0/serdes_sync_0.vhd | 0 .../serdes_sync_0/serdes_sync_0_tmpl.v | 0 .../serdes_sync_1/serdes_sync_0.lpc | 0 .../serdes_sync_1/serdes_sync_1.cmd | 0 .../serdes_sync_1/serdes_sync_1.cst | 0 .../serdes_sync_1/serdes_sync_1.fdc | 0 .../serdes_sync_1/serdes_sync_1.lpc | 0 .../serdes_sync_1/serdes_sync_1.ngd | Bin .../serdes_sync_1/serdes_sync_1.ngo | Bin .../serdes_sync_1/serdes_sync_1.vhd | 0 .../serdes_sync_1/serdes_sync_1_ngd.asd | 0 .../serdes_sync_1/serdes_sync_1_softlogic.v | 0 .../serdes_sync_1}/syn_results/.recordref | 0 .../serdes_sync_1/syn_results/_CMD_.CML | 0 .../serdes_sync_1/syn_results/_cmd._cml | 0 .../syn_results/backup/serdes_sync_1.srr | 0 .../serdes_sync_1/syn_results/dm/layer0.xdm | 0 .../serdes_sync_1/syn_results/dm/layer1.xdm | 0 .../serdes_sync_1/syn_results/run_options.txt | 0 .../serdes_sync_1}/syn_results/scemi_cfg.txt | 0 .../syn_results/scratchproject.prs | 0 .../syn_results/serdes_sync_1.areasrr | 0 .../syn_results/serdes_sync_1.fse | 0 .../syn_results/serdes_sync_1.htm | 0 .../syn_results/serdes_sync_1.prj | 0 .../syn_results/serdes_sync_1.srd | Bin .../syn_results/serdes_sync_1.srf | 0 .../syn_results/serdes_sync_1.srm | Bin .../syn_results/serdes_sync_1.srr | 0 .../syn_results/serdes_sync_1.srr.db | Bin .../syn_results/serdes_sync_1.srs | Bin .../syn_results/serdes_sync_1.vhm | 0 .../syn_results/serdes_sync_1.vm | 0 .../syn_results/serdes_sync_1_cck.rpt.db | Bin .../syn_results/serdes_sync_1_scck.rpt.db | Bin .../syn_results/serdes_sync_1_synplify.lpf | 0 .../serdes_sync_1_synplify_tmp2.lpf | 0 .../serdes_sync_1_synplify_tmp4.lpf | 0 .../serdes_sync_1_synplify_tmp8.lpf | 0 .../syn_results/syntmp/closed.png | Bin .../syn_results/syntmp/open.png | Bin .../syn_results/syntmp/run_option.xml | 0 .../syn_results/syntmp/serdes_sync_1.plg | 0 .../syn_results/syntmp/serdes_sync_1_srr.htm | 0 .../syn_results/syntmp/serdes_sync_1_toc.htm | 0 .../syn_results/syntmp/statusReport.html | 0 .../syn_results/synwork/.cckTransfer | Bin .../syn_results/synwork/_mh_info | 0 .../syn_results/synwork/_verilog_hintfile | 0 .../syn_results/synwork/layer0.fdep | 0 .../syn_results/synwork/layer0.fdeporig | 0 .../syn_results/synwork/layer0.srs | Bin .../syn_results/synwork/layer0.tlg | 0 .../syn_results/synwork/layer0.tlg.db | Bin .../syn_results/synwork/layer1.fdep | 0 .../syn_results/synwork/layer1.fdepxmr | 0 .../syn_results/synwork/layer1.info | 0 .../syn_results/synwork/layer1.srs | Bin .../syn_results/synwork/layer1.tlg | 0 .../syn_results/synwork/layer1.tlg.db | Bin .../syn_results/synwork/modulechange.db | Bin .../layer0/modulechange.db | Bin .../layer1/modulechange.db | Bin .../synwork/serdes_sync_1_comp.fdep | 0 .../synwork/serdes_sync_1_comp.linkerlog | 0 .../synwork/serdes_sync_1_comp.srs | Bin .../syn_results/synwork/serdes_sync_1_m.srm | Bin .../synwork/serdes_sync_1_m_srm/1.srm | Bin .../synwork/serdes_sync_1_m_srm/fileinfo.srm | Bin .../synwork/serdes_sync_1_mult.srs | Bin .../synwork/serdes_sync_1_mult_srs/1.srs | Bin .../serdes_sync_1_mult_srs/fileinfo.srs | Bin .../serdes_sync_1_mult_srs/skeleton.srs | Bin .../synwork/serdes_sync_1_prem.fse | 0 .../synwork/serdes_sync_1_prem.srd | Bin {gbe => old/gbe}/create_project.pl | 0 {gbe => old/gbe}/par.p2t | 0 {gbe => old/gbe}/tdc_release | 0 {gbe => old/gbe}/trb5sc_gbe.lpf | 0 {gbe => old/gbe}/trb5sc_gbe.prj | 0 {gbe => old/gbe}/trb5sc_gbe.vhd | 0 890 files changed, 13442 deletions(-) delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_compiler.srr delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_compiler.srr.db delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_compiler.srr.rptmap delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_fpga_mapper.srr delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_fpga_mapper.srr.db delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_fpga_mapper.szr delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_multi_srs_gen.srr delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_multi_srs_gen.srr.db delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_premap.srr delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_premap.srr.db delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_premap.szr delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/layer0.tlg.rptmap delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_compiler_notes.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_compiler_runstatus.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_area_report.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_errors.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_notes.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_opt_report.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_runstatus.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_timing_report.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_warnings.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_errors.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_notes.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_runstatus.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_warnings.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/metrics.db delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/syntax_constraint_check.rpt.rptmap delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/extref.plg delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/extref_srr.htm delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/extref_toc.htm delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/run_option.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/statusReport.html delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/.cckTransfer delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/_mh_info delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_comp.fdep delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_comp.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_m.srm delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_m_srm/fileinfo.srm delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_mult.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_mult_srs/fileinfo.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_mult_srs/skeleton.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_prem.fse delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_prem.srd delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.fdep delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.fdeporig delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.tlg delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.tlg.db delete mode 100644 gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/modulechange.db delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/layer0.tlg.rptmap delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/layer1.tlg.rptmap delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/linker.rpt.rptmap delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/metrics.db delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.db delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.rptmap delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr.db delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.szr delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.xck delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr.db delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr.db delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.szr delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/.cckTransfer delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/_mh_info delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/_verilog_hintfile delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer0.fdep delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer0.fdeporig delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer0.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer0.tlg delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer0.tlg.db delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.fdep delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.tlg delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.tlg.db delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/modulechange.db delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/modulechange_mixhdl/layer0/modulechange.db delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/modulechange_mixhdl/layer1/modulechange.db delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.fdep delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m.srm delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m_srm/1.srm delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m_srm/fileinfo.srm delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/1.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/fileinfo.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/skeleton.srs delete mode 100644 gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_prem.srd delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/_CMD_.CML delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/_cmd._cml delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/dm/layer0.xdm delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.areasrr delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.fse delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.htm delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.prj delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srd delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srf delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srm delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srr delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srr.db delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srs delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.vhm delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.vm delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_cck.rpt.db delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_scck.rpt.db delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify.lpf delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify_tmp2.lpf delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify_tmp4.lpf delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify_tmp8.lpf delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/run_options.txt delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/scratchproject.prs delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/layer0.tlg.rptmap delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr.db delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr.rptmap delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.srr delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.srr.db delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.szr delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_multi_srs_gen.srr delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_multi_srs_gen.srr.db delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_premap.srr delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_premap.srr.db delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_premap.szr delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/metrics.db delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_notes.txt delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_runstatus.xml delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_warnings.txt delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_area_report.xml delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_errors.txt delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_notes.txt delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_opt_report.xml delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_runstatus.xml delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_timing_report.xml delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_warnings.txt delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_errors.txt delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_notes.txt delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_runstatus.xml delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_warnings.txt delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/syntax_constraint_check.rpt.rptmap delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100.plg delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100_srr.htm delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100_toc.htm delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/run_option.xml delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/statusReport.html delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/.cckTransfer delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/_mh_info delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.fdep delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.fdeporig delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.srs delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.tlg delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.tlg.db delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/modulechange.db delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.fdep delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.srs delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_m.srm delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_m_srm/fileinfo.srm delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_mult.srs delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_mult_srs/fileinfo.srs delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_mult_srs/skeleton.srs delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_prem.fse delete mode 100644 gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_prem.srd delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr.db delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr.rptmap delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.srr delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.srr.db delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.szr delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.xck delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_multi_srs_gen.srr delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_multi_srs_gen.srr.db delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_premap.srr delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_premap.srr.db delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_premap.szr delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/layer0.tlg.rptmap delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/layer1.tlg.rptmap delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/linker.rpt.rptmap delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_notes.txt delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_runstatus.xml delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_warnings.txt delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_area_report.xml delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_errors.txt delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_notes.txt delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_opt_report.xml delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_runstatus.xml delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_timing_report.xml delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_warnings.txt delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_errors.txt delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_notes.txt delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_runstatus.xml delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_warnings.txt delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/report/metrics.db delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synlog/syntax_constraint_check.rpt.rptmap delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/syntmp/PCSD.plg delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/syntmp/PCSD_srr.htm delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/syntmp/PCSD_toc.htm delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/syntmp/run_option.xml delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/syntmp/statusReport.html delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/.cckTransfer delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_comp.fdep delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_comp.linkerlog delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_comp.srs delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_m.srm delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_m_srm/1.srm delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_m_srm/fileinfo.srm delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult.srs delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult_srs/1.srs delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult_srs/fileinfo.srs delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult_srs/skeleton.srs delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_prem.fse delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_prem.srd delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/_mh_info delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/_verilog_hintfile delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.fdep delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.fdeporig delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.srs delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.tlg delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.tlg.db delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/layer1.fdep delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/layer1.info delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/layer1.srs delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/layer1.tlg delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/layer1.tlg.db delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/modulechange.db delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/modulechange_mixhdl/layer0/modulechange.db delete mode 100644 gbe/cores/sgmii/PCSD/syn_results/synwork/modulechange_mixhdl/layer1/modulechange.db delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/layer0.tlg.rptmap delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_compiler.srr delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_compiler.srr.db delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_compiler.srr.rptmap delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.srr delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.srr.db delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.szr delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_multi_srs_gen.srr delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_multi_srs_gen.srr.db delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_premap.srr delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_premap.srr.db delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_premap.szr delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/metrics.db delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_notes.txt delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_runstatus.xml delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_warnings.txt delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_area_report.xml delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_errors.txt delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_notes.txt delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_opt_report.xml delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_runstatus.xml delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_timing_report.xml delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_warnings.txt delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_errors.txt delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_notes.txt delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_runstatus.xml delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_warnings.txt delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/syntax_constraint_check.rpt.rptmap delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/.cckTransfer delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/_mh_info delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/layer0.fdep delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/layer0.fdeporig delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/layer0.srs delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/layer0.tlg delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/layer0.tlg.db delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/modulechange.db delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_comp.fdep delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_comp.srs delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_m.srm delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_m_srm/fileinfo.srm delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_mult.srs delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_mult_srs/fileinfo.srs delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_mult_srs/skeleton.srs delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_prem.fse delete mode 100644 gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_prem.srd delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/layer0.tlg.rptmap delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/layer1.tlg.rptmap delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/linker.rpt.rptmap delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/metrics.db delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.db delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.rptmap delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr.db delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.szr delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.xck delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr.db delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr.db delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.szr delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/syntmp/closed.png delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/syntmp/open.png delete mode 100644 gbe/cores/sgmii/sgmii_ecp5/syn_results/synwork/layer1.info delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/layer0.tlg.rptmap delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/layer1.tlg.rptmap delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/linker.rpt.rptmap delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/metrics.db delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.db delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.rptmap delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr.db delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.szr delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.xck delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr.db delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr.db delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.szr delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/syntmp/closed.png delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/syntmp/open.png delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/layer1.fdepxmr delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.linkerlog delete mode 100644 gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_prem.fse delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/.recordref delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/scemi_cfg.txt delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/layer0.tlg.rptmap delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/layer1.tlg.rptmap delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/linker.rpt.rptmap delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/metrics.db delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_compiler_notes.txt delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_compiler_runstatus.xml delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_compiler_warnings.txt delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_area_report.xml delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_errors.txt delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_notes.txt delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_opt_report.xml delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_runstatus.xml delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_timing_report.xml delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_warnings.txt delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_errors.txt delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_notes.txt delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_runstatus.xml delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_warnings.txt delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_compiler.srr delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_compiler.srr.db delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_compiler.srr.rptmap delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_fpga_mapper.srr delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_fpga_mapper.srr.db delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_fpga_mapper.szr delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_fpga_mapper.xck delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_multi_srs_gen.srr delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_multi_srs_gen.srr.db delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_premap.srr delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_premap.srr.db delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_premap.szr delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/syntax_constraint_check.rpt.rptmap delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/syntmp/closed.png delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/syntmp/open.png delete mode 100644 gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer1.fdepxmr rename {gbe => old/gbe}/compile.pl (100%) rename {gbe => old/gbe}/config.vhd (100%) rename {gbe => old/gbe}/config_compile_frankfurt.pl (100%) rename {gbe => old/gbe}/config_compile_gsi.pl (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/GbePcsExtrefclk.sbx (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/GbePcsExtrefclk.vhd (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/GbePcsExtrefclk_tmpl.v (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/extref.cmd (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/extref.fdc (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/extref.lpc (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/extref.ngd (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/extref.ngo (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/extref.vhd (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/extref_ngd.asd (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/.recordref (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/_CMD_.CML (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/_cmd._cml (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/dm/layer0.xdm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.areasrr (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.fse (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.htm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.prj (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.srd (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.srf (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.srm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.srr (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.srr.db (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.srs (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.vhm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref.vm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref_cck.rpt.db (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref_scck.rpt.db (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref_synplify.lpf (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref_synplify_tmp2.lpf (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref_synplify_tmp4.lpf (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/extref_synplify_tmp8.lpf (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/run_options.txt (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/scemi_cfg.txt (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/extref/syn_results/scratchproject.prs (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.cmd (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.cst (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.fdc (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.lpc (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.ngd (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.ngo (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.vhd (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_ngd.asd (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/.recordref (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/_CMD_.CML (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/_cmd._cml (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/dm/layer0.xdm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/dm/layer1.xdm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/run_options.txt (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/scemi_cfg.txt (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/scratchproject.prs (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.areasrr (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.fse (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.htm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.prj (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.srd (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.srf (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.srm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.srr (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.srr.db (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.srs (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.vhm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.vm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5_cck.rpt.db (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5_scck.rpt.db (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5_synplify.lpf (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5_synplify_tmp2.lpf (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5_synplify_tmp4.lpf (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5_synplify_tmp8.lpf (100%) rename {gbe/cores/GbePcsExtrefclk/extref => old/gbe/cores/GbePcsExtrefclk/sgmii_ecp5}/syn_results/syntmp/closed.png (100%) rename {gbe/cores/GbePcsExtrefclk/extref => old/gbe/cores/GbePcsExtrefclk/sgmii_ecp5}/syn_results/syntmp/open.png (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/syntmp/run_option.xml (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/syntmp/sgmii_ecp5.plg (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/syntmp/sgmii_ecp5_srr.htm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/syntmp/sgmii_ecp5_toc.htm (100%) rename {gbe => old/gbe}/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/syntmp/statusReport.html (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.cmd (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.cst (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.lpc (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.ngd (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.ngo (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_125_100/pll_200_125_100_ngd.asd (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_125_100/pll_240_100.lpc (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_200_125_100.sbx (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_200_125_100.vhd (100%) rename {gbe => old/gbe}/cores/pll_200_200_125_100/pll_200_200_125_100_tmpl.v (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.cmd (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.cst (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.fdc (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.lpc (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.ngd (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.ngo (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/PCSD.vhd (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/PCSD_ngd.asd (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/PCSD_softlogic.v (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/stdout.log.bak.1 (100%) rename {gbe/cores/pll_200_200_125_100/pll_200_125_100 => old/gbe/cores/sgmii/PCSD}/syn_results/.recordref (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.areasrr (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.fse (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.htm (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.prj (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.srd (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.srf (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.srm (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.srr (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.srr.db (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.srs (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.vhm (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD.vm (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD_cck.rpt.db (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD_scck.rpt.db (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD_synplify.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD_synplify_tmp2.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD_synplify_tmp4.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/PCSD_synplify_tmp8.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/_CMD_.CML (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/_cmd._cml (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/backup/PCSD.srr (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/dm/layer0.xdm (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/dm/layer1.xdm (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/run_options.txt (100%) rename {gbe/cores/pll_200_200_125_100/pll_200_125_100 => old/gbe/cores/sgmii/PCSD}/syn_results/scemi_cfg.txt (100%) rename {gbe => old/gbe}/cores/sgmii/PCSD/syn_results/scratchproject.prs (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.cmd (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.cst (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.fdc (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.lpc (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.ngd (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.ngo (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.vhd (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/pll_in125_out125_out33_ngd.asd (100%) rename {gbe/cores/sgmii/PCSD => old/gbe/cores/sgmii/pll_in125_out125_out33}/syn_results/.recordref (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/_CMD_.CML (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/_cmd._cml (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/backup/pll_in125_out125_out33.srr (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/dm/layer0.xdm (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.areasrr (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.fse (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.htm (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.prj (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.srd (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.srf (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.srm (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.srr (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.srr.db (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.srs (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.vhm (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.vm (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33_cck.rpt.db (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33_scck.rpt.db (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33_synplify.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33_synplify_tmp2.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33_synplify_tmp4.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33_synplify_tmp8.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/run_options.txt (100%) rename {gbe/cores/sgmii/PCSD => old/gbe/cores/sgmii/pll_in125_out125_out33}/syn_results/scemi_cfg.txt (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/scratchproject.prs (100%) rename {gbe/cores/GbePcsExtrefclk/sgmii_ecp5 => old/gbe/cores/sgmii/pll_in125_out125_out33}/syn_results/syntmp/closed.png (100%) rename {gbe/cores/GbePcsExtrefclk/sgmii_ecp5 => old/gbe/cores/sgmii/pll_in125_out125_out33}/syn_results/syntmp/open.png (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/syntmp/pll_in125_out125_out33.plg (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/syntmp/pll_in125_out125_out33_srr.htm (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/syntmp/pll_in125_out125_out33_toc.htm (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/syntmp/run_option.xml (100%) rename {gbe => old/gbe}/cores/sgmii/pll_in125_out125_out33/syn_results/syntmp/statusReport.html (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii.sbx (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii.vhd (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/pmi_fifo_dcEEan163201024102410241818p12701671.ngo (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/pmi_fifo_dcLEan1308881010p11aab620.ngo (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/pmi_fifo_dcLEan1308881212p11aac711.ngo (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi.cmd (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi.fdc (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi.lpc (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi.ngd (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi.ngo (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi.vhd (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi_bb.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi_beh.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi_core.ngo (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi_core.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi_core_bb.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi_ngd.asd (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi_pcs.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_channel_smi_pcs_bb.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_defines.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/models/ecp5um/pcs_serdes/sgmii_channel_smi_pcs.lpc (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/models/ecp5um/pcs_serdes/sgmii_channel_smi_pcs.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/models/ecp5um/pcs_serdes/sgmii_channel_smi_pcs_softlogic.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/models/ecp5um/pmi_fifo_dc/pmi_fifo_dc.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/readme.htm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/impl/core_only/synplify/sgmii_channel_smi_core_only_eval.ldf (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/impl/core_only/synplify/sgmii_channel_smi_core_only_eval.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/impl/core_only/synplify/sgmii_channel_smi_core_only_eval.sty (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/impl/reference/synplify/sgmii_channel_smi_reference_eval.ldf (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/impl/reference/synplify/sgmii_channel_smi_reference_eval.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/impl/reference/synplify/sgmii_channel_smi_reference_eval.sty (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/sim/modelsim/sgmii_channel_smi_reference_eval_se.do (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/src/rtl/template/ecp5um/rate_resolution.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/src/rtl/template/ecp5um/register_interface_hb.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/src/rtl/template/ecp5um/sgmii_channel_smi.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/src/rtl/top/ecp5um/top_hb.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/sgmii_channel_smi/src/rtl/top/ecp5um/top_pcs_core_only.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/testbench/mii_monitor.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/testbench/port_monitor.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/testbench/port_parser_mii.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/testbench/sgmii_node.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/sgmii_pcs_eval/testbench/tb_hb.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/stdout.log.bak.1 (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/stdout.log.bak.2 (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/stdout.log.bak.3 (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/stdout.log.bak.4 (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_channel_smi/stdout.log.bak.5 (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/sgmii_ecp5.cmd (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/sgmii_ecp5.cst (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/sgmii_ecp5.fdc (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/sgmii_ecp5.lpc (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/sgmii_ecp5.ngd (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/sgmii_ecp5.ngo (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/sgmii_ecp5.vhd (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/sgmii_ecp5_ngd.asd (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/stdout.log.bak.1 (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/stdout.log.bak.2 (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/stdout.log.bak.3 (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/stdout.log.bak.4 (100%) rename {gbe/cores/sgmii/pll_in125_out125_out33 => old/gbe/cores/sgmii/sgmii_ecp5}/syn_results/.recordref (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/_CMD_.CML (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/_cmd._cml (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/backup/sgmii_ecp5.srr (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/dm/layer0.xdm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/dm/layer1.xdm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/run_options.txt (100%) rename {gbe/cores/sgmii/pll_in125_out125_out33 => old/gbe/cores/sgmii/sgmii_ecp5}/syn_results/scemi_cfg.txt (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/scratchproject.prs (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.areasrr (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.fse (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.htm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.prj (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.srd (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.srf (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.srm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.srr (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.srr.db (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.srs (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.vhm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.vm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5_cck.rpt.db (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5_scck.rpt.db (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5_synplify.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5_synplify_tmp2.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5_synplify_tmp4.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5_synplify_tmp8.lpf (100%) rename {gbe/cores/pll_200_200_125_100/pll_200_125_100 => old/gbe/cores/sgmii/sgmii_ecp5}/syn_results/syntmp/closed.png (100%) rename {gbe/cores/pll_200_200_125_100/pll_200_125_100 => old/gbe/cores/sgmii/sgmii_ecp5}/syn_results/syntmp/open.png (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/syntmp/run_option.xml (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/syntmp/sgmii_ecp5.plg (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/syntmp/sgmii_ecp5_srr.htm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/syntmp/sgmii_ecp5_toc.htm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/syntmp/statusReport.html (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/.cckTransfer (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/_mh_info (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/_verilog_hintfile (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/layer0.fdep (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/layer0.fdeporig (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/layer0.srs (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/layer0.tlg (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/layer0.tlg.db (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/layer1.fdep (100%) rename {gbe/cores/GbePcsExtrefclk => old/gbe/cores/sgmii}/sgmii_ecp5/syn_results/synwork/layer1.fdepxmr (100%) rename {gbe/cores/GbePcsExtrefclk => old/gbe/cores/sgmii}/sgmii_ecp5/syn_results/synwork/layer1.info (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/layer1.srs (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/layer1.tlg (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/layer1.tlg.db (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/modulechange.db (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/modulechange_mixhdl/layer0/modulechange.db (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/modulechange_mixhdl/layer1/modulechange.db (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.fdep (100%) rename {gbe/cores/GbePcsExtrefclk => old/gbe/cores/sgmii}/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.linkerlog (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.srs (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m.srm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m_srm/1.srm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m_srm/fileinfo.srm (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult.srs (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/1.srs (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/fileinfo.srs (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/skeleton.srs (100%) rename {gbe/cores/GbePcsExtrefclk => old/gbe/cores/sgmii}/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_prem.fse (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_prem.srd (100%) rename {gbe => old/gbe}/cores/sgmii/sgmii_tmpl.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/pmi_ram_dpEbnonessdn208256208256p138702ef.ngo (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/pmi_ram_dpEbnonessdn96649664p13506f63.ngo (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/models/ecp5um/JTAGG.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/models/ecp5um/JTAG_ECP5UM.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/models/ecp5um/rxmac_clk_pll.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/models/ecp5um/txmac_clk_pll.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/readme.htm (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/testbench/tests/testcase.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/testbench/top/env_params.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/testbench/top/orcastra_drv.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/testbench/top/pkt_mon.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/testbench/top/rdwr_task.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/testbench/top/rx_gen_tasks.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/testbench/top/test_ts_mac.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/testbench/top/test_tsmac_params.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/impl/synplify/ts_mac_defines.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/impl/synplify/tsmac_core_only_eval.ldf (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/impl/synplify/tsmac_core_only_eval.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/impl/synplify/tsmac_core_only_eval_setting.sty (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/impl/synplify/tsmac_reference_eval.ldf (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/impl/synplify/tsmac_reference_eval.lpf (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/impl/synplify/tsmac_reference_eval_setting.sty (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/sim/modelsim/tsmac_eval_se.do (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/sim/modelsim/tsmac_eval_timing_synp_se.do (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/sim/modelsim/wave.do (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/sim/modelsim/wave_sdf.do (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/src/params/ts_mac_defines.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/src/rtl/template/fifo_2048x9.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/src/rtl/template/fifo_512_ctl.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/src/rtl/template/oddrx_soft.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/src/rtl/template/orcastra.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/src/rtl/template/reg_intf.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/src/rtl/template/rx_loopbk.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/src/rtl/template/tst_logic.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/src/rtl/top/ts_mac_core_only_top.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/src/rtl/top/ts_mac_core_only_top.vhd (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/ts_mac_eval/tsmac/src/rtl/top/ts_mac_top.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/tsmac.fdc (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/tsmac.lpc (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/tsmac.ngd (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/tsmac.ngo (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/tsmac.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/tsmac_bb.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/tsmac_beh.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/tsmac_inst.v (100%) rename {gbe => old/gbe}/cores/sgmii/tsmac/tsmac_ngd.asd (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/sgmii_ecp5.cmd (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/sgmii_ecp5.fdc (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/sgmii_ecp5.lpc (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/sgmii_ecp5.ngd (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/sgmii_ecp5.ngo (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/sgmii_ecp5.vhd (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/sgmii_ecp5_ngd.asd (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v (100%) rename {gbe/cores/sgmii => old/gbe/cores/test_gbepcs}/sgmii_ecp5/syn_results/.recordref (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/_CMD_.CML (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/_cmd._cml (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/dm/layer0.xdm (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/dm/layer1.xdm (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/run_options.txt (100%) rename {gbe/cores/sgmii => old/gbe/cores/test_gbepcs}/sgmii_ecp5/syn_results/scemi_cfg.txt (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/scratchproject.prs (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.areasrr (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.fse (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.htm (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.prj (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.srd (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.srf (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.srm (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.srr (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.srr.db (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.srs (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.vhm (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.vm (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5_cck.rpt.db (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5_scck.rpt.db (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5_synplify.lpf (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5_synplify_tmp2.lpf (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5_synplify_tmp4.lpf (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5_synplify_tmp8.lpf (100%) rename {gbe/cores/sgmii/PCSD => old/gbe/cores/test_gbepcs/sgmii_ecp5}/syn_results/syntmp/closed.png (100%) rename {gbe/cores/sgmii/PCSD => old/gbe/cores/test_gbepcs/sgmii_ecp5}/syn_results/syntmp/open.png (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/syntmp/run_option.xml (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/syntmp/sgmii_ecp5.plg (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/syntmp/sgmii_ecp5_srr.htm (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/syntmp/sgmii_ecp5_toc.htm (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/syntmp/statusReport.html (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/.cckTransfer (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/_mh_info (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/_verilog_hintfile (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/layer0.fdep (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/layer0.fdeporig (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/layer0.srs (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/layer0.tlg (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/layer0.tlg.db (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/layer1.fdep (100%) rename {gbe/cores/sgmii/PCSD => old/gbe/cores/test_gbepcs/sgmii_ecp5}/syn_results/synwork/layer1.fdepxmr (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/layer1.info (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/layer1.srs (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/layer1.tlg (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/layer1.tlg.db (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/modulechange.db (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/modulechange_mixhdl/layer0/modulechange.db (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/modulechange_mixhdl/layer1/modulechange.db (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.fdep (100%) rename {gbe/cores/sgmii => old/gbe/cores/test_gbepcs}/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.linkerlog (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.srs (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m.srm (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m_srm/1.srm (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m_srm/fileinfo.srm (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult.srs (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/1.srs (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/fileinfo.srs (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/skeleton.srs (100%) rename {gbe/cores/sgmii => old/gbe/cores/test_gbepcs}/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_prem.fse (100%) rename {gbe => old/gbe}/cores/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_prem.srd (100%) rename {gbe => old/gbe}/cores/test_gbepcs/test_gbepcs.sbx (100%) rename {gbe => old/gbe}/cores/test_gbepcs/test_gbepcs.vhd (100%) rename {gbe => old/gbe}/cores/test_gbepcs/test_gbepcs_tmpl.v (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_0.sbx (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_0.vhd (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_0_tmpl.v (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_0.lpc (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.cmd (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.cst (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.fdc (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.lpc (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.ngd (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.ngo (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.vhd (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_ngd.asd (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v (100%) rename {gbe/cores/test_gbepcs/sgmii_ecp5 => old/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1}/syn_results/.recordref (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/_CMD_.CML (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/_cmd._cml (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/backup/serdes_sync_1.srr (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/dm/layer0.xdm (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/dm/layer1.xdm (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/run_options.txt (100%) rename {gbe/cores/test_gbepcs/sgmii_ecp5 => old/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1}/syn_results/scemi_cfg.txt (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/scratchproject.prs (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.areasrr (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.fse (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.htm (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.prj (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.srd (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.srf (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.srm (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.srr (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.srr.db (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.srs (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.vhm (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.vm (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1_cck.rpt.db (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1_scck.rpt.db (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1_synplify.lpf (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1_synplify_tmp2.lpf (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1_synplify_tmp4.lpf (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1_synplify_tmp8.lpf (100%) rename {gbe/cores/sgmii/pll_in125_out125_out33 => old/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1}/syn_results/syntmp/closed.png (100%) rename {gbe/cores/sgmii/pll_in125_out125_out33 => old/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1}/syn_results/syntmp/open.png (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/syntmp/run_option.xml (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/syntmp/serdes_sync_1.plg (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/syntmp/serdes_sync_1_srr.htm (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/syntmp/serdes_sync_1_toc.htm (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/syntmp/statusReport.html (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/.cckTransfer (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/_mh_info (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/_verilog_hintfile (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer0.fdep (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer0.fdeporig (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer0.srs (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer0.tlg (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer0.tlg.db (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer1.fdep (100%) rename {gbe/cores/sgmii/sgmii_ecp5 => old/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1}/syn_results/synwork/layer1.fdepxmr (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer1.info (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer1.srs (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer1.tlg (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer1.tlg.db (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/modulechange.db (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/modulechange_mixhdl/layer0/modulechange.db (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/modulechange_mixhdl/layer1/modulechange.db (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_comp.fdep (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_comp.linkerlog (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_comp.srs (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_m.srm (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_m_srm/1.srm (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_m_srm/fileinfo.srm (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_mult.srs (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_mult_srs/1.srs (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_mult_srs/fileinfo.srs (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_mult_srs/skeleton.srs (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_prem.fse (100%) rename {gbe => old/gbe}/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_prem.srd (100%) rename {gbe => old/gbe}/create_project.pl (100%) rename {gbe => old/gbe}/par.p2t (100%) rename {gbe => old/gbe}/tdc_release (100%) rename {gbe => old/gbe}/trb5sc_gbe.lpf (100%) rename {gbe => old/gbe}/trb5sc_gbe.prj (100%) rename {gbe => old/gbe}/trb5sc_gbe.vhd (100%) diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_compiler.srr b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_compiler.srr deleted file mode 100644 index c36cce0..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_compiler.srr +++ /dev/null @@ -1,46 +0,0 @@ -Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.vhd":18:7:18:12|Top entity is set to extref. -VHDL syntax check successful! -@N: CD630 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.vhd":18:7:18:12|Synthesizing work.extref.v1. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":2147:10:2147:16|Synthesizing ecp5um.extrefb.syn_black_box. -Post processing for ecp5um.extrefb.syn_black_box -Post processing for work.extref.v1 - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 71MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Mon May 13 09:10:14 2019 - -###########################################################] -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Mon May 13 09:10:14 2019 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Mon May 13 09:10:14 2019 - -###########################################################] diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_compiler.srr.db b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_compiler.srr.db deleted file mode 100644 index f12ad976f7a84acc3549941a88799c73c3a3ac73..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#L2uJA6bEppMNB)e!2#_w$=U^wAa0tior;hcBidyLpu1O2^4gjtb`-ys7WEQN zh;O_bE=e_ZLfVyQC3>;-V*mBKdHnfWA@HfG3W+>qPnqYj_nb4vy6)|`cQ-uu@n|=3 zbvysp=(0DrKcBcR@9-CM4-FC^0TLhq5+DH*AOR8}0TLhq68O&r(AzsYKKAOjDB~Oo zuvX5%?rP`qOLTD)@tcbe*Ad^XzEmk+$|{-5>NU@m&hBPy%iThz^k2=T`%`IrwY{5n z4}cy1IJk9%1__V=36KB@kN^pg011!)36KB@JY1lD*4;a*hw^#n^5Y=vort+9Kv*+H zkxN9CfJl`rj7~-92ffK^a4PItm$9@Uauthwk$^@4NqM#?{N+478TEtH;V9^}b+8$) zHO|4R?@G^j+XySHU>f^wuSuNCB%8!$X3O5qmu`LcR=%vfUH-Z}TE11S+*V|8%@J?}905nb5pVidZ z(&x-z03W!C0GS`r=73Llkg*ti>$xtZ!HdJ$-3kT0tj>dO_am;s@PvyIMM$MGKn5lN zHCf3e$Pm()`AEh9l+4H!FvIH5lL|sE$p6)ToyA5!fJ`Hp2%&fK1`8BtqA}v8VbpL>`wfE$IWpKtm3)uBX*?O?iLblo zMq%j3;|AuVqN`7$U}Jx`x!yTqg*c2i*Arc^P#0;k)h9Mn;DzwE&fUj7s$h)!@VC76R1DNSr3HHo z#5{O*%_er12XD9fqzjA15|gA~lKeu=R`9uQp1$Z1Xl)Mjv<;5J-t)=f=CMo?tL+W)IVg@+ z3;FqgN{qpPUJXVlQ024yQ_|4fSe&&GV$QL?HP3jnbaSnGU?cCe9-d~x7TB(Dw`xOf Jz-p=x{tskLnY91_ diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_fpga_mapper.szr b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_fpga_mapper.szr deleted file mode 100644 index 2564d4f8455d634c082c9b3201d27683105caf94..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5141 zcmV+w6zc0AiwFP!0000012l{=3&JoIg!lf6EZxL6xj1zxZsOox(&XAk(3|-4G(Gra8XPQxH?46ATuYJp9Jo!F>{#m`1Zc_lBl4gRJLZ z7aD_-a=#NtiaAHCprXDMH641KoU{*R3@%;?Um|%f9YbzWW#uCNE!~hu+s!s-@K#=2 zHSGrg0RR8&TWxRKxU&9i{)*hCE>a&<79A_Dj`jsjNYTu~B%SH4vbc+dVZ}v!B*jV& zYr6^hm|y64)&HJ}_S&ZqvVdOB5_=ZW&~o-n8IdSab0+$K}sAJtPS;s9V0 zN-ms|yn%LZ^}?O$_AUBiV32pt|ShO-;6a!*?~Ml^c4zp6XIQ*Dy0&_cJ4CDYQqswPH7c2 z%tdT$hcv1YtB4)$qC(oAIU7fBIbGQuKVOmC&h0oN#LtvEUQxl?u@898A<@{l`>}+$ zEC*%DAViGA7ug2Sqjo;YAh#JrGOD>zi8GD%Ek%xwhn7dIHibYzM2^d!?OPU&EniW# zGjf*af_HA(2qLNx1C&*Q`g3*HOXka8T8g$7o9x^)yfTAe?|~ghb`L`myCuuzIV* z0z?xAlIq9o<5tFlnmV*|RQDs}uYk~*pLM;(zJO3h&6nZszE7q!3Zxdzr)<#SoMia( zxYmXj=!$AH#S^>*VF4hGzB>nCOP{*1H zWt4uWnhftI+<+}ihnoi2k;?En!1p;d30Yr(Tx&4sqvmH&rfFQpy(N?+J|nsxNJJ4e zaM8vL4l^q=Edtp2k6JxB~%UcP618% zSvfyTm&0^UibEMRPG$QH4&yyI3(rn_&&n9KeI7pt4R$c2nYz0sf#!xCIm1Dl1cVVl zEtLv=VIm>1ys9;01_7ZBI4?QraM*Yru)c(#(2_n6DA;2?z$qsN>Og}O;{Z5?iWdn~ zmBZ;`D@;PhI9_)(V59_XWug>b)l`}sKpi=z@c}DPE}8-&n{!_4BNPF!tS#VH+##Id% zQHz9fNXx8D%ewrwq)@N9L_Jjgy0eu-f0TOP4(o#gf!Ut#tq;gc@^Z0GVe=XRQ@!tM ztyQD3%W!!*2E}TQlx6$Oa=8TY{!oJL*ooBbd^oB~m@&qW!G3)xYp*;04Q0htdil07 z-%J}e<%yZ<1eKa&1~J(WyiFOxMP ztslU?QMF1K^{$-@&JX>j-(9Y|!Oletu%VAvy(s^7a z6z)naCC2r_e=0@?ak;IJ{QK8_|IX zij9^D+zc))>H1qlS}g-_e-`bJJDes<<8?eg;7}Oo+?Sdjq*7>5ks6?F>{?V-ZbZrxY-ItKR_I}J;q6I5f;zC0 zxZsQWYQ~Z0kV@DnulTSYPJ6i;usYvG^(c`*3JWx36nB>Uen6s&R?a#m14TA|ENFjP z?&i>PKpD{-LzBUia3Sl%4X|YrxtAhd6 ze^%~~#JwZRIK%jnj|PlA1qL2d27jdA8iTDJF!42TJ{aa~7qRy>YMDdP)D$-h)&4i zW(UbpVU@})dj3Ke%4gK*C^|)g!PBCqFs7i+^SV~lWXyVgWxjVz40B%f>gjNklO1{p zokA6yB!ffP*-%@c26E;3WZ~lrHb{$(LYyR+&DaVkGVvUgp~&uSh8(VNf_;Cdi+et- z3$qKUFd?~(m-H`)lc)FMP6?Er>o3L?(jEu-HC)Uj1Vq=xb1rO`!6mF|u4R>!DcoTX{kf5rIWOPG_UWhh_zRxTy-&ZVlbjhV zwNuSg=)(7lBA2>oWwQH6^JW!|(3N5iKBpmDhKkmM0Q7E-1Pu9Q3b2qgt8B}GJa5Nb zlzX|7;D#%C`TF{jO>SyWZ61Msi@6WiFfL3ecism)iQW7BP%I8*-*!63{HVq_Ho+%a zi~y7kwYz9@+7{@&d@Lh8Z!stj1-{Ye_0e%L!R#YXTZ}?ef`whGEOKT*JNEf5$;n13 zjY{MHcJs%$qccT$lR)donZ?=GswqHvuJg|4PMG6`23FM9{+_}#^mHtQ|CxRz-`&+2TT`1q0J(PBYbDN{`d;l<{Dx zzoV@$y(ng=8`$U+6MhLN4l`Sd6YeNDVnv4W6?HyA?tvK*4okoijhSeAgWHQ)vB4Zp zXp^3x7huk3%)%aZ+B{v?(wQ2Y6$z&UD3>(WcNp18zOD@K`OP*@#URq+K;GjHRBBj- zV1UxzQ~1;oc?gs9Ph#>EG3oWZjdK?{T*HjYTYquK1(tb;f_q&q1|MRlz$gZmb?N0A zsvIYpq~$%CU`EW1k0lbA=L=6_tB2&Li|c9s;J81V?Oe|Q(zL|9oN5egNQe@QyA1nH zROK{npkiZ+bhc7N>QBmNi1OLujiFD{HuJUFV9Tk#u1=Sq9P=|AGhLy4U%m1&Mb2Em zlCN63?0dVsc=f6R%zHR=F@dC1eLPju+nR$#N5GTU5Bbf5Gs0aixv#TX zfdQT={Z!n~rXX15LG*#Qp&Z(A_!=EO*324>Cx}Ua2{MPgI+QwP0GB1n5qQXGxwx$d ze%1IxFz@=5{^JDVdv?e&Znc!6VZkS3sn2bY6)DV_46wo=D{zzr2zgGQgp)sn?;gZt z@$zN*6KrBwp1$X^3@~1KF2V{4J`Q1QX%Ksy`WmFK!yX);1I=(A8g(<~y1;}a$1G@o zc^_X1^uru=#C-l=A@n8Wx$)hyam8T(4`Dgp%)c5}z2j;W<)@_6S%di%cP_Bmli&}J zm+-Rx-gC_J&ad*~zF!aZdGi&yy6RuSYNhg%9&?P~yRA`3Kz z2|qeY<-m1r*Qxj8bPwR!>Acq`#QO$NC+;TKSzz!}e~C?(2g_gE^a&y8QJB7LKnF(9 z1SupiHZtN)f~U_Qi@Gup4) zQNfAWD2{vt2MKuuyMJgORHVj;QlaOgh%SpT#JDuT@$VYQ74oALJ*%vM+8i?(FNefu zXowfY&nNqRjQs-jj-rpY+-yjx5(@?_5D;-Ck?ue+=vPDuBY;L5ks-L%kfSU z_`TTu9QTSp%e~?Ux!37E?zQyX%aI$saCqaS5{-g>Z7R{`9^URY^gn*?Yx%kR+B2;MW8;vPp9|bKAqm@_UW7sKkU;vUHW}G z7(Y*-x1OD*OZetX{r2r%(#bbjlBVx`qZgxStd-GiNtUnj1qqCOum zevH&XC4BnIleXqlH*Jl7kiksV6igOizBu82D`A6@n&6yso1>1=|K8TRjL;^a*rZ># z@^zti^-V1i<@i6MLf?y)*Uh2z6+rjya_-Citb0C#%`wc@Z;uzS>h7FFch)A_SN|m2 z^@OhEg*#&4m}1^vXbEd=U>(dMM!WO;Bz;{%FTOe>WJZXb6rfUm1osb&NG)zT>HbJ7 zzacHz{D^VRavdN}pxsY4DA(kdcPEGu3=uN%>meQe!ajH z!g__e1RXG{geAso1{kqjyZ&?czPIV;jQV)j*7V+PR+k(m_}<5D6pqV*W7~a+v);US zx94!n*ML!M-&T-tiF6Sf#}%i{$&iKHa{~o~j2cPN0V%_el6L)xXU6)9kxC}2LglnI zBQhX_la+J1tbMJxLQdvt6a0<*?W2PbazdqJx9euUHq`D@T%>RbgnT4d4tF^5KX(*m zwXbLWt9$p3LqEp$1fX5R-5z~teUF#%-7^k0Z5<12;D3I=X4~HisgB&fzC!jR;kGvc zeLo+6I`iL{_~R`$S6~F(9wCq~Sy%YOM?WM1>axBsjIDjaFYo-%1xo$?y+K))+n>L> zcZol556S{GJ^x(^K-<2U+Kxn+eqWI9pYquGo=~)rwvOluj@&Zwc}c$2nZL@U<0b*> z$8Tz`HATOAgS`Y9g@%N-u_$0ZE@{O4&37~gjwX`lj?})~OImy&-db*wVfI7{`Z2cg z&)0;ZL+|AF{^lQA6?P1Mv$dn%3Hlqx=am94N<1;*qi1jL|MuDTe)vRCLJVzf1Ta}` z|KoV>`4VW?w*A=Kdhpiw-pKfOKTB-qX(kkgPX^Kj0h#(5_wJ%yM*_Nw=}}_QM^V2Q z9yRIr{cFE=L(#7z`|GC>e81Re6}Z4g8gU=KzF(4SLBjJ<0v@IlI?zTACHkSJ-An> z_xZg-y@&P+4e#w0THfvz`n~w4T};=p9n7)~G`!_?D@IJ}mxu1{!|q~Or;V>mIln8w zvoqPt;q-OyHT?H}xpz!fhyGie)MmHw1IYPt1j3?-Z)g|tq7qf}!l(XpCnZ1a%(@#m zxVabO?e5$D9kFYJYD})7`{9y*=J?Gozk23POfKO3*U#L3141o&{+!Z^i2ovR$^0Hw zzT;p7>hX23p3i@)|CRM$x=_kGQr_jF(4)>LaG0k)zlS-*a^bIi`kSWLH{Uc}{rThX zoE*3V_rl}e=g8>*%JNxRp3zgkZ$l;oP5S=;00960;&M>n0ssI2|NjF3%*?BhemnpG DB#SlN diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_multi_srs_gen.srr b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_multi_srs_gen.srr deleted file mode 100644 index 3e8860c..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_multi_srs_gen.srr +++ /dev/null @@ -1,11 +0,0 @@ -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Mon May 13 09:10:15 2019 - -###########################################################] diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_multi_srs_gen.srr.db b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_multi_srs_gen.srr.db deleted file mode 100644 index 029fa7a6cf9014af50ee9d4aa843536a1f7b5c42..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#JqyA>3DEQgKL*xDXO@ z%d=&(imfwzIG4G0Jf%J073BwWN1`{~f``Krs^Nta{J wY>_tId!-qqw)j}S$WL3iQGJ`s(T{)t1Rwwb2tWV=5P$##AOHafK;VZ3UKAiP82|tP diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_premap.srr b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_premap.srr deleted file mode 100644 index 27b114b..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_premap.srr +++ /dev/null @@ -1,62 +0,0 @@ -# Mon May 13 09:10:15 2019 - -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB) - -Reading constraint file: /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.fdc -@L: /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/extref_scck.rpt -Printing clock summary report in "/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/extref_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 115MB) - -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist extref - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) - - - -Clock Summary -****************** - - Start Requested Requested Clock Clock Clock -Level Clock Frequency Period Type Group Load -------------------------------------------------------------------------- -========================================================================= - -Finished Pre Mapping Phase. - -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) - - -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) - -None -None - -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 56MB peak: 142MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Mon May 13 09:10:16 2019 - -###########################################################] diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_premap.srr.db b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_premap.srr.db deleted file mode 100644 index 4dd9838c94d7e3005cdc2c470cfbe338a4b457d4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#F;Buk6bJBYV`L(@7$%YzCP;)tC5;J-NN~bIWMgPb`zR;$j0+3$}A zz43s?y_?~H_PY(4&^pTFd6b{gQmVzF+O-@u7E=9aUfDOLGEFy|`<02PyxRo<0SG_< z0uX=z1Rwwb2tWV=5P-m61z!A8wY-^}`ggZM5cHQiUQn#nn)5W}Ds}xqr!|#^GM#YyyYmanA8M`u diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_premap.szr b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/extref_premap.szr deleted file mode 100644 index e4da5e4149a0131bb9984e345a8d72e1b101e717..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2533 zcmV3|-4G(Gra8XPQxH?46ATuYJp9Jo!F>{#m`1Zc_lBl4gRJLZ z7aD_-a=#NtiaAHCprXDMH641KoU{*R3@%;?Um|%f9YbzWW#uCNEnQ~JHgDy{RnvX| z00960#8_=_+%^*ay!sWnP(b3sGHFZM@-|IT?@(MiSd#V>RX^l|V6KZ85^v>#-gOi7 z$KObfgI;?DdKWh#U|6QL^FGf!vu9bRWtthDUe%x@Pfi-{?7)o>^R#Bdb5JojE+D)~ z8n_p?yEXU~dm@?yo~s$8l>pZ8JlPf$>xE}oG*Vd(_c9x%h2u(iVZdnLnM^*?m;AIr=Z*}n+gG^dL9?-HpG|J?u4H~ep zxlf@G7%=I=re2~+Jk}Z{cgY%ZVK96x(ws9d0z?H)a2?VcL2kh9diTV$6^PW#ydrm3 zi9^HMoFoBLC|Hq#6L)y?WIuo3-W|=+6~PZP2e-ICTK+J{R16L!6vY-}<5q5Nv0G!I zX4<1Jnq)obG%z$pvl4>?CB0^ThDC+VU{;VUI7!BMrDqjG{INJ#`Wj{j|FW)%NO9-^ z+VQQ}w#Gsu&G^|LMKW|ReNqm*Y)5^}wpvv=;(4J#C8J@$$+uXZk9RdnHRbKRDeEF4 zi+yxW$_*-bw3ui?yFK9!U??JR}~pe*xX~`!E6K~Y>Q;QaVIj9`aEz~&;a>HmM8MpK{ydhCAuF_maFl~{ zP5mA8;9_!*9S6Zj&iUDJ253;!Td~2~C6Mn}hIX$p-#f(Ws;k~Ey7{8Q>Zp5r zoMm~inqkgvgcAlmT-M;N)@s=0m?9L8?6No<{zOPc!M9Pl;7}Z%(qNR&v3h&BJwS8X ztDgn-IN+wkqpEw3Z?}u9MRqk`=G5%98N?D_oV=y?k2Xbz)$?PW+rU_UXz{{dmRO@< z4|h1u=5*%3qLT`pV(6IBew;(-rS2(X!Z@Lw#T0;|se^HpKtZLLIYWP8ONBqHNhGBHkO66-2TcQ&pOxu*#eT~IVbcmn;#-wM9=uw&xMDtTxW%U8)etz=N;u2H$VJp4|+Ss6^-4lH-(8*kfr?i&K9@ZU-eRXqIeqY`BWPOEDqL6 zz9?}SbsYQPDN&PFP+w8c7pum!BR6!CD6niFIAN>3@;GCad5U)Iqp|)*>^0b^6DTvt zzGl`87%ptT6rZQQjvnfZ%6kL{b76%tG)8}Mqc6*#iGu*O(Tf9jss2>Y5_j(}!4Ncq z3X*zx(cPQ)vSS$cpfTnBc%OWqs2Ax&Rfqf2FatL}AD!$^Gs4%gw+O-XRO?YpcspT4X80Ufyo-XMeMo->$;bK`hx$&qXcHt^VR<3 zF3ZOH(3avr`0{L=oeZcR8=*$L=N@Dga z44_;fUTaqObk&#%n`kD3_9ETopQa1=U`e8HxPmzE+vaFqQoNoyY-nwR#WhLok@=>c zYM%B(G@ksXIArS10Kpe2$A;sx|7pMDW<3Dd#EE;&8|aT>JKr|NU)F81J6jtPBvBhD ztr)2Dq8Y{>Kwytv>qk}fvDWAGJl6Ur`oA(p*{c<2+fWqbcS(8q9C4>TIuf1tUnIw( zDJkw>q^=9G{cE}}@@#o?i>{{qEFOSX=MLj>A9I%$eRdtwda~&Pm$%A0A_>5Vna1-4 z$nUSatcSQx4avK`SR!#_&uW5StC2Xhrfp-9ecz3{meWd|wIJ!UtS3`xj4jsVJfr=A zZC}k1kkKsDNP97kd$Fa;HbOCi(P>7FI72{B`Xv!1f`3L_jBF9T?`<-}-+*HGCJd2JD%Oo;IH!RtKT2YGJH7hm^hz6JU|3^O~Q#Ojpy|Nj0-d61#yWueNQr; zQ8vy#-eu=EV@LnzJ8+j?+a5gTh&%dICnF#9h0AN#Zqn*4HCOY8s&iCDn`9S<1hdUPDw`Qh&gXSj^sqabCM!%`)OK zW35uFn zpTi!VUE6D*UluY;kpouCFBKcwbHEib*kFcnc8qaQP5+OdiF-NxzyY7E#A9R7e1Y5l zV#|~VjY4;``#E3HoF@;QQG%BJ!w3H>LfK$?5#PZd_w((C{rp{)z59Pp_U@mjw0?dK vrw63_l5~^avg>F8ryc;!tjzum00960;&M>n0ssI2|NjF3an_Q)85jTnKNj^= diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/layer0.tlg.rptmap b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/layer0.tlg.rptmap deleted file mode 100644 index 3910cac..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/layer0.tlg.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synwork/layer0.tlg,layer0.tlg,An incremental, partial HDL compilation log file that may allow early access to errors or other messages. diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_compiler_notes.txt b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_compiler_notes.txt deleted file mode 100644 index 40a0990..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_compiler_notes.txt +++ /dev/null @@ -1,8 +0,0 @@ -@N|Running in 64-bit mode -@N|Running in 64-bit mode -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.vhd":18:7:18:12|Top entity is set to extref. -@N: CD630 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.vhd":18:7:18:12|Synthesizing work.extref.v1. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":2147:10:2147:16|Synthesizing ecp5um.extrefb.syn_black_box. -@N|Running in 64-bit mode - diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_compiler_runstatus.xml b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_compiler_runstatus.xml deleted file mode 100644 index 3573f63..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_compiler_runstatus.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - - - /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/extref_compiler.srr - Synopsys HDL Compiler - - - Completed - - - - 7 - /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_compiler_notes.txt - - - 0 - /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_compiler_warnings.txt - - - 0 - /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_compiler_errors.txt - - - - - - - 00h:00m:01s - - - - - - - 1557731414 - - - \ No newline at end of file diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_area_report.xml b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_area_report.xml deleted file mode 100644 index 6f8fcea..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_area_report.xml +++ /dev/null @@ -1,26 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_resourceusage.rpt -Resource Usage - - -0 - - -0 - - -0 - - -0 - - -0 - - diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_errors.txt b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_notes.txt b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_notes.txt deleted file mode 100644 index aa9d230..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_notes.txt +++ /dev/null @@ -1,7 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/extref.edn -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_opt_report.xml b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_opt_report.xml deleted file mode 100644 index 1ad405d..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_opt_report.xml +++ /dev/null @@ -1,14 +0,0 @@ - - - - -0 / 0 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_combined_clk.rpt -START OF CLOCK OPTIMIZATION REPORT - - - diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_runstatus.xml b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_runstatus.xml deleted file mode 100644 index 68def92..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/extref_fpga_mapper.srr -Synopsys Lattice Technology Mapper - - -Completed - - - -7 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_notes.txt - - - -1 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_errors.txt - - - -0h:00m:02s - - -0h:00m:02s - - -146MB - - -1557731418 - - - diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_timing_report.xml b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_timing_report.xml deleted file mode 100644 index 52701c6..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_timing_report.xml +++ /dev/null @@ -1,23 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/extref_fpga_mapper.srr -START OF TIMING REPORT - - -Clock Name -Req Freq -Est Freq -Slack - - -System -100.0 MHz -NA -NA - - diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_warnings.txt b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_warnings.txt deleted file mode 100644 index b99a088..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_fpga_mapper_warnings.txt +++ /dev/null @@ -1 +0,0 @@ -@W: MT246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.vhd":31:4:31:15|Blackbox EXTREFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_errors.txt b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_notes.txt b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_notes.txt deleted file mode 100644 index eed8756..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_notes.txt +++ /dev/null @@ -1,2 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_runstatus.xml b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_runstatus.xml deleted file mode 100644 index 963604b..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/extref_premap.srr -Synopsys Lattice Technology Pre-mapping - - -Completed - - - -2 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_notes.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_errors.txt - - - -0h:00m:00s - - -0h:00m:00s - - -142MB - - -1557731416 - - - diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_warnings.txt b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/extref_premap_warnings.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/metrics.db b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synlog/report/metrics.db deleted file mode 100644 index 145597c4adc4b482a47f9aed0f9a755098cda8f8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20480 zcmeI3%Wm676oyG#wkQ}q$DyhyiwgKoQNv+WDyC9=!mMK{-RlXhVw{RbjBmvep|ec`s;cSJ3p ztbH0cA4%VczNh^IPk$yNX>{O^#(gjB$inOsq(_ol6`zJ`vABfHng4Gh!# z-(iWxf9KEm=W~C|{WN!xJ*NpY5C8!X009sH0T2KI5C8!X0D=EX;77+{Tbxx7D}hRk zr+k?-RBZAM`9`+Z#uCl%hwqpZ`M5`W{R4XOJaiMGY#Y&2L)W`yhi#Db!!haM;#=qr zeHycs^xbsz$gXreimj1<4bY_!PM6EpMY=9pI_OI!nomULy4sOS>QqGJMn+4Sd`p@1 zD&640gnqVk`VG#|>XeFL=PQ2t;lsZRR>i8G-z4ju7W6$z*3+On6ZbhI=r_>(k}~_t zoGsq11b(NXrSSqq&q7`u7(E8x+`277&-e#25%kaqDjvjr*}6{oewDm$7v9
-
-#Build: Synplify Pro (R) M-2017.03L-SP1-1, Build 086R, Aug  4 2017
-#install: /home/soft/lattice/diamond/3.10_x64/synpbase
-#OS: Linux 
-#Hostname: lxhadeb07
-
-# Mon May 13 09:10:13 2019
-
-#Implementation: syn_results
-
-Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug  4 2017
-@N: :  | Running in 64-bit mode 
-Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
-
-Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug  4 2017
-@N: :  | Running in 64-bit mode 
-Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
-
-Running on host :lxhadeb07
-@N:CD720 : std.vhd(123) | Setting time resolution to ps
-@N: : extref.vhd(18) | Top entity is set to extref.
-VHDL syntax check successful!
-@N:CD630 : extref.vhd(18) | Synthesizing work.extref.v1.
-@N:CD630 : ecp5um.vhd(2147) | Synthesizing ecp5um.extrefb.syn_black_box.
-Post processing for ecp5um.extrefb.syn_black_box
-Post processing for work.extref.v1
-
-At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 71MB)
-
-Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-
-Process completed successfully.
-# Mon May 13 09:10:14 2019
-
-###########################################################]
-Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug  4 2017
-@N: :  | Running in 64-bit mode 
-
-At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
-
-Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-
-Process completed successfully.
-# Mon May 13 09:10:14 2019
-
-###########################################################]
-@END
-
-At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
-
-Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-
-Process completed successfully.
-# Mon May 13 09:10:14 2019
-
-###########################################################]
-
-
-
-
-Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug  4 2017
-@N: :  | Running in 64-bit mode 
-
-At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
-
-Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-
-Process completed successfully.
-# Mon May 13 09:10:15 2019
-
-###########################################################]
-
-
-
-
-Pre-mapping Report
-
-
-
-
-
-# Mon May 13 09:10:15 2019
-
-Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug  4 2017 09:36:35
-Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
-Product Version M-2017.03L-SP1-1
-
-Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB)
-
-Reading constraint file: /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.fdc
-Linked File: extref_scck.rpt
-Printing clock  summary report in "/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/extref_scck.rpt" file 
-@N:MF248 :  | Running in 64-bit mode. 
-@N:MF666 :  | Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) 
-
-Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
-
-
-Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
-
-
-Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB)
-
-
-Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 115MB)
-
-ICG Latch Removal Summary:
-Number of ICG latches removed:	0
-Number of ICG latches not removed:	0
-syn_allowed_resources : blockrams=56  set on top level netlist extref
-
-Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
-
-
-
-Clock Summary
-******************
-
-          Start     Requested     Requested     Clock     Clock     Clock
-Level     Clock     Frequency     Period        Type      Group     Load 
--------------------------------------------------------------------------
-=========================================================================
-
-Finished Pre Mapping Phase.
-
-Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
-
-
-Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
-
-None
-None
-
-Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB)
-
-Pre-mapping successful!
-
-At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 56MB peak: 142MB)
-
-Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Mon May 13 09:10:16 2019
-
-###########################################################]
-
-
-
-
-Map & Optimize Report
-
-
-
-
-
-# Mon May 13 09:10:16 2019
-
-Synopsys Lattice Technology Mapper, Version maplat, Build 1796R, Built Aug  4 2017 09:36:35
-Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
-Product Version M-2017.03L-SP1-1
-
-Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB)
-
-@N:MF248 :  | Running in 64-bit mode. 
-@N:MF666 :  | Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) 
-
-Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB)
-
-
-Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB)
-
-
-Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 113MB)
-
-
-Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB)
-
-
-
-Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-
-Available hyper_sources - for debug and ip models
-	None Found
-
-
-Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-
-Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-
-Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-
-Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-
-Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-
-Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-
-Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
-
-
-Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
-
-
-Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-
-Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-
-Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-Pass		 CPU time		Worst Slack		Luts / Registers
-------------------------------------------------------------
-
-Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-@N:FX164 :  | The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.   
-
-Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
-
-
-
-@S |Clock Optimization Summary
-
-
-#### START OF CLOCK OPTIMIZATION REPORT #####[
-
-0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
-0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
-0 instances converted, 0 sequential instances remain driven by gated/generated clocks
-
-
-
-##### END OF CLOCK OPTIMIZATION REPORT ######]
-
-
-Start Writing Netlists (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 106MB peak: 143MB)
-
-Writing Analyst data base /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synwork/extref_m.srm
-
-Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 139MB peak: 143MB)
-
-Writing EDIF Netlist and constraint files
-@N:FX1056 :  | Writing EDF file: /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/extref.edn 
-M-2017.03L-SP1-1
-@N:BW106 :  | Synplicity Constraint File capacitance units using default value of 1pF  
-
-Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB)
-
-Writing Verilog Simulation files
-
-Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB)
-
-Writing VHDL Simulation files
-
-Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB)
-
-
-Start final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 145MB peak: 146MB)
-
-@W:MT246 : extref.vhd(31) | Blackbox EXTREFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) 
-
-
-##### START OF TIMING REPORT #####[
-# Timing Report written on Mon May 13 09:10:18 2019
-#
-
-
-Top view:               extref
-Requested Frequency:    100.0 MHz
-Wire load mode:         top
-Paths requested:        5
-Constraint File(s):    /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.fdc
-                       
-@N:MT320 :  | This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. 
-
-@N:MT322 :  | Clock constraints include only register-to-register paths associated with each individual clock. 
-
-
-
-Performance Summary
-*******************
-
-
-Worst slack in design: NA
-
-                   Requested     Estimated     Requested     Estimated               Clock      Clock          
-Starting Clock     Frequency     Frequency     Period        Period        Slack     Type       Group          
----------------------------------------------------------------------------------------------------------------
-System             100.0 MHz     NA            10.000        NA            NA        system     system_clkgroup
-===============================================================================================================
-Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
-
-
-
-
-
-Clock Relationships
-*******************
-
-Clocks            |    rise  to  rise   |    fall  to  fall   |    rise  to  fall   |    fall  to  rise 
---------------------------------------------------------------------------------------------------------
-Starting  Ending  |  constraint  slack  |  constraint  slack  |  constraint  slack  |  constraint  slack
---------------------------------------------------------------------------------------------------------
-========================================================================================================
- Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
-       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
-
-
-
-Interface Information 
-*********************
-
-No IO constraint found
-
-
-##### END OF TIMING REPORT #####]
-
-Timing exceptions that could not be applied
-None
-
-Finished final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 145MB peak: 146MB)
-
-
-Finished timing report (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 145MB peak: 146MB)
-
----------------------------------------
-Resource Usage Report
-Part: lfe5um_25f-6
-
-Register bits: 0 of 24288 (0%)
-PIC Latch:       0
-I/O cells:       0
-
-
-Details:
-EXTREFB:        1
-GSR:            1
-PUR:            1
-VHI:            1
-VLO:            1
-Mapper successful!
-
-At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 33MB peak: 146MB)
-
-Process took 0h:00m:02s realtime, 0h:00m:02s cputime
-# Mon May 13 09:10:18 2019
-
-###########################################################]
-
-
diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/extref_toc.htm b/gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/extref_toc.htm deleted file mode 100644 index 88bb149..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/extref_toc.htm +++ /dev/null @@ -1,38 +0,0 @@ - - - - - - - - - - - - - - \ No newline at end of file diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/run_option.xml b/gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/run_option.xml deleted file mode 100644 index e23ec94..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/run_option.xml +++ /dev/null @@ -1,24 +0,0 @@ - - - - - - - - - - - - - - - - - diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/statusReport.html b/gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/statusReport.html deleted file mode 100644 index 0991010..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/syntmp/statusReport.html +++ /dev/null @@ -1,112 +0,0 @@ - - - Project Status Summary Page - - - - - - -
- - - - - - - - - - -
Project Settings
Project Name extref Device Name syn_results: Lattice ECP5UM : LFE5UM_25F
Implementation Name syn_results Top Module extref
Pipelining 0 Retiming 0
Resource Sharing 1 Fanout Guide 50
Disable I/O Insertion 1 Disable Sequential Optimizations 0
Clock Conversion 1 FSM Compiler 1

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Run Status
Job NameStatusCPU TimeReal TimeMemoryDate/Time
(compiler)Complete700-00m:01s-5/13/19
9:10 AM
(premap)Complete2000m:00s0m:00s142MB5/13/19
9:10 AM
(fpga_mapper)Complete7100m:02s0m:02s146MB5/13/19
9:10 AM
Multi-srs GeneratorComplete5/13/19
9:10 AM
-
- - - - - - - - - - - - - - - - -
Area Summary
Register bits 0I/O cells 0
Block RAMs -(v_ram) 0DSPs -(dsp_used) 0
ORCA LUTs -(total_luts) 0

- - - - - - - - -
Timing Summary
Clock NameReq FreqEst FreqSlack
System100.0 MHzNANA
-
- - - - - - -
Optimizations Summary
Combined Clock Conversion 0 / 0

-
-
- \ No newline at end of file diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/.cckTransfer b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/.cckTransfer deleted file mode 100644 index c1fdce9c6c2e00fb7113a52ec7bc7ef7408be070..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 457 zcmV;)0XF_0iwFP!0000015#C0RVXORFG)=2EhIrB{)TUz(B^hy5^YeW6txxuBnMKLC*4s|Ec1cT^M4s+2$*`f=p?4Amk=gNGqJ@h*FljT zX_v@n46^H0OHR$Ds-O>OV|NjF3NAbfmtpWf5d_LNA diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/_mh_info b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/_mh_info deleted file mode 100644 index 37bc105..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/_mh_info +++ /dev/null @@ -1 +0,0 @@ -|1| diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_comp.fdep b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_comp.fdep deleted file mode 100644 index 3c02189..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_comp.fdep +++ /dev/null @@ -1,21 +0,0 @@ -#OPTIONS:"|-layerid|0|-orig_srs|/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synwork/extref_comp.srs|-top|extref|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_vhdl":1542167766 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/location.map":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/snps_haps_pkg.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std1164.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/numeric.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/umr_capim.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/arith.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/unsigned.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/hyperents.vhd":1542167610 -#CUR:"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.vhd":1557731412 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":1542167599 -0 "/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.vhd" vhdl -#Dependency Lists(Uses List) -0 -1 -#Dependency Lists(Users Of) -0 -1 -#Design Unit to File Association -module work extref 0 -arch work extref v1 0 diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_comp.srs b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_comp.srs deleted file mode 100644 index d2a9a97c754d67a224dea9c0547da84025233704..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2470 zcmV;X30d|ZiwFP!0000019VbdYui8&eD|+dYz!^fJ}Z_Z?5743N*N_M^*0v#HL zFT1ahZ8rHlT_q~t#Uak%&Y1-~Hx%E`Ao@L@bU@Iq25l|q7`-7a)}i*MZ_%-4614=9 zwz@#bIt;-6BXgo?r5*3=02M+Xg{134?6u>B=LUf>wDAHp-qn<-Bltb&_h6)LbWt1~ z0%FpK6LIrV>_)tLAq+`o1_8xe-J8TWgVxuyC!^nXK0qx22CDi?C!&^|e9l0C>Egc; zNN|b9u=FQ#4OJwdMH=sD^6>b4_i+ET9ly@gq+aLjyYXnUd-=H?tH!sel8&;PSsGL| z=~e-;LaE{Y=mhgH{sv{MIS#i3e9 zqZr#xWKfC6U-Amo_X;0PyghTky|G7ym(_(mVC*f&v_AQ86ng`PXx$swl&0hXl@WMI+;!HWVZSQQvhKv8N-&LkxLya1YVCI5xR)kawD^C|=^x{1#9 z2&apYs0mM?4Wj?f9lK?_Oa3MwgTF1-of57{X~`iULxd`@;i}`A#_W!^JG;6a zVWdr+Bk4IUbDVBD?h0p3SF20zZa)Th&Rc+z^sVQnAH{QMtCiSmeyQv3L+F}vJaV-V zNWXhiuKyohjlkSEr4`;2he=*c5$=d*SQ_DQofW?elH)%GIuxN>5h1JMYJ~-*F|Fj40C5=EfFR`KN4^0KAmnlky6$WPQzrG*_9; zR~~cev8{UH!3_Q=J;j-EofOvZlDdlo3}>U`A}M_>o`=7^PRk2#m6?~PQF{ZOh}PlH z@gh9-yp#?yrS{gFi)3D#i~9_f+UySwnGV8ee6-8Wq4_aegfNW9<8}Ca9?!ln7f<0= z+w@-WxohKr*6Wdo5FkaIhW(d|nCyFRmsCr$cL!rk*K{CI0-@i6C9I(I7J%i|B*{q+UaX8?Ad;TGsHBam1I0=Wm<7If<{IG`^G>fR2 zJ*>yer|^v3q^=lxG`Jt;-8SP=iNSBfy^dze?Vk#hHoXQHx}ERn*R~FcoaLu8(s%3D z=$cq0Y(4RBM+a?7+y2+r;(JPJVYaBYgo)aALvuM>z&dnpGwGAjr+CK8nn%H zp&cUr!PZ>Zz3#trr+z3e@SWHG@tLjtdsFK%MB(Gni+cDn#H2atQ!8W`Kh@yB3D)n%B>-xeocF5b67&uLS`eNo|$TSI)0Rz0c{{=k%S=Jzr`L^LrLgrn_AnPbX|Q ziCH}O;Bf=zm?)p0P$!+ul-Up7?=^gkHV6OqsiD7iZ0h@KdWsV^{12OEh{>k$comh- zKLDBAciM>8n)_Pk8O$g5KJoN=*JEMV@g$7L$IbHbq4!xIeQnACC7HBNpL?mt_wcys z{iyo7wYC{DNOgNHKoho4g8Y2`;P>SHTgq$G>$e~0Fys#!uVoI(pqlr*&TE*n)|bNK zxSf2Cx5SAS{)kBn4z_{_w}pPK_TQv-J|Ff?Sp2zaVIWo?}WoBB}fyDug09`;aP zhQti{fAbG3gB5Q@E5t1iaj`*E=p*oJ2HOs~E#nY!W&J3@HPT8m62LiMb|gl-rS8V` zR8bAJWT^W2j>eo8+m$SlPc^yIbSqZ{#=Nxo7VXM69cYPhJDPwV>zGB(;?vK}%I>d)pM zmemb>P?oHH6LcWT*1&wX&VY3u^1%9H$P1a+8_cBvd!oH#3+Ua*G~_tUD;{QE%GfBG zrVR8LGWndF?s`;OpPg}(DboGqQ?8Xij|U5YWY&(!^{wFFNU3*>f*y)%|1$3s-8cr8 zq5W^3fpluq_g)1o+k@1_4{uc`H^!Z`{_WHi8Za<^omg&=8tU{2dR-W4&p+xX4~^83 z244i0eZ6SyUGWC{`~4m4p@qA)uyEJFqN#89g(a`ICC0iERm=IC7~TXIg8x1Hh*3Ys zGU~(II+vcgxld!kBLUepQQ4EY(pW88F$mEv_#pNmd>1vrX1vD0t3BpSN#~6|TO5Ie zxim&bM3phNRVBoNw_GfO_WrxJ`mU}1Ut0xjkJW2CLGNEV7x&^9o}|ya#9g>%hNAdu z7#f6ryg%VCc}^db3(o(nmQ5Wwm8|hO*`*iForx)Xx}?eSFUf=B(#ApF9QEQ)fqS>BJayjjM}BJ4?YEoX_By_v3Y6^czEJ zEOq!3%lFUtOp;yD=hNRwH73W)(tY~)<3Jv9AT^)sCcgCOcK;?R)@1mp_VLs5clYJj kgdC>&p^U@&1^@v6|Kf5`-~s>u0RR630EE9KXgd-B0KKj3rvLx| diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_m.srm b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_m.srm deleted file mode 100644 index 4b18ebfd35ab7c1b496943cbf65067c88610abeb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3159 zcmV-d45;%TiwFP!0000015#C0RVXORFG)=`9lO8R%@q3yFDClwv#0) z$$a&l%v)~{H^~Qwobm^V90*tYa)&6~WK}V!p9oqWJT&eTESQ=}mGx#f>Ams#kw0(> zz$5<>`#O)CJiONV8yo>0HZa)Wge}P(35w$a9&{)ZC+9#gFLa$i=zz?LzV2h5EaHMW{&%*BeJTVVriT_zBtb* z7z~Ap9zzvqlYH|m44xxcd+^#LboO1o0!UgFT7>lf$2a!>9skyMTBGWCiP!?zdfmZK z&x3aE?5)1gX;Fcof2_CCqKQ&QbD=oU2djgD^qG05qNhzC-7~*_k~^>Kp?lF0LRHf` zlc4dV^OEo`ZkPA#XcWKr;q5vOt$dF|$bc60pn@fO^2X=@T@U4qWwV}2oqLOuQ7%N7 z!tDiTs&GYhcB##xPPNRKqJl9AHFeLv+>3scPuXW)9OrBrU2M}v^ODLMp4=0+yIQ6> zXvG^I2&^Do{N|4Vk*#QCttIxrMQ?|R8n)j`Zw&T~yrpNR$ezc;$z1L3P*R@wsS7Fl z^|AnJMimGAt7za_x}veYmxKw~w7QhF#5D!vdIvRmYs+uLF#>z`yd~P7&%^m-yhC@Y z?67`$xPkPQhPTu zJUhRusE|q&+}8bVvTe~4VnD_KO!@a}}M+t-3>8M1C~@liZ__20um?3+Q22k)Wh$7p*n z=16pNbg7xC6(7OqHH`g1_#O{B+4({7sg@lNJ8AH94J1gJ**Lth z-065&Zr|MWyfX%7SH$tVe`~PBq3=!5VGC~?E0ed4$&nML1I1I_)I!p3E<1e5#{<}`4QZ_cMZ3^EG4|H#^43Bx${!}v?!#~Ld7 zx!W}aRX{-}6?H}hjKXz%()GW@Y$5Rv3Tueh3oIEu|BLLo_?6>(mt$A&FQM$F+J zk2qRGcCOdvB1egX9P|7G00960N-Igt$+6~ga0LJW0RR82R&8tJxEB5#|B7G*w&9XQ z#U?clg-(v5s6!;BD)9`vy@)8Cnbdac3~E|7f)+n1{(SP=-Xp7KD4Fp}|48h$~+*u$n0*OT=jPOtLUAYDsKQI>L#71*3+$_Kq+S zNtQDxakSwWU;mY5)(sAy@_%ITk7ry$g)ok17TTbFu zS0qW#s;qRECT#q@nS~=hDnW_Ft4fa0#gQkAv4#;Xm1ESw$OHdFKhn`c!=BLY+-uYW zozWbq&*mebnTH5%y^-Nf8xL(FCP5X%Svmw~ODZ5TdHdIVCnll{4=JI-l#u69o=@_; zv5!|?SPVJMEI0G8#cnaViTqYn3gtrzb00$1`q_(!B)0T~SV#~q$R~;{O;1Qm=A{6Y zFd0|oO;%VYG0h@O46HW8#W$4se?2;%5%#YR{C0Hn5DGyS7u8o5*2Bk-d%Z+Ssq zwPw82v($aVJWhhYg5a+sf>AB-W&-R0?ifm{mJ<{(R_;pT>6h91f1P z*x+K(@#GRL!A$A`14_)I(NlUw9?r+%ON}ziFA1ebyHRI$$+#7T{FoI&7)auGa?Ei~ zyj^hFf!CUB<-Jp2B^A~YB?ze!`RjKpq;npyNl5+u!RLH&a91!`508ylZwl)zvLSU>2 znkZmBiFN*ZezjJWmmFa2!L55hw{X_TOibGwk&4#e3qnc`J2gvAMFLD1S+#MDOe8(C zfIX`LsJ@&z|L)#YE4HWHpDn$BoE&XkN0DMvrTv~$b_Oc%{XB;Qx$>psW(lwOYoZQN z^f`U?RYnmjtfHK2=?VSmpJa~mrdcpP*iU5-olM?vT!OvY%vH>-GW2*}nn-bH9ml|7 zeg3(=-(Q{HZ`1lF9B}6oM|;q#v40)QT+jLeufa>*s~v5=*Tuj~pNRVG>($kukEhDl z-QDxp9-fBv_<5k6>VT^1;nU-)9#01t>0|))Ls<`$nrAYv$2A))c&$4FpK;AWRyFa1 zc_u1QG->*_?vOaE2Lk4mRN$$Ggmt(kbsZ0;{ZF$F=zAsmW%CXNu@dXtmLNddq!wBH zsUCO`)M`u|D4#H^Inf=7|Flctk07|T2mJ~3r+xdsSl?+FvACNlxi0^saOw7E- zVYLLISuGZ$a>jv=NXF=><*5Wlf~!*ACZov4`F!-SA+9GEpvY*!#7MIOMv}rIN4}n$ zNOP+tr%3w*5UW@qW9P5m9Jg=tiz4@v5~x$98OP(3_~dpoi64%ft)130=#-=|C!5=0 zBVVAru(YhuMnyEkUTSEu?I#t@2-0HH)A6e;vyB|nap#3Ve{yJ#NQ)EP(QtO$C(6u5 zCGnw{1ZH({k*4vtIL^~=4{4k>+~QcrygVlY_0h;T<4qv(H#tV-DOdu}Oi`8(I zr)fIOW4}p(WxC^W$~MnHVmyWMma>Mgk?KnWq0c&+$snBY3v;oG(_uPXrSaxPH-FL1 z|Eq3}y8YKYPtA09=$h^Ni`m`ppZZtk>~U+a^WgXG`Qw>K|5B7XnB8>U-I}W}|29|q z{?pWanFcn${_^|zG?-3&Zoa0w+#I&k?)qT%{l3{>?Vrrys&BW!>H50AKb%j$Oj~;z zo^+CXC}hI{zuY}FkL}&ZCv*CC_wn=}?;icXO>fcpaT@=tdz*gluKUj-w$c#V`sYB8 zO;L9HpVwXU{Gr(ak&{)2+r|8|>8_vq-B{w%cTI!-wEMg}zuUJl3Oeo@zfHHhyYnWV zl68Xab^rNpy1R$-HV3?#1g>k^vpv>|b0*4KE~s3KHM!ejeY(iFEPp3^|BI{=?M{Mo z%v+1Bj0-Hz<;I3ivy2d-tO41+Q;Wpu%l7xBb%~LSrYFTc=BI1I*7xK7DH{EEpX~n3 zY(Io?ew1tU+v#1uzwSQeCS1Iio!YYxr&r&t>2AaPMZT$hWKe3U$6bP(U1;vZscCbe xBC-^?fmlMbiYc;+&F#Z#mE)cq{09I4|Nr80P~ZXp009600|5Kr3npe1003LtR7L;* diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_m_srm/fileinfo.srm b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_m_srm/fileinfo.srm deleted file mode 100644 index 622949f0c596205a86219c95340805dc3c422817..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 320 zcmV-G0l)qqiwFP!000001I*OTZo?o90N{I{0%huPaae!0spo0ey+K5b)36ePz?s^& zAKf}tXgf`+#07&7<`c+XE|s27f zztZF|oP45*X7_)X^RXRNTKr+35oIw%)>3ZQ8SbGJoSR1q1~c5M6x=+97L_++##@)d zQ^D|%(Ke;fW*5!gQQoxMQgp+~)46)gc#k*ZDIJV*ur~}Gx=lo28h!E-r1|)s<|Djz z^oH@}$bova2cWOrC1lK}5?;~HnCb$d-^_V;JeR<87AF_E){3w5xVh;U^%}2N$v>|8 S1ONd4{{sLVAtfLd2LJ$EN1ML@ diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_mult.srs b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_mult.srs deleted file mode 100644 index 8be0fe905e2922b1fc3486053f14dc52eb13106e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2126 zcmV-U2(kAciwFP!0000019VbfYuhjof6u2l5&|0}QQSB+$;7_>n$6 zK(>PAW}7Xy*&42v*+#mb2iI9L!~?iLgO=PYh8a{nSx)0O{Q)3P6U9PCcD@?|9U6u& zyRVRKHu*f25|r=a5NB}b%mQ8-iXUeX{hp6HAZS;Ewia}Z-jEjQPG}|R?Kt&wgTNTtcxg4>)s&zk_&w;4V8k}MD2fgN zG4aEZxcN+WBU-%xhD0-ifTFGLO~RW&>ucJR(Qi8+pq2mwRsF?@uq7q`W+08J@ZSg| zxCCQZ{0Ut{6-m<~UGHe}@c44~aR0m=pXceO&U5zNdEWMxDTX*6O?E$@wqw=!7FA+Y zRx^uLRg-Qd3bk5f%kp%ct0;G0>4-{j`ctXPx3kj8Tax(3@=Wrp?7Aq5{4Z2p2hvU* zh!uxw9gSjaJE1`(YJbUlRNpInH1YPt0r$qfD!i;N=mDc>jcI-K;k{HE-*$^+Kv>sB zvC8r+AAP(14FCZD|728MZ{sQueXf56f=XSht6W#0B*HIAATBEfoX$hqHx%#f*0G&9 z5lW-}`wP_FZTI?R#=|flXU>4$p3_&3FPA%eq?WNaoJ=@6WA~%Dx~C%BI6?86@p~L~ zE4wyxlo0QC$9G4ZiF;?orpsDs332}jawQ9q>A~lL*O&kK$c+*krHjD_CH3U_wLT#M zH)UImyC;gamlVyfDbdodj4iKLH^qGp@V5S;1b~`0b@F_IS9J5a&YLy+?86|@Gd_D6 zePcg4XHAsA4p5153~h(fr!9iZoY-r zVmd%Lz1YR>Tt80c2%?^*Tl6~UlZVCpgzjve;F!BIzR+rWEieJ1GN+;c{zc|JPQa|G zmZo=SV@y|fAPDyOF3vmqIG@xI^mJ#puPBZBWM>zr`NLgpQLO#%+!Mh2MZG=Y6vg^K z-{0d&ecCS49L2op0$p~G_B?@lj_b*On=VdhsNF1Gxe-c2@)G~MwwOxK4EZ7;#&xt% zu0NG#S%(>XnbQy>*jh)#iml%7NLq^4=qj^B*lLss)j`wHCVZin5CTV`hlvepvLVnCR*p}9iw$P<^Br`(ksj$6P!j(C&(Ce4_2HPKA*=nk6rBlG@ zc=1C$e~&V+-{(^)V%YS(2TiW+N-8T$A%{3L*jz_^QzQ%g54{ke*HT+0^p*2A9C%F! zUemvL{RuB!w%w8#2cw_=#(ZIav~Y$=$RSa2XAW%4M+eUM_`uQ;A8Ljule3Sdz}ZX| zy;B~}ci!$6$Ft9)W-xnUd^BbUJ`OU(K|G$`UNLeca(9PvB)X zA(K~00960N-Igt$+6~ga0LJW0RR7dlfiD=I1q-<(o+az4iOfyScpgk2>~1rP1A)) zc_)xIzy=^J8;vcibqY51VX^PN6q6Ram(^uNa>)Oi`N_G)Id99hEU&qbvo)eb7lB(b z*mTHE75k7&<3<6t5k}~d0EYFdBQcr{wO6{Qk}9YLL)nKt3UgX)mZCyF<>Xe;jaU{K z^UCBKG)vcXpajNk7>_4e2nC&J0jo`H(B)FO^S8z<50l=e;z29d^varU?AJ&p&Zi~G zWHy3Pg)?WJDaJBK>)Qr0Hkw|r9x?~=&*nSJ>IPmZOIEJ&IuK>HgEFTuFBx z4+a29(2mL5S-yWGrOq-6YAD|77kMY?+A=T<&0oO-Vdc8-o%C3y2ce3ey_KCRD@Uif7o}?rvZQE!?z)ftv&wj>Nz&O$e`lgNFo zL{%}i^LbhFXU>Q6`D@8b??cmz2;XC+{Mjsb!da=jxzjxe-jS%UFjn(&-p<;gEX&!z z-L3@DHIdU8Vyr!bLf=#&&rW$eM+D-!s1e%tUCwdd@^V(r+LG_ywdK3E{9kS9wcVt> z-*KYFl+eH! zHMXd!G8RFHF|*FokKuo9`vP@6-E;K?Uls|H$7Gvc-rkp*bdG=6*N=F(CwzFzOEA6I z#W78{$&Ud^{qNj`{doW3_iq-H0~vgNT*dJ}00030|Kf5`-~s>u0RR6302no1qy`ND E02AsjBme*a diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_mult_srs/fileinfo.srs b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_mult_srs/fileinfo.srs deleted file mode 100644 index e6cd3c9c507e747c603b1d7454806a5d7d29b4f7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 299 zcmV+`0o48Gl0Wgm`V`6DZnwhunNjg~qHcRKxama!<2)gRxo0qvnG}L1In7qXepi+4 zr8z)Yt?Oh!Fx0{Os3EZ4#Vn5E?%GF`8`%r7qtM2x5!LbQtwu7sH56DB_f>JLI_c5! zk1|^2R~KobJpLYLU1&!c4|g6CVwDY%byYQo1ow~&&Mp&rQVDLK3vQWwtE8hT;T>|} zNl!c`v^p2sVgn5p)1hs0(T%IaYxj`w9{-IewI^v|AO`kb9TYH5E_xB-emuqf2(KN! xsqk`PkG(Qyz|Y$)66RA5zi1W}`vNLgFX?nV|26Le009600|1vN$>&Z6005?Zi2MKm diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_mult_srs/skeleton.srs b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_mult_srs/skeleton.srs deleted file mode 100644 index a27d6857876d0379bf6ff0276143fb98c97ba5f1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 514 zcmb2|=3oE==Ah8fPz{Y!`kuaejTcvHe$1R{xiW@t9Z2&3|3^gu517C#FKy4WCpSJT zDqsb3T_!r_ODhVroyG8C{_lP5 zd%3_NNv^;0vtwUb?@E>%;fE|Xus_}1m}GdBeeQ+Kw<{I)zm!=lHA7wf-J}z48M}}3 zwEe66v#qToOxRlIxsl{bUAJ0?sG6X15qiqgz%U-BY6kcPvoJq`DYWmS*s_Pjvq}DKONe$cOy1Ph%WrNYOz+P_iVw^lBE`Q?`lJ0^V=3#+qrwy3Q9AAGm|qll_n@yf|( zJ+-9vzgqNcE&HpVhcsRqIlgU4`16?mZw#|YnObibUrt`HRa;xCsee$i@D}5)i*I<{ z%)27$WRIs`y4bCK>E|z(^lwW(d8|Kg5VVvtK4Wub;B4OC+^EsW%&_rG#Kl>_I0XR2 C>-CKQ diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_prem.fse b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_prem.fse deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_prem.srd b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/extref_prem.srd deleted file mode 100644 index c0400125c6bed4d9f889b2c99693366c6f7b759d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2465 zcmV;S310ReiwFP!000001I*OTPs1P-0PuVN3R1J|WGU`L%`R$EJ!y|WMbUUA7x6`I(4zQ9nUbg}}FNzTJ1v=Z;L1o4ogbL|s$SOn@-)r7VC8(0lqx_uY zhpb^1wEvL?&F#(v8Y&mRhiT(z%fet*%ZNylA$mc`d>Y{{Qo-q=11ouio1}spI!ie- zvWs}rRCvrv-$t}qDzrg6xzrFp+IcFvc4uH;-9^01f8#M>CDV8*J@&SqIgUMaCU^md z{`e94BYvpinY(K1Ei_!MFnrApA!1&oaEfZ+p)Lk)W<&fsu2bM?#e401A(%h)wY^9+tFIW2FdVS$1gU$T@}YmCea>} z-Bh0d00960oK!t;<2VqlqhBGAE+S-C;6j8gNR4dL3JZ~Prf|{`)-Gaw*|I@sjk{le z6m18|-c_e&h8)e~e1REh}Tg)vHa43E2W09(XaKkxK5oPSS`$O9Ee zC1LA=3!Lj~o<~y^#UK=piBbio3{vo9mSp?zk^W2)+BysL2o)>m(Di=sw)5e##Cj%+ zlB=6Tpg)Yl<<0-(A*zk3H z&lMZ2*AR0$<>nBXS_}$$hIam2u=Foz+Bc93F=(kV$Z-#35pvRa<~AG-FCJG)YrXtkR$gaHd;Bn;oa*mRicxrroKgBg`lecc1Y z=o0v=vC*%~MGcc5GZ4`@-JcdH=v z-MPWazcjCUTtK}<_2RG#R%idlU9C;IWrBmZck8~(V=ma1@2$2Iu8DGChI6Ub^`yZU z9d8)ZUS}{XXmQQqQOP3nqe*Y1t|BBBdEQRL9q&?;Ev8VJJOWl-@RWDy~4tYu!)Hx5?J02HpEHu9WL1 zynz{)T9k!mz^^@pA)58JbF{%&FcPQGUNyxtExC9Q%-9J*WmnDnx5(dF?B&Gy&Ijes zANT0A>{YooKQa9BLRUk^o{6y?c<<**uV2=0Zm*ys6Tk9NPy9V=YtWF-Da#= zou(PeGsyNd3FpVhWa9D|T9`?j!>NIrO@+Nbi3~S77iw_tf#ZjogNsddwY5ZFdtPD8m1`In%@mVO1lYfyS5bhfAZ92n z;Y7fOQRMa-GcA@Z$E5R#jUWYErkD6?>2w8xVNmw>&4H&(K*dVHya*J!mZ;WQXyqXGSU<_=Q`BMC7&8g#K&+u-u%@8`VyydL_ncr%nct*@3T&XR zSOmYImT`;TIKyC3M&^u)z>+Ui6o|RgcwMDxS-AzDR3yH zA*)7!N|{Vry!r~mq#vJ%QiO6h-aM%EW(U(9`2VuJftwVR)?-LFyEkvteRRe5r)ypQ4+mz84U?D&tG$pb<#KH%zO6 zYo_G(DTsPZ)tYgm2&FIZS_7}x+P6c9_lGJK(9h!H#~HU9-#_33nPYwRSH?ODeptd9 zqPzxLnRc8%*Bu+l)PXU15bxpoUObbI9>q~oAJ4iUbO?%&*5mBw5_^70)$zf=kST*) zUiP=G`XGV3EjZZ1(C%kIJD&^I_}f;I#!Fc}^HjWDdWog7KJ!P$vDdJ(Ud5m(ES*=; z<-NsLsUy>T<~$}*kPB87Zx>pzBjs+UfDQlH|M`JH_3OzO$r_VelXWzb^BFUtm3TmE75vpxI1 z@7KlWMUH`(XY(>i9a~vp+pqb+dW<4wjvB#T+eiftBVd&teCv~=i%vA&Jj|O~QeJ#TIS#f5ZJZt-mE8=;8<$RuHPuQQQUK^W%;o%DJ!tLlJ5+egbKb}V6;`sb{d+vJ>ejJ@17tedReXV~K zd<>sYi%0kMw#OZC&n1D~_u%0C1T8VDaf)n0ssI2|NjF3MT-8*pA-N9<~isG diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.fdep b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.fdep deleted file mode 100644 index f50b372..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.fdep +++ /dev/null @@ -1,28 +0,0 @@ -#defaultlanguage:vhdl -#OPTIONS:"|-layerid|0|-orig_srs|/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synwork/extref_comp.srs|-top|extref|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_vhdl":1542167766 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/location.map":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/snps_haps_pkg.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std1164.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/numeric.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/umr_capim.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/arith.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/unsigned.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/hyperents.vhd":1542167610 -#CUR:"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.vhd":1557731412 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":1542167599 -0 "/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.vhd" vhdl - -# Dependency Lists (Uses list) -0 -1 - -# Dependency Lists (Users Of) -0 -1 - -# Design Unit to File Association -arch work extref v1 0 -module work extref 0 - - -# Configuration files used diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.fdeporig b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.fdeporig deleted file mode 100644 index 79d35eb..0000000 --- a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.fdeporig +++ /dev/null @@ -1,24 +0,0 @@ -#defaultlanguage:vhdl -#OPTIONS:"|-layerid|0|-orig_srs|/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/syn_results/synwork/extref_comp.srs|-top|extref|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_vhdl":1542167766 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/location.map":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/snps_haps_pkg.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std1164.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/numeric.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/umr_capim.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/arith.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/unsigned.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/hyperents.vhd":1542167610 -#CUR:"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.vhd":1557731412 -0 "/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/extref/extref.vhd" vhdl - -# Dependency Lists (Uses list) -0 -1 - -# Dependency Lists (Users Of) -0 -1 - -# Design Unit to File Association -arch work extref v1 0 -module work extref 0 diff --git a/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.srs b/gbe/cores/GbePcsExtrefclk/extref/syn_results/synwork/layer0.srs deleted file mode 100644 index a94cc5b5f880a789a49d583a873e3fc76f206d0b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2552 zcmZvcc{CIZ7sl;mUq+e8Ud$Mb>`J!GYex2_?1k69&uht=HAL33j9r98W+sNpL_%X~ zhOuNQTNvAC7iIhU{PCUl{mys)y64<;o^#K=zvq!i=U_N3W@{u8DKFoz3^!AXAFKy$ zdKYQeJMuRDXLxcli?W<%I_+LOF$DTNjxSh8&jf7^;J zRGBC+xRD8L)Vamvt83u55={zCJ+5Rs+o^uM2+qhC87wP$7~C2z!sG>WkN@#_aFp@G zzF$^lEO7P0ybs5sYoW?t`t8J9*Cz|rVXnyud=|YbY1gzM6K)p)iXL0FY;z*#j=_v) z&Z$US#sHdn1&JUZ3&{8qaYmHIxT~z8(*Mr)f)JvzZL2+zww0$T__6A&EXh9jq$h_1Ts_UO5 z?{e-!^p)X>NiUS;ks8SaFv<4aTL3?rIx}u#7I!kUvlOCH6&GB_ zKkFy*;?GOT6>xLhP_tr#S-6jHswX`PC;-{r(C|rpL25aBC0K#m4p1!WeI1T*uu*va zZN46ceT@Uy0m6}+z)0Z0=HBojU?zGM)-HamRb2vRPYFe~gmSi17=lSj@i#eX9&r$x z#m75pHIH?ejoD^Z+|{%%%}Vp|zPx5pY;d_uH+JVCXnsan-kLp^m(6v0K+}rx``m5O z1io!X;cnBcm*6!Eu>tugmbYIC2t?!|eQC_N(TU+nV1C2rm|v{(5huL=G&Mp2{+Wnb zKn1a$p2pGIEUOsGuUl}(g{D1B1-r6>z1ksTQrGTwlck9MEV@bj)@SWx@4ve{d{n2b z>h|;V+X%|b^UipB!N&X^C1|42>M(w5{BYSqf0E6kjnW#l^7l_*d|`4p_WIuvI%FgCs;I?AyD z!X;8Iu0^L0%Q|0_g64_e2sFF0-mPVcium;HnH$L_NP9+|zNc>Sbd{&iGxt^I0iLFB zKMupAJ!S0nx0i)1*7-;98Zt8Be|gUGeNwWC%Aj&AD*CSEpk~`9Iv@LlXM19n3)y2J zOk zB0P5xG!oOOGNtJ?%jTiyF@;+?+Iscj$I)zvHGgxPN&x$Cy z_^apRoqoWW+q0 z)4YbY2;n&D==d9oe`=@>sxl8nqAwY6vd)_qp@IBph3;|6m`t74WM_}!yRq&sG-Wvx z132#LP`zZLDG|+es*se~!I=mJ!1k^tt6d0zvb;5Ew^M?BxCr{%g$xonN#>^F1BG9Q zEZGtG$f!D3Yh9*=P0l)0s+Dnxm=Q*KY8N&fuj=%~KWKL$q7^Tb_Rw7-pf<1MTdA+Y zlDlpo89Oup?2-{+k_79Qg*3GbOj*z?UB`CgW`jJyB@yytq z-*?UTM#okA=@R})kAy6qUjN8*ae54}up<`P1k@W7F)KWJQxOML>apr^w<2BpL({TK z2l}3In03i=2fOP0M&owUc6NGAjs!O${FMW}z@a<8C;U?13!28se}RCWX+co1moeDO za7_@I3l(-|n+`Eg7;uD-#`cOE^lY*z1^nz0Cy-;c4akTdmy%;8xFcfp??`dA^kcD{ zBdSC!B8(Tz`+X;T^Zz61(nCHbD3$yT$?MrxzQ2J=F3-CokV}{|7US_x16T`{Fh5$& ze@$TZmw4X#N#OP2QN7>lQW@!}jUIH94Xgu~ngEzbF$i$s_0|pLoy_^l0DOtA0eBQ4`jf zjHq>))J1JN9T?+3b_l-_gqp1ub|*g5-*1;vOP!~$Zm)jrbBRh!_~E*6kGGnuUgqu0 zj%cL)A#Q?Pb0=l5pjbwA$|AZ!f7jZa2O$uVnsN`!&vwCAPd3isB2sUP-r_?!F+ zHVjP#Ow5Qo#lG0OIHzy(&DDi8Kzmt{azh(rg*Xm5rj!seV~1ggetl!ZDGv<~6G%a8-UmI+ z?&)>Vxee%T=j0-w)7?!O(IGFyfEU{|kt+ULRV7~=u~grRbNi)KX6xf_?k>HO01BW03ZMWApa2S>01BYM-xVlV-TC#h#n;@^vu2}SV}mROrn8=52{%RxV3Fi$ zrXtqx{CaoP++%vI@{ns_i40kCFF+XvBHzDHz2P9LwRio!R@<*vx%qT6R%QTNK1$W6 zRUwQ_0lm<(XLZAbi?|zRBTr=p#tY?~z3rDr!Oxy}R7kE^Um9kLa9;~%AkA%)!15xy s17X;C2$w`m&upQyJLIszO*|| z6T~IAo_j;$AEa)psTTc6L4f&dg_% z!^pn=_7$mwGl-IaE9W$Mju-}c&2b1Jrhe7*t2pYqVHX$rY)rKu)ilXVzuju;cdN}$ z3B65UY~5+yXj%FK2LvDh0SG_<0uX=z1Rwwb2>iFeR<+t*UNMF;^u+E!dOa2O0_g>j zH}QohVi9_2?Tu^Q^V?l#WAj4y9p|W%b9K|1>3H(ZjSH(=m8nD>l_l!W%yg{IBp!7- zp7@qdyk=M1D=UUjyzh&doSBQtVd(bx*ROQ{F)e(Abp`f5)MT=)wE_tpL!!R&Q@@I_&5!Vhu`Caql=4R8-w{buK z0uX=z1Rwwb2tWV=5O}--m*y&DpW18`1%jo~Krx>yC0)Th$%82L*y;7o%e~!mXIQ!y z#(ka&ruTYmXXLR-n95-&ymfu??vJ0ma=D?i=1XP;Nzdi642rOgx(;iW3rm&P>6|-L zM0IOAs!}?th`CzTF^eT*!Z_`XxGwQ{SOjiV$}cgT1R{}c5p~JdQ6(RvAGut~C|nPC zoIgfOXO(=6qrF%pB2;NHv5Te-Ym`IdiBzK^=(166R{q4*^I^h`&rXUzE_R*hu;s&9 zaW9cPWJ9T#O8RG0mnjj%`rkmXIEg+Gu3{JaV#`gtyDAX_*B>*Hw+>I)4Bxx|!gjv@ zr=Ju0JNb1_w6znO7(xy~tX3m=vS#AHEJ2^#Mh{qqs}Ft*NyUDuNVbn*d4<@&i}~%qz)Pg zfB*=900@8p2!H?xfB*=*g9Oe$&SY2D*3657c3QsBUf{{@@c+`?M~4R|hy3K=%cDbH z8cvpOg{|kp)qLP6_ta6J@jz(hxs&n0@%v&j>`PBali~BQH5s^J5C}P?)l**JsF%k> z$yONp!jT*2Uo2)<%VqPTns{{m&^>Fqp&SSmd7*rLoSF6g`tp^0gBuIk)%A7r;-lmn zsJY#xD2!H?xfB*=900@8p2!H?xfWUi4U_WEA;tDH#o716o{APzr^{Bd+7VYXJp5(G0 zw1nbehu{C|h>zCSDZl<->FN5xg2l>|cATRLuj7e!^9?h3 zs@%SBT5N-SKbuIro%OL&T>mfI9~kx@_D}XJyJhdt01X5{00ck)1V8`;KmY_l00ck) z1m0f)8_O13FRT|?&ZQEc&KJ}+_jc-A^=c&OutYoR^tw(naC$wVK3%d{AqiE&@rSXy zjrwlA^6%Iiw8ucQYh&5!W+iE9Xio_ey-9lsq)1UC#kpah(B1)w6{f_t8#|T8UMe=) zdMMEb0m)I^L~dud*4P^v90kVpzr|h{_AmAi_ICc){P+0+`;8{hKmY_l00ck)1V8`; zKmY_l00jOYfv1+m8a6AQ?#!JM+qJnjdIQaUs_bvtZ0%vrbGuC?wBt#78iuwnY!-Jm}-5C8!X009sH0T2KI t5C8!X009sHfp?0)t#~`2utG-}o`fpW{IT!2XZ6iWy|!Jc$mx>|{{UwLq5}W` diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt deleted file mode 100644 index f5277c3..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt +++ /dev/null @@ -1,16 +0,0 @@ -@N|Running in 64-bit mode -@N|Running in 64-bit mode -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -@N|Running in 64-bit mode -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -@N: CD630 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Synthesizing work.sgmii_ecp5.v1. -@N: CG364 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1968:7:1968:10|Synthesizing module sync in library work. -@N: CG364 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1051:7:1051:24|Synthesizing module sgmii_ecp5sll_core in library work. -@N: CG179 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1287:54:1287:59|Removing redundant assignment. -@N: CG179 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1293:52:1293:55|Removing redundant assignment. -@N: CG364 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":92:7:92:24|Synthesizing module sgmii_ecp5rsl_core in library work. -@N: CL201 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|Trying to extract state machine for register sll_state. -@N|Running in 64-bit mode - diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml deleted file mode 100644 index 978b9de..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - - - /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr - Synopsys HDL Compiler - - - Completed - - - - 15 - /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt - - - 76 - /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt - - - 0 - /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_errors.txt - - - - - - - 00h:00m:02s - - - - - - - 1557731345 - - - \ No newline at end of file diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt deleted file mode 100644 index 8e5c4f5..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt +++ /dev/null @@ -1,77 +0,0 @@ -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Pruning unused register rcpri_mod_ch_st. Make sure that there are no unused intermediate registers. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 1 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 2 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 16 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 18 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 19 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 20 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 21 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 6 to 4 of genblk5.rdiff_comp_unlock[6:3]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 5 to 3 of genblk5.rdiff_comp_lock[5:2]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rdiff_comp_unlock[3]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rcount_tc[17]. Make sure that there are no unused intermediate registers. - diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml deleted file mode 100644 index c262d0f..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml +++ /dev/null @@ -1,26 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_resourceusage.rpt -Resource Usage - - -221 - - -0 - - -0 - - -0 - - -154 - - diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt deleted file mode 100644 index b2d9ac0..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt +++ /dev/null @@ -1,22 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: MO225 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rhb_wait_cnt[7:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1304:0:1304:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rcount[15:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1759:0:1759:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance pcount[21:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":412:3:412:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.plol_cnt[19:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":909:3:909:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.genblk3\.rxr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":527:3:527:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.genblk2\.txr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":778:3:778:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlols0_cnt[17:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":680:3:680:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlol1_cnt[18:0] -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.edn -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml deleted file mode 100644 index 68d4e92..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml +++ /dev/null @@ -1,14 +0,0 @@ - - - - -3 / 0 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_combined_clk.rpt -START OF CLOCK OPTIMIZATION REPORT - - - diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml deleted file mode 100644 index 686a424..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr -Synopsys Lattice Technology Mapper - - -Completed - - - -22 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt - - - -4 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt - - - -0h:00m:03s - - -0h:00m:03s - - -153MB - - -1557731351 - - - diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml deleted file mode 100644 index 92b3753..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr -START OF TIMING REPORT - - -Clock Name -Req Freq -Est Freq -Slack - - -sgmii_ecp5|pll_refclki -100.0 MHz -168.9 MHz -4.079 - - -sgmii_ecp5|rxrefclk -100.0 MHz -167.9 MHz -4.043 - - -sgmii_ecp5|tx_pclk_inferred_clock -100.0 MHz -237.5 MHz -5.789 - - -System -100.0 MHz -840.7 MHz -8.810 - - diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt deleted file mode 100644 index a40a3f6..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt +++ /dev/null @@ -1,4 +0,0 @@ -@W: MT246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.vhd":162:4:162:12|Blackbox DCUA is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) -@W: MT420 |Found inferred clock sgmii_ecp5|rxrefclk with period 10.00ns. Please declare a user-defined clock on object "p:rxrefclk" -@W: MT420 |Found inferred clock sgmii_ecp5|pll_refclki with period 10.00ns. Please declare a user-defined clock on object "p:pll_refclki" -@W: MT420 |Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock with period 10.00ns. Please declare a user-defined clock on object "n:tx_pclk" diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt deleted file mode 100644 index 958d4b4..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt +++ /dev/null @@ -1,9 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: BN362 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1408:0:1408:5|Removing sequential instance pcpri_mod_ch (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:PrimLib.dffre(prim) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1244:27:1244:40|Removing instance div2_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_3(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1252:27:1252:41|Removing instance div11_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_2(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1236:27:1236:40|Removing instance gear_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_4(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1268:27:1268:44|Removing instance pcie_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_0(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1260:27:1260:44|Removing instance cpri_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_1(verilog) because it does not drive other instances. -@N: MO225 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml deleted file mode 100644 index 552c1b6..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr -Synopsys Lattice Technology Pre-mapping - - -Completed - - - -9 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt - - - -3 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt - - - -0h:00m:00s - - -0h:00m:00s - - -144MB - - -1557731347 - - - diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt deleted file mode 100644 index 71c6352..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt +++ /dev/null @@ -1,3 +0,0 @@ -@W: MT529 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|pll_refclki which controls 93 sequential elements including sll_inst.phb_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Found inferred clock sgmii_ecp5|rxrefclk which controls 77 sequential elements including rsl_inst.genblk2\.rlos_db_p1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock which controls 53 sequential elements including sll_inst.rtc_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr deleted file mode 100644 index 7b9d5ac..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr +++ /dev/null @@ -1,351 +0,0 @@ -Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -VHDL syntax check successful! - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - - -Process completed successfully. -# Mon May 13 09:09:04 2019 - -###########################################################] -Synopsys Verilog Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps) -@I::"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v" (library work) -Verilog syntax check successful! - -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - - -Process completed successfully. -# Mon May 13 09:09:04 2019 - -###########################################################] -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -VHDL syntax check successful! -@N: CD630 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Synthesizing work.sgmii_ecp5.v1. -Post processing for work.sgmii_ecp5.v1 - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 74MB peak: 76MB) - - -Process completed successfully. -# Mon May 13 09:09:04 2019 - -###########################################################] -Running on host :lxhadeb07 -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps) -@I::"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v" (library work) -Verilog syntax check successful! -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1968:7:1968:10|Synthesizing module sync in library work. - - PDATA_RST_VAL=32'b00000000000000000000000000000000 - Generated name = sync_0s -@N: CG364 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1051:7:1051:24|Synthesizing module sgmii_ecp5sll_core in library work. - - PPROTOCOL=24'b010001110100001001000101 - PLOL_SETTING=32'b00000000000000000000000000000000 - PDYN_RATE_CTRL=64'b0100010001001001010100110100000101000010010011000100010101000100 - PPCIE_MAX_RATE=24'b001100100010111000110101 - PDIFF_VAL_LOCK=32'b00000000000000000000000000100111 - PDIFF_VAL_UNLOCK=32'b00000000000000000000000001001110 - PPCLK_TC=32'b00000000000000100000000000000000 - PDIFF_DIV11_VAL_LOCK=32'b00000000000000000000000000000000 - PDIFF_DIV11_VAL_UNLOCK=32'b00000000000000000000000000000000 - PPCLK_DIV11_TC=32'b00000000000000000000000000000000 - LPLL_LOSS_ST=2'b00 - LPLL_PRELOSS_ST=2'b01 - LPLL_PRELOCK_ST=2'b10 - LPLL_LOCK_ST=2'b11 - LRCLK_TC=16'b1111111111111111 - LRCLK_TC_PUL_WIDTH=16'b0000000000110010 - LHB_WAIT_CNT=8'b11111111 - LPCLK_TC_0=32'b00000000000000001000000000000000 - LPCLK_TC_1=32'b00000000000000010000000000000000 - LPCLK_TC_2=32'b00000000000000100000000000000000 - LPCLK_TC_3=32'b00000000000000101000000000000000 - LPCLK_TC_4=32'b00000000000000010000000000000000 - LPDIFF_LOCK_00=32'b00000000000000000000000000001001 - LPDIFF_LOCK_10=32'b00000000000000000000000000010011 - LPDIFF_LOCK_20=32'b00000000000000000000000000100111 - LPDIFF_LOCK_30=32'b00000000000000000000000000110001 - LPDIFF_LOCK_40=32'b00000000000000000000000000010011 - LPDIFF_LOCK_01=32'b00000000000000000000000000001001 - LPDIFF_LOCK_11=32'b00000000000000000000000000010011 - LPDIFF_LOCK_21=32'b00000000000000000000000000100111 - LPDIFF_LOCK_31=32'b00000000000000000000000000110001 - LPDIFF_LOCK_41=32'b00000000000000000000000000010011 - LPDIFF_LOCK_02=32'b00000000000000000000000000110001 - LPDIFF_LOCK_12=32'b00000000000000000000000001100010 - LPDIFF_LOCK_22=32'b00000000000000000000000011000100 - LPDIFF_LOCK_32=32'b00000000000000000000000011110101 - LPDIFF_LOCK_42=32'b00000000000000000000000001100010 - LPDIFF_LOCK_03=32'b00000000000000000000000010000011 - LPDIFF_LOCK_13=32'b00000000000000000000000100000110 - LPDIFF_LOCK_23=32'b00000000000000000000001000001100 - LPDIFF_LOCK_33=32'b00000000000000000000001010001111 - LPDIFF_LOCK_43=32'b00000000000000000000000100000110 - LPDIFF_UNLOCK_00=32'b00000000000000000000000000010011 - LPDIFF_UNLOCK_10=32'b00000000000000000000000000100111 - LPDIFF_UNLOCK_20=32'b00000000000000000000000001001110 - LPDIFF_UNLOCK_30=32'b00000000000000000000000001100010 - LPDIFF_UNLOCK_40=32'b00000000000000000000000000100111 - LPDIFF_UNLOCK_01=32'b00000000000000000000000001000001 - LPDIFF_UNLOCK_11=32'b00000000000000000000000010000011 - LPDIFF_UNLOCK_21=32'b00000000000000000000000100000110 - LPDIFF_UNLOCK_31=32'b00000000000000000000000101000111 - LPDIFF_UNLOCK_41=32'b00000000000000000000000010000011 - LPDIFF_UNLOCK_02=32'b00000000000000000000000001001000 - LPDIFF_UNLOCK_12=32'b00000000000000000000000010010000 - LPDIFF_UNLOCK_22=32'b00000000000000000000000100100000 - LPDIFF_UNLOCK_32=32'b00000000000000000000000101101000 - LPDIFF_UNLOCK_42=32'b00000000000000000000000010010000 - LPDIFF_UNLOCK_03=32'b00000000000000000000000011000100 - LPDIFF_UNLOCK_13=32'b00000000000000000000000110001001 - LPDIFF_UNLOCK_23=32'b00000000000000000000001100010010 - LPDIFF_UNLOCK_33=32'b00000000000000000000001111010111 - LPDIFF_UNLOCK_43=32'b00000000000000000000000110001001 - Generated name = sgmii_ecp5sll_core_Z1_layer1 -@N: CG179 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1287:54:1287:59|Removing redundant assignment. -@N: CG179 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1293:52:1293:55|Removing redundant assignment. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Pruning unused register rcpri_mod_ch_st. Make sure that there are no unused intermediate registers. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 1 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 2 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 16 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 18 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 19 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 20 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 21 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":92:7:92:24|Synthesizing module sgmii_ecp5rsl_core in library work. - - pnum_channels=32'b00000000000000000000000000000001 - pprotocol=24'b010001110100001001000101 - pserdes_mode=72'b010100100101100000100000010000010100111001000100001000000101010001011000 - pport_tx_rdy=56'b01000101010011100100000101000010010011000100010101000100 - pwait_tx_rdy=32'b00000000000000000000101110111000 - pport_rx_rdy=56'b01000101010011100100000101000010010011000100010101000100 - pwait_rx_rdy=32'b00000000000000000000101110111000 - wa_num_cycles=32'b00000000000000000000010000000000 - dac_num_cycles=32'b00000000000000000000000000000011 - lreset_pwidth=32'b00000000000000000000000000000011 - lwait_b4_trst=32'b00000000000010111110101111000010 - lwait_b4_trst_s=32'b00000000000000000000001100001101 - lplol_cnt_width=32'b00000000000000000000000000010100 - lwait_after_plol0=32'b00000000000000000000000000000100 - lwait_b4_rrst=32'b00000000000000101100000000000000 - lrrst_wait_width=32'b00000000000000000000000000010100 - lwait_after_rrst=32'b00000000000011000011010100000000 - lwait_b4_rrst_s=32'b00000000000000000000000111001100 - lrlol_cnt_width=32'b00000000000000000000000000010011 - lwait_after_lols=32'b00000000000000001100010000000000 - lwait_after_lols_s=32'b00000000000000000000000010010110 - llols_cnt_width=32'b00000000000000000000000000010010 - lrdb_max=32'b00000000000000000000000000001111 - ltxr_wait_width=32'b00000000000000000000000000001100 - lrxr_wait_width=32'b00000000000000000000000000001100 - Generated name = sgmii_ecp5rsl_core_Z2_layer1 -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 6 to 4 of genblk5.rdiff_comp_unlock[6:3]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 5 to 3 of genblk5.rdiff_comp_lock[5:2]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rdiff_comp_unlock[3]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rcount_tc[17]. Make sure that there are no unused intermediate registers. -@N: CL201 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|Trying to extract state machine for register sll_state. -Extracted state machine for register sll_state -State machine has 4 reachable states with original encodings of: - 00 - 01 - 10 - 11 - -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 81MB) - - -Process completed successfully. -# Mon May 13 09:09:05 2019 - -###########################################################] -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode - -======================================================================================= -For a summary of linker messages for components that did not bind, please see log file: -@L: /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.linkerlog -======================================================================================= - - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 69MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Mon May 13 09:09:05 2019 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Mon May 13 09:09:05 2019 - -###########################################################] diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.db b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.db deleted file mode 100644 index 22032b27c0e6d592fd8ff3ba504bfa3cf705b99a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 28672 zcmeI5-ESLN6~OI$Ip1zmN*0#DrMt9UNbUJ_Z1-cg+f74gLc47dXrp!*k8hH($1~HJ zalY0FITF$eUJ<mpSGt>6Xm1_&YT9{>;V#?!v^WyOkp;M_ZL>|yJ++00Duim{Tp zi9L4i@7%9*&b{a4&YLT`htay_G*l0XsS~MmI`tYtsZ?qhzBBOMd8FZoq0TQ*lHZ^9 z7*1XI_?;=ZE1msm3civ@B7sB#i3Ab}Boas@kVqhrKq7%e0*M3?2_zEuKbL@)&dkou zrP~)hwPN4~c3pJ?yPb02+U>=wcNfv!tFNytqRzAD^(xv@9c@!}=8>VB_3m#6w{)MV z>t@f*HoQ|ey;q2lKa~BD$Uc+(PxhniqwI$hAB_KN?9u2aqnXUi$lUPxAz|>+!1eU4 z)LTbsqPBZ#bhfRvAG|nlZAIXUXY!j?1LxJMqpN0qL-+EYQ;}UQ@8O1Rs2d{7E1K1?m8NM}T78X|%iO(#HzIBx zK5RpGJ?y{_4QmTmQDqldwx>7rJ=N1KGqtSJRn6sOjn|)bx#l$b~H7+}39GOj+chesJLn!P-%Qb!D2{ z;(hVWv<9F~=axvF7KBcnh_uXS+AbXwGcB6t=-&H|G28%pLa(&4aJ8%iK>fKQ{AM*=6o` zZs^#|bL=t)=jiSUv12oTgdy%!D%iO+C00o!L>US+8n#I!DTxX z+6(N`2Hgjt(9W|<8+06mLi;UtX~WkpYTu-^Ebdi=uUyn#VHP%g-J*7$S=gY1AdEp< zJI5?+_8{T9bd6`1|CM8L0OwJ{Y0p<*2UM*+ z1_Ku&>!KaFqAZq0_<}+zbmRWEb%>vccLwEHHQ{; z!qiD$qCyH7qluB#$zDPy7XR)4o4jQGH46Ftcp!Nnk}pdOkAWmzFf!0fuTs##c={vf zgL0%b594HMe{>Fp+7UbBl`FLRnOLeX!5RR=2@0tv%-65JVHxfoX{jRusFx|gYAhX1 zf~k)H6v~p&>1fVj%_Hk$7cWu9bUcmZ&v_EedBWrS*b!Uk{zY2*rFdG%pYJ3nN{1~3 zL&I^Sn*#2a;{^2QIthvZV3c#*4@(sAMl3BT6zLd)LgEiw5SmZfRzXjWYQ4Htq=@lM z4*8Efi%RkoelM1U3MB!;{^9b}WD1V^ zUuv4eyoiTBVSXVbJMh6B3QJG3M{V*R5w?5u z^Wad87!LFP+1rG9pBPmuLeyD#0(mey4Sbk%2z*v9l8J2_bR>4!=}|ekECBoRI#`OZ za#PcoCL|&mL$O&UMkn4>w{#1#jzT)mEt0Z`IJfL3eEc?8pTy*(sY$``bmxibdGO-8 zV>Rf_o@FBgZ(*ZrV(*`SlL~5$6+snOw~XA<<TBe)UdXrg#T`w z@G{Zr1x|hne3Q1kt+~WvQ?$rh{zt2Hh8T{g+k^j~z)NMBe^R&i;lXiV#ZmL`t;E^* z)NLhj;I?|=um^r`M~S@g_h)Yayt03pHh#oDq7-xNy#XCqBtY1@K{jW1a8WNa)osdL_f>Jm0^0u#@l{B(of&WCU new code - 00 -> 00 - 01 -> 01 - 10 -> 10 - 11 -> 11 -@N: MO225 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rhb_wait_cnt[7:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1304:0:1304:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rcount[15:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1759:0:1759:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance pcount[21:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":412:3:412:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.plol_cnt[19:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":909:3:909:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.genblk3\.rxr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":527:3:527:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.genblk2\.txr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":778:3:778:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlols0_cnt[17:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":680:3:680:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlol1_cnt[18:0] - -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 145MB) - - -Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 145MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 145MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 146MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 146MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 146MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 146MB) - -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). - -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 147MB peak: 149MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- - 1 0h:00m:01s 4.90ns 155 / 221 -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 147MB peak: 149MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 148MB peak: 149MB) - - - -@S |Clock Optimization Summary - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -3 non-gated/non-generated clock tree(s) driving 221 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - -============================================= Non-Gated/Non-Generated Clocks ============================================= -Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------------------------------------------- -@K:CKID0001 pll_refclki port 91 rsl_inst.genblk1\.genblk2\.mfor\[0\]\.txpr_appd[0] -@K:CKID0002 rxrefclk port 77 rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] -@K:CKID0003 DCU0_inst DCUA 53 sll_inst.pcount[21] -========================================================================================================================== - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - - -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 112MB peak: 149MB) - -Writing Analyst data base /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 147MB peak: 149MB) - -Writing EDIF Netlist and constraint files -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5.edn -M-2017.03L-SP1-1 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 151MB peak: 153MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - -@W: MT246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.vhd":162:4:162:12|Blackbox DCUA is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) -@W: MT420 |Found inferred clock sgmii_ecp5|rxrefclk with period 10.00ns. Please declare a user-defined clock on object "p:rxrefclk" -@W: MT420 |Found inferred clock sgmii_ecp5|pll_refclki with period 10.00ns. Please declare a user-defined clock on object "p:pll_refclki" -@W: MT420 |Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock with period 10.00ns. Please declare a user-defined clock on object "n:tx_pclk" - - -##### START OF TIMING REPORT #####[ -# Timing Report written on Mon May 13 09:09:11 2019 -# - - -Top view: sgmii_ecp5 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.fdc - -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. - -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. - - - -Performance Summary -******************* - - -Worst slack in design: 4.043 - - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group ----------------------------------------------------------------------------------------------------------------------------------------- -sgmii_ecp5|pll_refclki 100.0 MHz 168.9 MHz 10.000 5.921 4.079 inferred Inferred_clkgroup_0 -sgmii_ecp5|rxrefclk 100.0 MHz 167.9 MHz 10.000 5.957 4.043 inferred Inferred_clkgroup_1 -sgmii_ecp5|tx_pclk_inferred_clock 100.0 MHz 237.5 MHz 10.000 4.211 5.789 inferred Inferred_clkgroup_2 -System 100.0 MHz 840.7 MHz 10.000 1.190 8.810 system system_clkgroup -======================================================================================================================================== - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise ------------------------------------------------------------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ------------------------------------------------------------------------------------------------------------------------------------------------------------- -System sgmii_ecp5|rxrefclk | 10.000 8.811 | No paths - | No paths - | No paths - -sgmii_ecp5|pll_refclki System | 10.000 8.253 | No paths - | No paths - | No paths - -sgmii_ecp5|pll_refclki sgmii_ecp5|pll_refclki | 10.000 4.079 | No paths - | No paths - | No paths - -sgmii_ecp5|pll_refclki sgmii_ecp5|tx_pclk_inferred_clock | Diff grp - | No paths - | No paths - | No paths - -sgmii_ecp5|rxrefclk System | 10.000 8.277 | No paths - | No paths - | No paths - -sgmii_ecp5|rxrefclk sgmii_ecp5|rxrefclk | 10.000 4.043 | No paths - | No paths - | No paths - -sgmii_ecp5|tx_pclk_inferred_clock sgmii_ecp5|pll_refclki | Diff grp - | No paths - | No paths - | No paths - -sgmii_ecp5|tx_pclk_inferred_clock sgmii_ecp5|tx_pclk_inferred_clock | 10.000 5.789 | No paths - | No paths - | No paths - -============================================================================================================================================================ - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: sgmii_ecp5|pll_refclki -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock --------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk1\.plol_cnt[2] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[2] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[3] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[3] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[17] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[17] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[19] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[19] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[1] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[1] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[4] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[4] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[5] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[5] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[6] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[6] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[7] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[7] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[8] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[8] 0.907 4.684 -==================================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------ -rsl_inst.genblk1\.plol_cnt[19] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[19] 9.946 4.079 -rsl_inst.genblk1\.plol_cnt[17] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[17] 9.946 4.139 -rsl_inst.genblk1\.plol_cnt[18] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[18] 9.946 4.139 -rsl_inst.genblk1\.plol_cnt[15] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[15] 9.946 4.200 -rsl_inst.genblk1\.plol_cnt[16] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[16] 9.946 4.200 -rsl_inst.genblk1\.plol_cnt[13] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[13] 9.946 4.261 -rsl_inst.genblk1\.plol_cnt[14] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[14] 9.946 4.261 -rsl_inst.genblk1\.plol_cnt[11] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[11] 9.946 4.322 -rsl_inst.genblk1\.plol_cnt[12] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[12] 9.946 4.322 -rsl_inst.genblk1\.plol_cnt[9] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[9] 9.946 4.383 -======================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 5.867 - - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 4.079 - - Number of logic level(s): 15 - Starting point: rsl_inst.genblk1\.plol_cnt[2] / Q - Ending point: rsl_inst.genblk1\.plol_cnt[19] / D - The start point is clocked by sgmii_ecp5|pll_refclki [rising] on pin CK - The end point is clocked by sgmii_ecp5|pll_refclki [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) -------------------------------------------------------------------------------------------------------- -rsl_inst.genblk1\.plol_cnt[2] FD1S3DX Q Out 0.907 0.907 - -plol_cnt[2] Net - - - - 2 -rsl_inst.genblk1\.un1_plol_cnt_tc_10 ORCALUT4 A In 0.000 0.907 - -rsl_inst.genblk1\.un1_plol_cnt_tc_10 ORCALUT4 Z Out 0.606 1.513 - -un1_plol_cnt_tc_10 Net - - - - 1 -rsl_inst.genblk1\.un1_plol_cnt_tc_14 ORCALUT4 D In 0.000 1.513 - -rsl_inst.genblk1\.un1_plol_cnt_tc_14 ORCALUT4 Z Out 0.606 2.119 - -un1_plol_cnt_tc_14 Net - - - - 1 -rsl_inst.genblk1\.un1_plol_cnt_tc ORCALUT4 D In 0.000 2.119 - -rsl_inst.genblk1\.un1_plol_cnt_tc ORCALUT4 Z Out 0.762 2.881 - -un1_plol_cnt_tc Net - - - - 5 -rsl_inst.genblk1\.plol_cnt11_i ORCALUT4 B In 0.000 2.881 - -rsl_inst.genblk1\.plol_cnt11_i ORCALUT4 Z Out 0.840 3.721 - -plol_cnt Net - - - - 21 -rsl_inst.genblk1\.plol_cnt_cry_0[0] CCU2C A1 In 0.000 3.721 - -rsl_inst.genblk1\.plol_cnt_cry_0[0] CCU2C COUT Out 0.900 4.621 - -plol_cnt_cry[0] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[1] CCU2C CIN In 0.000 4.621 - -rsl_inst.genblk1\.plol_cnt_cry_0[1] CCU2C COUT Out 0.061 4.682 - -plol_cnt_cry[2] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[3] CCU2C CIN In 0.000 4.682 - -rsl_inst.genblk1\.plol_cnt_cry_0[3] CCU2C COUT Out 0.061 4.743 - -plol_cnt_cry[4] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[5] CCU2C CIN In 0.000 4.743 - -rsl_inst.genblk1\.plol_cnt_cry_0[5] CCU2C COUT Out 0.061 4.804 - -plol_cnt_cry[6] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[7] CCU2C CIN In 0.000 4.804 - -rsl_inst.genblk1\.plol_cnt_cry_0[7] CCU2C COUT Out 0.061 4.865 - -plol_cnt_cry[8] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[9] CCU2C CIN In 0.000 4.865 - -rsl_inst.genblk1\.plol_cnt_cry_0[9] CCU2C COUT Out 0.061 4.926 - -plol_cnt_cry[10] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[11] CCU2C CIN In 0.000 4.926 - -rsl_inst.genblk1\.plol_cnt_cry_0[11] CCU2C COUT Out 0.061 4.987 - -plol_cnt_cry[12] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[13] CCU2C CIN In 0.000 4.987 - -rsl_inst.genblk1\.plol_cnt_cry_0[13] CCU2C COUT Out 0.061 5.048 - -plol_cnt_cry[14] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[15] CCU2C CIN In 0.000 5.048 - -rsl_inst.genblk1\.plol_cnt_cry_0[15] CCU2C COUT Out 0.061 5.109 - -plol_cnt_cry[16] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[17] CCU2C CIN In 0.000 5.109 - -rsl_inst.genblk1\.plol_cnt_cry_0[17] CCU2C COUT Out 0.061 5.170 - -plol_cnt_cry[18] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_s_0[19] CCU2C CIN In 0.000 5.170 - -rsl_inst.genblk1\.plol_cnt_s_0[19] CCU2C S0 Out 0.698 5.867 - -plol_cnt_s[19] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt[19] FD1S3DX D In 0.000 5.867 - -======================================================================================================= - - - - -==================================== -Detailed Report for Clock: sgmii_ecp5|rxrefclk -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock -------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rxs_rst sgmii_ecp5|rxrefclk FD1P3DX Q rxs_rst 1.015 4.043 -rsl_inst.genblk2\.rlol1_cnt[7] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[7] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[8] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[8] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[9] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[9] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[10] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[10] 0.907 4.136 -rsl_inst.genblk2\.rlols0_cnt[1] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[1] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[2] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[2] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[3] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[3] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[4] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[4] 0.907 4.170 -rsl_inst.genblk2\.rlol1_cnt[0] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[0] 0.907 4.742 -=================================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[11] sgmii_ecp5|rxrefclk FD1P3DX D rxr_wt_cnt_s[11] 9.946 4.043 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[9] sgmii_ecp5|rxrefclk FD1P3DX D rxr_wt_cnt_s[9] 9.946 4.104 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[10] sgmii_ecp5|rxrefclk FD1P3DX D rxr_wt_cnt_s[10] 9.946 4.104 -rsl_inst.genblk2\.rlol1_cnt[17] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[17] 9.946 4.136 -rsl_inst.genblk2\.rlol1_cnt[18] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[18] 9.946 4.136 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[7] sgmii_ecp5|rxrefclk FD1P3DX D rxr_wt_cnt_s[7] 9.946 4.165 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[8] sgmii_ecp5|rxrefclk FD1P3DX D rxr_wt_cnt_s[8] 9.946 4.165 -rsl_inst.genblk2\.rlols0_cnt[17] sgmii_ecp5|rxrefclk FD1P3DX D rlols0_cnt_s[17] 9.946 4.170 -rsl_inst.genblk2\.rlol1_cnt[15] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[15] 9.946 4.197 -rsl_inst.genblk2\.rlol1_cnt[16] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[16] 9.946 4.197 -================================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 5.902 - - Clock delay at starting point: 0.000 (ideal) - = Slack (critical) : 4.043 - - Number of logic level(s): 11 - Starting point: rsl_inst.genblk2\.rxs_rst / Q - Ending point: rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[11] / D - The start point is clocked by sgmii_ecp5|rxrefclk [rising] on pin CK - The end point is clocked by sgmii_ecp5|rxrefclk [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------------ -rsl_inst.genblk2\.rxs_rst FD1P3DX Q Out 1.015 1.015 - -rxs_rst Net - - - - 6 -rsl_inst.rdo_rx_serdes_rst_c_1[0] ORCALUT4 B In 0.000 1.015 - -rsl_inst.rdo_rx_serdes_rst_c_1[0] ORCALUT4 Z Out 0.708 1.723 - -rsl_rx_serdes_rst_c Net - - - - 3 -rsl_inst.dual_or_rserd_rst ORCALUT4 A In 0.000 1.723 - -rsl_inst.dual_or_rserd_rst ORCALUT4 Z Out 0.798 2.521 - -dual_or_rserd_rst Net - - - - 9 -rsl_inst.rx_any_rst ORCALUT4 A In 0.000 2.521 - -rsl_inst.rx_any_rst ORCALUT4 Z Out 0.660 3.181 - -rx_any_rst Net - - - - 2 -rsl_inst.rx_any_rst_RNIFD021 ORCALUT4 A In 0.000 3.181 - -rsl_inst.rx_any_rst_RNIFD021 ORCALUT4 Z Out 0.819 4.000 - -rxr_wt_cnt9 Net - - - - 14 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[0] CCU2C A1 In 0.000 4.000 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[0] CCU2C COUT Out 0.900 4.900 - -rxr_wt_cnt_cry[0] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[1] CCU2C CIN In 0.000 4.900 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[1] CCU2C COUT Out 0.061 4.961 - -rxr_wt_cnt_cry[2] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[3] CCU2C CIN In 0.000 4.961 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[3] CCU2C COUT Out 0.061 5.022 - -rxr_wt_cnt_cry[4] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[5] CCU2C CIN In 0.000 5.022 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[5] CCU2C COUT Out 0.061 5.083 - -rxr_wt_cnt_cry[6] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[7] CCU2C CIN In 0.000 5.083 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[7] CCU2C COUT Out 0.061 5.144 - -rxr_wt_cnt_cry[8] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[9] CCU2C CIN In 0.000 5.144 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[9] CCU2C COUT Out 0.061 5.205 - -rxr_wt_cnt_cry[10] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_s_0[11] CCU2C CIN In 0.000 5.205 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_s_0[11] CCU2C S0 Out 0.698 5.902 - -rxr_wt_cnt_s[11] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[11] FD1P3DX D In 0.000 5.902 - -================================================================================================================= - - - - -==================================== -Detailed Report for Clock: sgmii_ecp5|tx_pclk_inferred_clock -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------- -sll_inst.ppul_sync_p1 sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q ppul_sync_p1 1.098 5.789 -sll_inst.ppul_sync_p2 sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q ppul_sync_p2 1.098 5.789 -sll_inst.pcount_diff[0] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_0 0.985 6.147 -sll_inst.pcount[0] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q pcount[0] 0.955 6.178 -sll_inst.pcount_diff[1] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_1 0.955 6.239 -sll_inst.pcount_diff[2] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_2 0.955 6.239 -sll_inst.pcount[1] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q pcount[1] 0.907 6.287 -sll_inst.pcount[2] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q pcount[2] 0.907 6.287 -sll_inst.pcount_diff[3] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_3 0.955 6.300 -sll_inst.pcount_diff[4] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_4 0.955 6.300 -======================================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------------------------ -sll_inst.pcount[21] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[21] 9.946 5.789 -sll_inst.pcount[19] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[19] 9.946 5.850 -sll_inst.pcount[20] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[20] 9.946 5.850 -sll_inst.pcount[17] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[17] 9.946 5.911 -sll_inst.pcount[18] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[18] 9.946 5.911 -sll_inst.pcount[15] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[15] 9.946 5.972 -sll_inst.pcount[16] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[16] 9.946 5.972 -sll_inst.pcount[13] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[13] 9.946 6.033 -sll_inst.pcount[14] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[14] 9.946 6.033 -sll_inst.pcount_diff[21] sgmii_ecp5|tx_pclk_inferred_clock FD1P3DX D un1_pcount_diff_1_s_21_0_S0 9.946 6.034 -========================================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 4.157 - - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 5.789 - - Number of logic level(s): 13 - Starting point: sll_inst.ppul_sync_p1 / Q - Ending point: sll_inst.pcount[21] / D - The start point is clocked by sgmii_ecp5|tx_pclk_inferred_clock [rising] on pin CK - The end point is clocked by sgmii_ecp5|tx_pclk_inferred_clock [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------------- -sll_inst.ppul_sync_p1 FD1S3DX Q Out 1.098 1.098 - -ppul_sync_p1 Net - - - - 25 -sll_inst.pcount10_0_o3 ORCALUT4 A In 0.000 1.098 - -sll_inst.pcount10_0_o3 ORCALUT4 Z Out 0.851 1.950 - -N_8 Net - - - - 25 -sll_inst.pcount_cry_0[0] CCU2C A1 In 0.000 1.950 - -sll_inst.pcount_cry_0[0] CCU2C COUT Out 0.900 2.850 - -pcount_cry[0] Net - - - - 1 -sll_inst.pcount_cry_0[1] CCU2C CIN In 0.000 2.850 - -sll_inst.pcount_cry_0[1] CCU2C COUT Out 0.061 2.911 - -pcount_cry[2] Net - - - - 1 -sll_inst.pcount_cry_0[3] CCU2C CIN In 0.000 2.911 - -sll_inst.pcount_cry_0[3] CCU2C COUT Out 0.061 2.972 - -pcount_cry[4] Net - - - - 1 -sll_inst.pcount_cry_0[5] CCU2C CIN In 0.000 2.972 - -sll_inst.pcount_cry_0[5] CCU2C COUT Out 0.061 3.033 - -pcount_cry[6] Net - - - - 1 -sll_inst.pcount_cry_0[7] CCU2C CIN In 0.000 3.033 - -sll_inst.pcount_cry_0[7] CCU2C COUT Out 0.061 3.094 - -pcount_cry[8] Net - - - - 1 -sll_inst.pcount_cry_0[9] CCU2C CIN In 0.000 3.094 - -sll_inst.pcount_cry_0[9] CCU2C COUT Out 0.061 3.155 - -pcount_cry[10] Net - - - - 1 -sll_inst.pcount_cry_0[11] CCU2C CIN In 0.000 3.155 - -sll_inst.pcount_cry_0[11] CCU2C COUT Out 0.061 3.216 - -pcount_cry[12] Net - - - - 1 -sll_inst.pcount_cry_0[13] CCU2C CIN In 0.000 3.216 - -sll_inst.pcount_cry_0[13] CCU2C COUT Out 0.061 3.277 - -pcount_cry[14] Net - - - - 1 -sll_inst.pcount_cry_0[15] CCU2C CIN In 0.000 3.277 - -sll_inst.pcount_cry_0[15] CCU2C COUT Out 0.061 3.338 - -pcount_cry[16] Net - - - - 1 -sll_inst.pcount_cry_0[17] CCU2C CIN In 0.000 3.338 - -sll_inst.pcount_cry_0[17] CCU2C COUT Out 0.061 3.399 - -pcount_cry[18] Net - - - - 1 -sll_inst.pcount_cry_0[19] CCU2C CIN In 0.000 3.399 - -sll_inst.pcount_cry_0[19] CCU2C COUT Out 0.061 3.460 - -pcount_cry[20] Net - - - - 1 -sll_inst.pcount_s_0[21] CCU2C CIN In 0.000 3.460 - -sll_inst.pcount_s_0[21] CCU2C S0 Out 0.698 4.157 - -pcount_s[21] Net - - - - 1 -sll_inst.pcount[21] FD1S3DX D In 0.000 4.157 - -============================================================================================ - - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------- -DCU0_inst System DCUA CH0_FFS_RLOL rx_cdr_lol_s 0.000 8.810 -DCU0_inst System DCUA CH0_FFS_RLOS rx_los_low_s 0.000 8.810 -======================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] System FD1P3DX SP un2_rdo_serdes_rst_dual_c_2_i 9.806 8.810 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] System FD1P3DX D rxpr_appd_RNO[0] 9.946 9.556 -rsl_inst.genblk2\.rlol_p1 System FD1S3DX D rx_cdr_lol_s 9.946 9.946 -rsl_inst.genblk2\.rlos_p1 System FD1S3DX D rx_los_low_s 9.946 9.946 -============================================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.194 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.806 - - - Propagation time: 0.996 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 8.810 - - Number of logic level(s): 2 - Starting point: DCU0_inst / CH0_FFS_RLOL - Ending point: rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] / SP - The start point is clocked by System [rising] - The end point is clocked by sgmii_ecp5|rxrefclk [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------------------------------ -DCU0_inst DCUA CH0_FFS_RLOL Out 0.000 0.000 - -rx_cdr_lol_s Net - - - - 4 -rsl_inst.un2_rdo_serdes_rst_dual_c_1_1 ORCALUT4 A In 0.000 0.000 - -rsl_inst.un2_rdo_serdes_rst_dual_c_1_1 ORCALUT4 Z Out 0.606 0.606 - -un2_rdo_serdes_rst_dual_c_1_1 Net - - - - 1 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd_RNO_0[0] ORCALUT4 B In 0.000 0.606 - -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd_RNO_0[0] ORCALUT4 Z Out 0.390 0.996 - -un2_rdo_serdes_rst_dual_c_2_i Net - - - - 1 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] FD1P3DX SP In 0.000 0.996 - -=================================================================================================================================== - - - -##### END OF TIMING REPORT #####] - -Timing exceptions that could not be applied -None - -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - - -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 221 of 24288 (1%) -PIC Latch: 0 -I/O cells: 0 - - -Details: -CCU2C: 113 -DCUA: 1 -FD1P3BX: 20 -FD1P3DX: 92 -FD1S3BX: 12 -FD1S3DX: 97 -GSR: 1 -INV: 3 -ORCALUT4: 154 -PFUMX: 2 -PUR: 1 -VHI: 6 -VLO: 6 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 35MB peak: 153MB) - -Process took 0h:00m:03s realtime, 0h:00m:03s cputime -# Mon May 13 09:09:11 2019 - -###########################################################] diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr.db b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr.db deleted file mode 100644 index ff725bb590d99c244888547ebe5b829a39a361c2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16384 zcmeHOO>f&a7`Br%A8p)pO}nfe3J^IZKqFiJO5$Fcq;WBzS=%H zMI9@YOI%4LlZm?sB@&4t_>91(yCmVu`}^HGHFkl!k41DGcsN~qpty{_V9fd0asS_!2mB{XPXl-+SWqTcMudF^; zN8O`y)I&`y+!_|QQ9#-5;a;c6;elPs`U;0|C1q;<06Myg%EK2&~YX9~rqf-1_YX#HLp z`7|IUl&s)eSV&(6w{43r z#&5K7yAh+emuCk8wujr1+*bjwQrBwEmh9!FrBWu|6jnS>)4a0v{M$8WbNxO77DFOb z3ju0qwObRM(KosbWl~}00-zvp^o|vJ)b|}-D&F_7!cJ(TIUuJ=$zBQVaj|P1cEDWI zo}afmpu;N>=-1~Av{3HxAy1u4$YHH=Y{=sB=NQ_lbJ@=)3hl{r3HcA zgwgo5lkJbt?F(lJE0@V@Sb8%+SR#gH7y5eZ`A7t7{Y-i*SI9)LU^7Tq@B?rU(|A2LsLPZg&v)S=i zRL5?OvRJxACwFqXhmI5~ABf@kJ4j-mpz_uRq98p%qBFRiR*5v$fr7klPyq@s7CNmV zEh=|_qH?iVTnjkpNS8C1j-|ecFs<`JF3zp-dL6^mJ`0M`=?KpSpYA(VK;t=Z%7LMe zh-lB%^+;sTc9m#H{zhuqnvZWimmHl*>0zRr%`a^>7>jirD&`j|RG~Weh{Zt24^Qjd z{4@yrsdrO5my1UKKeLVgH~RnoUV5BJBpLmGx>Llb@@mWI|MSw%CwR4G^#5^Q!5{Hf z+UWn%@n7K>{craRNu&Rdks3SVxitE}lRW%@Bs_ehYV`jAlC(!fqS61SqW_~5wATM) zm;Ovl{dW1+%M&R%`EGIrcFkfKFbo(53GHFkl!k41DSgh?_d&xB+>;?OZn7 z%Q#9UFnE2nchwCV9++EM(CS%Q7ZhLU+FQZws%jEpDY;8^x)JSBRYL@_sBp1Io78JS znl;KncI}*3Z@^6tQt?eQ+cijrDq7bGM?pd^l+KP~NOwv&3RNQRBk=8lom8hh1qVMY z(*98kl_6{EQwH_-u8$nMw-GpKBhzj9(ft+FB+P@7@7EFEtlOxYU+G58d<{(Gvzgew zK$%Yjq-W#iqeFM<4{F~D!7&VG*0_Wd0gSPODVA~%*%>R7VX|lL^@tnjEHu`Q6AK=J zmiMZL>@KfpPcoWirB3Cudsyf+EVzEBXLRExKC*Ek*eYU2GaX2a!ct$2eXUA;se|Y3 zN45$qIFZYGKuzjaci5hT@#|nQczBT34a(+=1I{a0&6)?6=wh~%kJff}6@qfN!uQd= zwI?e&XzLW*1rWw@7bDpS!vOr<-RRUw^uR(qc2K+uRDKszjVyng=yWWAf^ diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.szr b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.szr deleted file mode 100644 index 083876689d06805318d6069d6164d680b50b4e78..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12840 zcmV+@GS|%?iwFP!0000015AuD3&JoIg!lf6EZxL6b#dxa+{D2>q{%gnrcKCuii$tp zbP$AtJNS;TZCjN~5?J{)oSs5N)Ay&(We%)rWQM|OlY`r-2g;ZjDkiVC=Rwh&7Laiz zn3a4Gk}`H|NwDN-1XR`6s;1+Jv*qC_1(S_8V!wo-VRJ|PT6F>6qwuz?{EzA>d!rYV zGs>RdrRj$+00030|LlE#bDPMr=-=W~_+|7e^0^Tj8;mh~aw^s!G~O~`_g*P`_hgGQ z(w4k{rPveO8&^L3>j5Dn31nH3DGM_HYtQ4oTe*c6S!z49fz4M zPq-YXG2yLKDvTEKX~+_rd9>w(;@=df!oHl|?)aq3sOZ;xyOod$4mR*xp+SN=_Qr?} zlr%-?(R=v3b7wpR_o@M2GxR4lk9~nVwcRs7G$$UItl1nx()YTa;X-r@O}pm!;57Tf z^_e3k1bDOGr4x_Yrtc8H22CCM6W(RQWuA!x@@=Q46M&D+-L~IhwcRV%(-S~k#Eb>< zt|SP!%laml&wiwW)Y7AmI@=aBRH}aMpB~Ex1D_FyRRgLgpKZb|$~?fN9TY zcxGswW&$LM6R$7o9Bj5#{Iw_{cHPDKx0nbWI%$z4;G7wL7)<)1=LJb9LZ;c>1aXBR z0{aX(Dv2E&BzqitAMpv!336u0!JRbm4xBzA4m4AIIIT|NF=RBsUEZ2d(W0lmL0Sp& zG4d1>t^`-rM|sO4;c0PlwvE1cm;`;3Y0WcmCbol&A(EHCM@W+*Z9}jp z&ALO}ZNnR8G-EZ0(=@mQ+WS&lj2&jq3N_HTj9@{d?%z;cU*f?wSEQ8(P{qs zG;uu^qF773059+-XokQ|b<`M*6;S>O|FVt+?Oe9wAv10x6U%<~@ zYIBdffAL8Ne>Is0e(2ShHvxLWJ9r=9^Ne_=a`zRSI~P2Zcf%1xmKBX6wE>Z$j}&*W z?jV;D4?d?lh{1*LbX>dcOd!1T!gma5@&_X>N9B@u_B)RPKaOqPgvRb@{4R!Ll!f#f z;t8k&z@^`ah9hfiSVJXjJN1d+odXJOeIG{6(LilPI^;0V-+>Fhc0oFP_MHQy@6i+C zF>pN-Y|jSb6NjTbH61X07AG#H9x{mQfsmMU1P&Xo>vYe7dgwajU7dh`?rJz?(}Se$ zf@7c7ff0yVXhIwdoG$i49q3So--`rL^MdO;Cg=FAOB}Bcz)u8q@dn>Uyto9A;~8U# zdx9tc+SzmJE{?eV&V_UTS_9ibEhswJVNBjJ5KqByE9-J%Pdp;;&Vu_MGEDq!*L7V!aS>#5JU9hT6NV;Ge$RM32K!sv0l(&-Ic_>1 zhNQB+Q`9%wLDF^-Njvt2&@gZ$epCCw3nnyRrWd#-63rEof9xQ$CqRG0sSBMDhbd)M zAL*&tZ2wSE*o1Hw=@~*ye-utsiIY1;N#y{-M$&TI@+eV8=Mu9yPuHL5~nWLpO5R037jUi z^gDfc%pmljCa(v_%D!^XIQH&@>6+-gO?3^SPQNv^cUvf?6Vq{9Ix_P(=nkYV@xoJr z<`^!h7T0iXy!DY-eb2U-iM?EcPhylXeOs<44kpsTcN9_oE?AS(mb6E??YH_dGZG_E z`&^?*|1FY-+a_3Wi3=Ig-RVm>;Gl@+!WY!3bLC!+1=pYJyzN73M>;LiM>XZ4`teQd z-|-T6XtuOKkGie#fP{7TlzF6uwnGc;f94C03aW1eaiTVj{&-#3_lm+%n0JteZPW3j zjYv69-2MbOPHgMEu7f_zc^$9ElGnd?{&trm61u}C3CFxile$LSP4T`(`@Kadqo_mr zU5(No!3W#K!*k#!sBnFa+ONUun$f3kk^f#}eQV9}y08_h?0Fo`hbuIr5MP}BHMLn> z^KR;!9eBIUu9BJUpWyc5p>iGGIV=%z9pv}Ehy}A;3aUQ13y*H8Ti3UX{0E?5)hBM$ni(S)(p zLsuA*%lH(vptIKp=GzPn=H0tK0mqD8OM&Q0j-T_t-1`aakvrrEJD@0oZla-e#Noi@ zaK_-oI;lBA%jFLV4coT^ClKs6TMs-@XF5AL6Arg0u)i9+Qmt6?C8*7v({*LQN z-Hvvnho)uH@qFY(MgrR=cq6lJhS9+h@G~^XEOr8^U#!i18F`XmA|0c$IA`q5Vl9J@~)f5J8E?2WGXB1?~~o)zgv=i7y}2&G@)h7LH$l5*W3qaZ%L z3_p@)=)y_bfS-6BCha36mWRI%*$rA!M+d;&vlGrN2Tla3X^;LU-Y0yA>A`P79evmX zp`URk?0sjlPksUq`s^O|?l}6LtZ3t-1A&&m_*ukUuAdLqhf7fJu7;>k-)zHA&hZo= zo=`s8+?n`qv@^@lhtgTpqoHBl8{Z_aLhL&(3OUH*xby{5!l-^_S+~QNxh@+pvt0;e5M~ zQNQ@E6Qk22@-@7+%;5Xza})H8P=rgIsPslZLUl9s#jbR92rcW)R^xf7kNOU^CmQ$9 z25&5Vs9Kgf(5?I4TC@=X$!Vij(gtmaQ{Aq8vNhxzJ8*S+Gy0M98ojY(OvD>q4=bAPehQeEB!`#bw|qOhY!{j+^I0st z(XcEO6l$Zl4j&5S=@}oYm3%lkWv>0Hrfd0J(Y6*eI0>ll-dMW+LuE&=(XbjrtI_LO z-{O16Ma|~WSjHqE)z2pE7_52CCT()~! z)6`GGwjJU}DStM8B!7*;`eC%0A|AejDPKvijg-iJa6{z$% zUc|N@me|%Z4oeO>Idg0YGoLp}<9TQN#Ie@a|Ij~`zBB71OE`ZC?#Z|y+D@cF-;ZGQ zF6?;Je~cnE^`R=v_k{M| zsVdM=n)B{^MOW@QchOL0`MvJJA--b)Mhq-rB zW9K3X9SdE9xbL`#V|Y99iHxPnwKRd*dQExNzHB>teyw)SP7<^^&XAk?D5LSIXhQtA za;^IzVk4J-N@yr9Ql1g%fBn7iQ1JiK_%2R3jbk|le;a)txNV|cO^E&?KA}_KbCMZGICo<;BgK4bQ_izZNx~C}e zetGYX?a2-2i}ZJT74pB5Og?NrCw;v+jc0!D=kUUz_f{%%0gNb}-(&(JbYdcT@-tjs zP$#q~WN{BN0lVh;Fpe}`af#f|x^zBYg!dG(@>?oPuy2M1n2%4s4vd=8knz;E`9w zp`tv>&uKghrhG6Lw?OCjmf&(ZP&bpU`bybq6lCjI%GOez99Hw>K+-ve%S4AF?x z+(>Ov{r=Yo^wEpj+apWwp)FDh(F@z% zI7jKT_wdiP@y(R+t39~>pWw2+3p-!r9x$s5DtVFkb+_Z97a{k>a~m7k$L8qwX@sJ8 zkX*YSAi6%~--%s}p&yTEL&oIATn{xgA&-LEr zZadfszsUW{Pym&g7*OxHC`lamuc94@GSQZF45?=JdH>mTRkVVwM_I>q9kl7|$x|_F zeYIV!SJ~B#f?YkD+SL{EXY4Co8E3;)7jQR`wwZ66HiO%S;{8I%FPU7V$uI1eseah= ze(`I+(9beJ-P+}Bg8eemRGJ$%Xe#gv=)dIh=og**0@ySdj7a4EqW=O$%Yd}0_^+#1 z$%@{8WW|4E#s6wq(bcMWdvxruz7VL|d1(96uRL&9*);lwHcg{o(~P7|BkfF|*fF)3 zn8git``I{T4u}iqDV;5bA|V2hzOd78$KX;KOSnc4T*%xV-(JH$+|(9n6l{@Ewcj8& zNGfEZKIfA^%IQ)cNan|IAA8|w;-L{O&>}`!Q_^94bzaBT=u+kXsQG#s=wp!kFCk<( zJb=!G^dBbZ6QIX0I00AYLS4c6u?L;$p2#UWoDg#6_f5_;8*UjxwL_6cGWQSh&ihUu z5Pc%%rxch5RXRk`hp!fE$bD@5noQ$Y9l@E`5q|we_^&t5e~L`}`S2mnv$`tyB#`XI zN!=65S4H^>U%&3{UR+a`$TIcK7QA-u3nC%}`Om zb)BZn<95xKGjW@F{x&$i?b8~F1ICECkGMc7qfS8ebx3o;-H;yu*>?!8TlRD8%6urC zU%Dr@e?XK;1hStrH-HM6)15ts9~k})s6*4(m>;dzACEFUM|>u80MAetGBDpo49L%J z%w(SBOlG3Xilp#d0Va1*4ZvxABIOEeW$_T0#zPdMynjwZ{&2k}YIkN{HXZlNEN2bc zY0lbn`f9&dGJISJb_nOGEy52ooUk1m)vP=FZU@)3%xS!!P=}DoukRhtjR95U9!QTm zWVy@)22Zx{v8}$dDSv+WV4b)BJdC19pAIKPyCt=gpvLndh7{MtVjBVE&!B-SQoT5# zS{9qvKTY=`RLx2HBKmamNjv&PMK=u4E;f&Dq9SZ{+Kph{RF@aQl)`SL|0-asIqac^ z%0GuKDqs(%-5f^khtzHH7}~Gc~O0ie{SD%X{RwXE&DBa`>bbN+;)fE78xT3 z&>qy#R(tC~pQ9aSU7}|e1M&MEkY}tMQ%3gDZb3Vy6z;b8zC~J;qGaehu10Bhe^lIw!$H4(s7fMM5}}Q zwr_hJ?IR1|(jlGLPhrV34FZjD$EV`Hz6^JpXL)d~BbI%a_O&k1&BpU1@bIBNi+dQ~ z#_2i4bUz0U?`58>^^#|)TxKegKLng`M$wdq6M7&WD4y&k=-WrAWehZWKp*0NN4gU2 z-$hMTj~d!=?{o83*PChX$VWKVAsT;k_v+Fo=0NLhnN!Gx=gPet#H;Ulq3`iDP81^~ zg8rbKr|GeN3^qNB(bto*@?H<2uqQLa$Nk|s&`V}hbn>R>a0t3=_#2gYTAk0SuJVD@ zDj&!=)@MGDaXh@+|EMUbUjGC2y3AwPn*g_yIE?{FS*$SfAN}{Y(|>`v zAzn+H`6KGm3z>&G7;k&8M>EelZ^o9cTGl|f#;Sg-ev`Qur{HumpC2(rIFW0>lX8s+ zi0v@sIOY@=$t2CU&^mB|(ipr>9XvQ=@(AvPd-M$P()atg?h&Wc_^oHVUY%fAhY=oK#kJeNp6BG`bef}ysVI`^i7Cv%6HOc32_BGg3DOA z=0*{@)sRLwG$eRh&jG-T1!2uTu6)zYVv9}1~Q8PLt2#q$v9wcKUDs4F$Jr(ZCMMUOFBn#WU%$ z-hcF8nP9(TV!j;6N&T>_GJAOwB`P>*cANdAboKr#J9bg%wZ(B zmaK^j(Lft0!wTdsG~~;lNh}X-oATohCp3|9^rwC+v=k>Z-fG)E)aHJl<%Y`GJoq&z zYxe*tFVpjTw=41;m>a&QufG=q2gmW-nG1flU zNK+}5n~OM!^hmpl;CJ{d4YrR*Jq`Z4`#t?lLkR}>Pc}n-9I21b4YY;~&|`2Ffp;`^d*YalqsT(9uAr27KBMutsP`fi` z_(^N%}A2|?+avbP7jAp~?=~^%SfF{rk4#AHogOeN|X#K+L?V>b}w28Gx;AH-)vzAsUHD_(c!IY=&EmX`e>?u z*2Yl2VCYqE{|@G=LQ2U+A7ag(QI9w>mTyIfJwLs zjwQK~c<7~P93`$<4NNj&TJ9(ExA{7L%eiaH{6x|L>V-7J z6K7p=N+G6_qUdH#&jZcQV7c<}6Y5vlw^swS2;@0bvyZgHE1KSi{N#{0)TGTr(N4wD z_~%U8(M}@sG8Gp}%$|Sz;}T7zD>$Fqi;-u=WIyZRk12=Jv|_tv=65>K7t&v{a9Nhg zL8Zpm=~l^WRLObsTgL@TvN*^`hwRZzx0g<+&_H?Gm(0&G!4uX=RTFS6JbpG)$_Vj1 zKNnlocF`}Cv@5%(4i{mbf5qW8HLB#^Z}FZBNaK|0_0*4C$7!DPcel}A!!H$0g-r9d zXJ^Kp(6;4S_@kT5mn`ZCJG2AoAM&YxsNWy%{W?6%Ch*_cpT*}jh(-`Krq_c=X^iAK z=rk{WvBtoV-lVxxt7QKn-0O#X!(WR)ZJ2*Pk~(ziZw;j17c~@HkTkbzdhTBjKWTR0 z*y;IdXk=ik?4WxDJJk0M;n&$Tr5UmKJgUi=-#ch%(TFHZIdO;`Cq0LMq>s`YSJ*fA zdx!cE4u36R7nYtMN!>h!Ds3(?T18X+J87zy(R8fz29-1&d?!r@6*L`;d#h+_K$eBK zDNXa|=V;o~#)DNfh4ImM(KLU)NYn8^>xscCnl`?Zra2wgr)lpyY1&&s)91? zR_fknrZv4>x-Z@5&6~sr)SjO2syOea?X_YztMugPMo)Qp?e#bPlRtkG5FJKo7o&kT z8s~F;N|raa0k^RXS08A@Rd9RTfZKaMTw@z>jb*qhI>`|Ug6j;1!sBHZ5l;p+qm6x5{C z{m5JN@8uqk+{2VPW>pGQxm6SoXoov)U&s?wGRFvGhm>=e?hi?Y1V+%Z4VV5K8m(MnArx_{3B7s z;lQ++x1Yr)-p_QjtoOROZ=W}$yfz*ou=JVcZ73Xc&eOS9j#KVmHG1RpiFPx~Nw7`k zd3B(&E~T_B7RRVT8jn3--1HQCKOLYv-;H|tK%O(p&o^58CHO%7ql{8;vzinR*b~6} z89TH056IFu6+Jz~{TOQqWvrnZ?JMkewIzA`zm?g3hXWzE=8onpwMu(c)~-wYJ5;2< zpT~vL@o_|++;?Yw&8)*|vAWKU38%rRaKO-R9C&bMc3?4#KRJ%qK>! z&gT^1f70@>SLh>rZeKsZ#XvrGJ?r2+p z&Jx}lkv2{Xhot+py(jTjinI;!W~`o1J{O(q!R)?;`5dppTiJXzgtLUVUZlyl&&b29 zn%+>C;Nk74c?lfVZ;wYzk);-UhvD`%U6){EB#MY=F12`D_Yj72b^A zcqQI?uZXwN26!u*&!%uz;cYxxtrztAO#~H~`vH1Em$09Mx3c+c3TGAG8l%;E0r953 zBHo72#ar2YHic7#HyIo1MXU7!;%)GXcr%`Zx2pMU3TGAGM*3>KfOs3fBHkL$#ar2Y zHifeaZ;{@33U9sFz*|IuS#HF0@K!dT4dLwNdH)5!ek2n+r!m}0yb=1?=MTo)9NdMt zSfyWIHJ=}qqnPH^tpV%sy}~$6YXI&#EEIhTPFtigbgL`}cAY-uUPu1EvQ6^_@Yl5t zXys?8*131F6=S~BrsVaSS3TF?O{=Lb)h}BoXJEsey0UX)-_u?rSzs|INtN%HkzW;K zC{^bW^!vlx#XKD%x`E`UyvZ1(F)h`W`cKiI45qC+b{6k$EafCU3DXg_?dyTtsL0b9 z>%-MBdz-_o$_;uF=4f-6qYYsmO?B-iFm;;Q|LejejvW>q z!(rg)uv^J1&Yty?GWT(+W~#FpadnK;;b9y)@5 z1+_Wfmh99*Jx{dJg5ZQ^vP_@P+g>=oe1H3xYn$7!BIt?}^iQU?b|y;+7K})?ey8~$ISB@lrZ49iSK%P~UK~Va zIM9`7u!Mu+JIQjpB5%*Zfel$dflEoA$GC`tp{9>Za3J6JrWf-N3+-7r$onbeBQE1$ zI9`(vmUFP5!v~EDJ{W7du_hnnzV^m^P>F-FQOXCAO{O-`?5O`nd@$`N&`B19Sj0g? z)1y^zJf@fP9t*2%?`>p6SHkX75DOtyJU5b6o7_n8?~FvbKliES4C_+Vo6i`pjMvSV-fQ zxpo;1@c|>n=YLJ}(oK)qbqXGs%$4T@)10khA2P;q5CQj0X2ZS$@8Qv2knc+YRtYf6 z$5fOwCgR+R(DNo)UHIy88j&_qr}==XPG?}+;MF}sXJ;7a#wcw*dA8I%;B4QsGkm_qq3;jC~#`WdHAA zJLY^>ImbC4YwBcO0i^Z(i}997*YsJ!&otnvqNmk@BjfW3Q36F}MA15Xw8h-Ss3gZ5d3EM@)_qZ`D;C>EysB?B$7koIl+E#Zbj$`?q~jH| zAEd!o^94^iA9NL%J-(_B{oa6d*e0Khd8ZGO` zC0-r;t$6j>IW1*#d>$P$!)#q%jSQ_fds9&budd2_%-fIU)yC2sSMh4)9M`AgvvXet z`f_g460i3DR=hfTF0Yo&@p*L2boRQusu~Ep)VF%%)m1r@dHX@UN>W`l4Lz>QtCe$H zgN{K!qWp~XbMlSUCu{(nWI38owI9K&dLfpZsETm(swcB z^}uCJG|PRlo~$ePcgaoqo}8qGcFl!21Me|GDL?mG7OPrS&!o^USbbLaIggWF0-N^( zi!rOG>YB7+S_l3G+AHq4DmJmx*lMVSF<#bD(uVoEU8f#%MIEIui0$+}&=97b=_4)b zO@B6eT4Q7@v-c(z?p>}qR#C@a-d0{qDU8#1GOIyG|47edp==Gb705`*-&GyPzT=uS z;5Yq#s#l6@Uoja4*G_w0`6XdDk+JxFm9 zWc)IcwHxyH$B(t)B8~OJ`Rr%VxTI!QO1GK5oUfTRR+Ziojn%EvSbd~%p6@eG>&5me zXuOm|wK%EUDHM=cH9 z&eant)0wiK%J<2&Y$#K?CVh}mnGd4DXas|7DCrrEo>796Xm;wu}>F9h|76C7TE5@VJAz9h{7z zq%Gp4LDVIj47ZGv;Zrynr{@HQB{-RFeQXIQ11M?pbq%F`HY~Nl)x~_yCvmcnOXWhW zZK~#p5LG((6(n{KYYNx$i?X>h9u5P4H{D|6VQfEHDc3Ns+uU|W@ z#BXm&b^g4XY0LhKe$-O3^>hIpM&x*8Kj2dClN5LC)P#Dmlc)TPo7(njrd9cD{6^p? z+#~tEMHh#wwErxeb89WdeiqucbE%5)CrB>6^iB?kLjGlND0BO=`yOlS-h<~}9mJG0 z_g~Hi#hzz0kHgTe2gD8i$3jv?T{A0)CPVgdioaPLN0aXZ8|8MvEb^+9^_jN~>jce8 zEG_z)0m`aj;LOH&z1#jgc(}+uO*0%^8HtD4dY+p@5fp)WofJ_$aH1gJS$Z;;L7&EY zMmoyE<$eB32uf|Jv=#lO!rV*3>yMYlV}-aS-+z;uNqV}MZY%;^!g(E=@ z1k}fO>lOIC0_Vdip676W1=(87Web{yS)wK4d;|@3zH{t8@AF2~mugvj@4jCJpBrU- ze%a1sN{MVy8`@}T;FUNxmT|svKYyqVOZK{FeU|OzZz5X?m?`D+=hiD4X8y+#lnR_T z)|4#~jMH%rQJ&|HM}=%zmc1_{Tk?7k>&liP>d>X3m*V`nHHak64SiXj7iCL+_N&ld zM7HGT?ADbn`tUK<`;=^X+!J3{whYn69nW_@FI)6QnfpSrC9luEu520Vnz}UbYMd|U ze67sC7;26Dog-zkrL2C?OURbz)(RRz{=U4mWJ`X=Yi-$rB6rDFEXtPg^7*qDku8mK zK3^qUP**J5=M^}ARt}WJ`Di#=n!>znS(3RgBwLpAj8@4OBm+{uB3vk2o1(fR=8d4+7L z+K1S(Y?*_xZhfO^+-M2POXe2IIN!#po* zZcP>Uu5PD#(}h?y1K||Ua>{dvLEx(pq%rAHr1c*6pmBXIzduS%^J|B8?NeW~og48f z`t<2Y3q@Ciffq(*=0h&LYrM2Bg6M`p=!NDb%8|0zTyZZg-IFnl(mF>dAwpPI@5Q!^ zo4uu48G(?2e%lG4{D|HBzUxREi19LR9AOhZ2unN2+ahjC_B)s4-jlc)y-Gc%%J#o` zuTht|?LTs_u@^mSuQ5vLJ^J3g#wLLs>hVP4&@ZV6G20*1nll`(y+0{shY~$+e-c!s zXxA;(%UEcKQTrj41puvf@Ox^K?0)`yl>#+)%4=>cwvrkWv$GhE-51fPn@`%&rXlMzXV5r%UTb!mgm^zt;F^PaV@xgmepm@E*%_4Kiz}M z-`BKBE2{&Mx4&~eh$RKyY!-O)`7O7zWm~khi@xvdd|Fg~?$_@IrYtgmZtAngP!?ZW z{8Sld8a$36Ka-=zZXoMA6lyJ`bpmuh2&!~<*%|%3m7de9z{B>&@Y~#PMOuufF`4}R zN(*C%16LJ+>C9?cm^6^}7!b0)+H!&Kq{SNNqwG&w|C1wbj?j|pY9jPRfWpq)4KA-{ zXVA-Pd*rQ*78Q8d;uxxF5e;YWEgwCP7V3OrMoD`OTHJ)@+?m8;Et%Z5&s*s}YB?TW z+eA!#?5~RQ6&oDxTp|(gFV4^JE_c7c&hG8i#aH34>H z%pmljCa;Ib%D$qwI0{BOX)P}~{!pYbv;%J!M_SQ;`zN@)ct~q@ve5Pj+GbJIv7#uk zhCqJ0b66tcI>_&R5ep`BuXNqG3y*yJEUjIBOBK%& zh*Z081aYD^jsAF7Q5cz^mBPd+;nY$=)zLTyRUPyWdY~)+cmEd7+n;@pc5-{`JW^Wz z6)SP8|u1}>b`=#cCRbbGb7oTD)w=xOnuwweJYHU>@3ak z>`6seQ@_eO{5Ah-^rfNf!R}+{xEz%~&M)Vz!>gf^)-bWM8Yby+?Aw8I|Leou>^>ZW zvU~e5_uL=4@;jVAeuc|Tx%%Vt+&y<=1$iwLF%X~lh?ai*f36jUdTp3JqE(#wyZn%ZpL#yfsn^E`N|Y3DEQgKL*xDXO@ z%d=&(imfwzIG4G0Jf%J073BwWN1`{~f``Krs^Nta{J wY>_tId!-qqw)j}S$WL3iQGJ`s(T{)t1Rwwb2tWV=5P$##AOHafK;VZ3UKAiP82|tP diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr deleted file mode 100644 index 919edaa..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr +++ /dev/null @@ -1,86 +0,0 @@ -# Mon May 13 09:09:07 2019 - -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB) - -Reading constraint file: /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.fdc -@L: /home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5_scck.rpt -Printing clock summary report in "/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/sgmii_ecp5_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 104MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 104MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 114MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 116MB) - -@N: BN362 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1408:0:1408:5|Removing sequential instance pcpri_mod_ch (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:PrimLib.dffre(prim) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1244:27:1244:40|Removing instance div2_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_3(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1252:27:1252:41|Removing instance div11_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_2(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1236:27:1236:40|Removing instance gear_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_4(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1268:27:1268:44|Removing instance pcie_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_0(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1260:27:1260:44|Removing instance cpri_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_1(verilog) because it does not drive other instances. -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist sgmii_ecp5 - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 144MB) - - - -Clock Summary -****************** - - Start Requested Requested Clock Clock Clock -Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------------------------------- -0 - System 100.0 MHz 10.000 system system_clkgroup 0 - -0 - sgmii_ecp5|pll_refclki 100.0 MHz 10.000 inferred Inferred_clkgroup_0 93 - -0 - sgmii_ecp5|rxrefclk 100.0 MHz 10.000 inferred Inferred_clkgroup_1 77 - -0 - sgmii_ecp5|tx_pclk_inferred_clock 100.0 MHz 10.000 inferred Inferred_clkgroup_2 53 -====================================================================================================================== - -@W: MT529 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|pll_refclki which controls 93 sequential elements including sll_inst.phb_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Found inferred clock sgmii_ecp5|rxrefclk which controls 77 sequential elements including rsl_inst.genblk2\.rlos_db_p1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock which controls 53 sequential elements including sll_inst.rtc_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. - -Finished Pre Mapping Phase. - -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 144MB) - -Encoding state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) -original code -> new code - 00 -> 00 - 01 -> 01 - 10 -> 10 - 11 -> 11 -@N: MO225 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. - -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - -None -None - -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 58MB peak: 144MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Mon May 13 09:09:07 2019 - -###########################################################] diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr.db b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr.db deleted file mode 100644 index a3a5dfe67b4623696cc202413f82fd1a9534803a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI0-EJF26vu71P13X>a8cy~Djh`%vL#}B*B@~l3DHIgHwlz95`s{z#&tdE2V8@3&gH$pB?XbK7MEBf6n;nX9q$fc##a4 zhFb3JTt1)s2q2fs6~=Ee$(5(i+v9sO2klXz8hZU-oU;Qyhuk6YQWCSt-8G(#I zMj#`Q5y%K+1Tq2{fsDZaoPf?Ru04F1zu3{N<70qIu`a4hTi89?dvv@9$B!N#?7`)? zMd8ALN#0}f1Mo%IpO(f|reE|$c%^bozZ9V^nX~!*!ne8A-&arGs;(^E`(ydnyFV>C z3%}j@@Yc`tAiFXGGa)cqzrVD0!A74yC>(xj+l}=~FAA{2Tq#&s=?Y!ZveQt!qA`el zrm+&s=xgM<@^J^BaJ6@?C3@WNS5!9;!a*K4uG~5*^0Xgy1uqZQ+g7V;wX3OV9QRNn zFnWg(#F0{hHXI1w$1d|hY3fUvf5g&T2pI2)5JCCAlRP}BwX4sdC_)$rJZr;QB>Uy* zAWS_TNp!xn9G?wQTEziML1QVEc0e%?VgKnN2$~gb^N_j|Q3yiOXf#Ot=2S>@%T&Pd zm+#-ZXCOV_d$(|S+_1N23u(L6GLVdE>_?}h7b5gf(r|$L5%0rvlHcibCnosLWeq2- zan=*O2RsV3jC=)+8Yr3(%~B9rOed_US?-^@BJ3tmMW}RHYVN3E$f-dpxlA)Bw##tb zBQR;xJ!TMrijfOX(3rFcOcT_Z(vp#yLE3x3h7d~}P_O18!B>WGjHVUiy_LS zkhHCU(PYip6=2pAb zHlMBQoFmWEtuY2NamUuyHSUngPaQyjI)2~&sx19TIc~>^t$)2U-npCXmC8Jvk!+Gz z-77IELh2ClPmgTB@&<`}o&Vkj8I_jECpcmc3XeartQm{E-K@6lt+r)sy?%s2G%x~T z6ot{o1s+S`1d%)C_+~0@q(l#6O!YsNe_0nqQF8T5>Z4k@=n2RfDmbl zK$BsqvDS}Di*sew8nbG>lvT_H&X`qo5*J^e)y6`?>WlfTt6DQSZoSCK6$8tfAt?I>pf+yLb3xVXDJZfpTTlebo*}2&4LB8V z5hpg{W1?{}U{bsYdnd+%+ zlCP#|wgPLN;s;P1p-eY96@e#wESJ(_LovUwwz6Y-w(G5<)6itArhc=&*`aVmArQww F=P&Kv66OE^ diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.szr b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.szr deleted file mode 100644 index 07b0e0ef09467c68e5a37c85d34deb82cb435400..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3856 zcmV+r5AX0FiwFP!0000015AuD3&JoIg!lf6EZxL6b#dxa+{D2>q{+37CQZnDii$tp zbP$AtJNS;TZCjN~5?J{)oSu9@)Ay&(W$~6gYdSi{EzBf5KZ3m zyEOgq1pom5|I}J-Z{#+T{@nT%bEbgUi&2ZVWUZpv4aP1uO%Ix6yB1b}%q;}7y|7PW zT9a_xP6Ge9qGTIEKMx#GME zbH;5B!aI|5aIb@e-14_qbFfG38E*sFTuhi6y|NuRR^@5X9SAmX%M!0@p>w#Mw`G!> zTyV=3sJu4X%eu(V%cH(qv1OjlHOXKg2BnX>&aC`0@AL>A7lwnf$TBW0ek;T7|3D_u z)j@Jd9cq@kR;V;|P6B2+wZUZ^9R``{Fx03*?q?F}+yt#ijZx)u9;%#K?!XH$JeMxK z=A;U|onJk(>Ep`F2G=UZ(`kA%el(8Z^G*C`Ect>U|1^PuQ{ZG$ji>n_S;x|5@affvtS8i1%k$iw7Gns$!OTWPb3b4XHu_kBVQ;855}{{c{LT@-b(=Qwl2U zNP6&vaYyJy=D6$@0!RWWP!BNT!*fUuKz3~(eO>n$KvmS4Mr);>xk(ocxpvO*QR9QL z{J{>kvlCYY-eMLIUX?}P_t^ItMGMM&<_%E51f^9z@{sentyu0!9>oTP+)4Wy_&k3L z?8-h1M;t_JC-33AS4Bq86ftk$5M~~N3YBByoz9K&l+5EMP`55CpG%i!l z)!R6|z>8J#Rr0rneb(t$yX;0YNfdOK`4cKsgWPz{@w*WKV4=de0x`>+A)?s*LY&j3Y?*0_xCW?{%~wSqp1ZN!O$=zZLD!< zYdL5STp2En#^8X^luj8+I-FcI#a+#$OOZW4DYQjm4w!m_Lh2N7j^qXPfG!v|XwH#} z83#Zf&@+R&rs=hkTt!q`L~~3vk|StAv8$1Rfib{FJ|6Sk8E)`*USLmya@Unlnv2 zit$0P_v6~K+j@M3Ng$KNnA~tNqGE&bK7?v#qCJN4tfQhb5P!_chram!~&+ zXV4LERC+aEX3CSJ7WKn3D_pH^GL6Jc6SEkj7?nDoV{OSB|`kvn{ z@BNP4J|+!yqPb91et$4gmqRCs%K(Mf{*E~lpOkZmS3jMBqLdB7)fD4Rf4jsNJwv|+ zi6I^0{a}YcF}lgLxISVx-6`@f_&68qiu5mz8boAS`~!X7Y18u7ooYNSqEgcoo#%cdQ()E^d=k%UX~Bc+5sKg!w4Wf|#RkX`-=+^K)})DGCsVq@MGg!^al>x{4dJ=rhQ z9+IE4k3rm;t;RG^r`5 zrZLTpB^a!7p{u>@(9ZVB+ZV?Q8i~h&O^SD1n~5%131#<9mlA*959NZi6MJ&pYe`4P zQ&6~otK%lTp_l4;(Lx*cX>LC!9z0m$f>bRe)}Uig$T5Ig;7pR+1k{Fc%`6lzlb4qV z4PI7diFvd27~K9r2idV!axoUlF3PQD+ANpTa(nZ+-05#%;bqL{idI4-*5aa8Q|dr8 zO+*}$|88uKj~iJ~|7=s;bv-+WeX7?=jDK2us_890)pWU2{vtjlE4#GQHr_+MuMifB z)WnUR_cBDqgzRki2D;L8A}t~82kNRvA@}4SwkdMApwiwDLey8rd}UeJcZ=!%W-klJ zJ&Ha{sRW24M`F!1N?tF5f;--Rzb5vwxQ(&$vaFsu##YnwrkQSUK5v%&4(0bnQaqrPcA&xt!>0LHZ*`?O3na3W@2g6o>uMj9P z>rIZ8826Diu{6a6WBlDdQRK~(#c2(-7O|aSoH#xUe6WKKYJ39jzC4&daQ9{8?g@?9 zr|nK|d&|G1$zMJmm?U^V%r2)6AAfc1;HI9lxW5v|s~s3fFXAAGhlrbVh`VtZG5dun zHuoWUvp~}BSDyP|1iaqx2()SZdPTKPMwxM>c;@hSIrx?yb;JNa9&gAq(9}ux83qaM z5wp9s?lOOe-LH(zKK(y&{X_iH-+sOS8{;YA?eD&@ly~6mC?+53y?H#r&oYueySsXC zZ}>(8(QWoyiJ5;eNWUJ7evSX!s9W}Z(c_)E#2JY`gpGfl(AUsHpxC_Ew~NnRAfDrA zQa0HjeXH(!=SVjp9Np^r^YLr8RiN8Yhg<(>PpF=UP>aq^>V0j$ah2n zQL+|s|CfrYvb7i@muB>Ctf|G^&AM2Rb*Ctw7pCLJ;j}noGlg+qZZ<&^`vOPBxwVQ2 zK+To%+KyDAH4EA(Dze9ldN?X#sapi1pF|-RQd9rHWbCsIj&UEF+!ycn{P1qiFYXb> z_Y6?A7YtI5%29iWtftmw)Q;Rin&5R|0jUT1dfnjJMKyiW9;Di8R_EJIvt6y4>(d)q zQ^{%7T^yn%nBUD2$nWF4WStLeu+4D$|FA*m*sTrp2=l3!{I@8AtXVfNJ6Fu`OcCqY z*qqG_MTTV5G%ILTt&sbV_QE%^i3!C$eb5WwaUI0L*xgydNPMx5djcejXrj6ICl=34 z;(Ilk1&eVgQf0ie#KT}DG8j)KLMwgbgh_!fo0nf>wLY6R7r6D9XU83JRW4hh2w z#U=)trY}$FT7ZyHltftU~uiUQ{xP;Ou-=G$*xg>f0UmrfSrUt zf^UDDBrfjH>mSs(i`VPuQ@ms5dk*JcHvMnvq1ru4mtmt1-+w^`BLG&j(b3O+-!QU`*>rn5>|Aw3-FC7I}=hU@Ark0y*651Q)W^yh1dcHqQ=P)_d8R?5H-xDo2Pf2Ti|af4=1iH9F0t|@}n={bc*(_h5j zQf$ZHJ0us$kH2+%`QwjCIDoM8*8?~s*$v5#e7fpaJ@JkBdjuAH{{IC40RR8ua!}v` S00030{{sMAagM(+DgXfZzs1-9 diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap deleted file mode 100644 index fe7eb22..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap +++ /dev/null @@ -1 +0,0 @@ -./sgmii_ecp5_scck.rpt,syntax_constraint_check.rpt,Syntax Constraint Check Report diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/.cckTransfer b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/.cckTransfer deleted file mode 100644 index c8ef476e3afdcd10b3d97832b285a939d0788984..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 456 zcmV;(0XP01iwFP!0000015#C0RVXORFG)=yf5o@a)#_;GC@l6eLj-wxqbfz8gbMy>?(>W*ENrczeEr zu?%;@L;gkJY#akI%iW0 zqz5sBG8PwMq2Nu0)WqQg#64v#=~%~_42~mTGT-#Er)>{El*`&Xq@y(*Ub-8S^ISVF zDy5N--grx0q7AB)qSj)7!8Sv zv`geO208SqC8y?ERnUh_Rx*Rw>+MC~PEQ!e`{^FjdNWRKb*--dtqRI6ukOr#sHR5o y<t>Dk!q98E zLAG3HzKtu~{rGmMZXxwTYfE}2Ws@jVM|^Pz6@f{o(q~KC>f55hm7jKZ1B;jWQoI={ zsPJ7G2+tF*7}-^#x0N{aKI?oo*ls07`TqMGZT*Dyx-(uoQ>%_y-9+p^}~4N<3ha1#At(JlXM!<(qe}FtB=6RpNO_6{cS15Isk!?LoLgGIcQd?u|m)6SFzQf8WhoJGUQcT zukmJV@MmgN&WuTS&U%DEm{+oP&*Yfe-a{c%dS?55dSbohUVOE7uqKg1Hz8GbT-Lq8 zXlA8q`A4rP)(zvMneByZW5;$atCALVWB9JW*iJHiKC!mK=59SqbL(3+1OI%gR&|zu z|2M)=W+PKOUIYDEsT}Ob;?nkIa~Cc@E`QvijVnm6Tpo0J>f+ny-H_+VBV==+jFz^;l64IRg6U8cF1UxC z!GB_#RRy!G1VyNR?;>y0$A{wNdDvuU2(1? z+^dc%kIq!@dyOXMu9G;!gQbeG1oo>!-N%dK%Xs;{m5q7_#3>-Y=Z^SWP?lV@~G zl@@PR?KXC}Qn7-nEki=6rchg0Wo;wO+Co=Jp`i_F@_bbTcPvUY@?@v>bf4VRv^I>k zLuJLh*@a1;N7Z|FeeKFyixO={s^Ed8-g)E10bHGGR^?vX{3h!B!s)&9?6yM+gtU=1 zGE{R?%y|ZNXBo)vMHNU1OijLz1~Ux>py*7|j5zd=?77_IU@XiTVK&^*k*QAMeD+bm zny_W;Y+y#ynY5Pr??Jwt1E=o|KYlcE-%Wj@%v1=}Bl!IM3({iz22O<*524M=JUzS* z!Y6~avlZ8wuousmo0S^n=qHdWs0I>Df$)28qz$@AT}jru(HW}nz@wF9K!L`9Id#t! zlYU}1T06+!@SSlSzMst68ZGp)QBIA=1%~acYi$||#h}hIU?WHVi|HaYh{E$0uoob)J6t==R0!`gzyRs5YZErd08NzoE2lB|18nUBo5D+(jGz!sfCk#9bAvB^n4$00=_Q%}?l z_R<{m6vMYa(1ovg@q3OI#+b!Isz2>ikxadl53By=Ugh-n>}Sf%%;=jS1o~b0tUAgumMEeqlB@R|}Q{}e@S@rfEP4fCS zF)l2@kL2@qiQ^wBzCdL;x4Ux&eq3#r&}}&|3cI-FisbtWxa2zCbYU*5I0o}GUJK~# zqtNjbKT}`FRtK}B@Z78rly4VrhOtr9$v2AL%$TkZ*9}U zzP9AB)`DTH>eHxgcgg1TakWq(BX_1L{Dfq6P||kN#F3tI_vAyU*8?m1Q{NAlV{+bO z`^Lf4^;T2dAgLRot)C|)Ld%cR>AqoqnR;}!KDCMdlD@VhDfPQ0RDD##JH)^lO z5qhSH(Q4>!pBMB5gv@Ck?zKQjmc7WWoG#Z5! zKF80-ywNHun(i%8r5*temyPn8W0qeHOmqr_pV18Alcn$&4jA2sKiu|x`?C291+hu^ z?4*Ib+fUCjI!=F2T_lpla7xf;5LF*gUPfvN>CE~X65)sTmf1u#oHV`3#&gG1$}RV< zTq4&Q2NRt~VQtWTRN9Dq5E3UY>bARoG4VC(G2%C-NZPUH_~YLFXP#MGGiZI;6BjP? z_STQ4hUq4`6Ymj4i>xB~zy)RH5q$+-BE44o(gbbd6xL#dUz~)#LYB$a!T%|q}r+}Wbxj9p8~*c z=n0kpe@dT*iTNS8F0fYUCM;uadPo$1^E|9^-6= zImJA_3`XY^ibub>`iV}t+p&kIN@6bnz)D+mbA*A zuR7a8ST$2@x!>5b1j>^sV&_L%7aMc}vXFb}f%vyRyeRarg0d=xJQJFm1nn zwWBW0O6?AT%XOB$E3GB6qK=x$cCwEa)Xz0mFSvJTxb%}(&m+HmyS=6)Wa@WS)toeVtW zu(Q6>=nl^uIqUTH9Sq!a%HkBtgWqxGzmZq&V{QjEv#kYPXXCpM(K*xSSx+He2Rp-N zxmib1(e5cIJ}6&D(G06Qqa)v^bKp6#0MA(?h6Q+&jyzYp2e)fWIH%Fgo*|2Wy@$j` zD4V|*XbAmwCHMMhk>}#~kE6qHYW1awPTv&2gZ-jFjME>3T1f^q)}^-Xp~(a+O_Wtc zn|Xwake3G}VV?cCVR`+S$HF=|rVhXSP1s@b5N${s@np#T zER=2qgCSb-8-)Bti!K*}4eTJGuLN|Y#$Nl18a8r*?sEVRTco3L)i%YSHr)DIzX{dt z_u;rI)dqVwB2`Sr%R+@03)#*x6*?}zEE2lrt0L{IR<&HIvi!-7&i>Y|Eu(GqMOnSn zlmT=CiMM`_YmVGs4F}w=4MDMr;wtQ_v0@6Z)9}!_yg1^&NKluGetWO$k(+V#thR(% z>ziWesbU8bx*(+mX4}>35Tog zu!3iV{?^^w8IS>VJf#AV_v`K#%`E7<+rDT6*DIy&nd-F@@5oz5k*7~D444w4H_l{x z8ZY&nExk-m`y8`6E6H6Z)>Pz^*;1+Hxo;O6I<}U)S~%iP+8JE^)6PO()?9=B61zaC zxkr3+(}X>+UAM)iTQCgy_C0HA+Ok{5Q~16CUrlIkSBy4M&< zP+khi3s?fK`+IwY02zO9CJvmcf)nxv<&p(!xHx2!Jzi0cdT&={tG>v^V)FI#L?Im3 zDWxfEP*oo_k4B5@1z!d93rawO;z&&y8qwQLv5pp=S>dIZJVWXy;O4u-eLnqd`nSUq zpAV{~0@gCpU}8C~;U|Qm3&OMElo*40m1F^D>HB!aqM2iwRYiAF$O+LsUej45<>wjWFZMpb1^frcWf<)Ek!Zqv0JzolevVo*_caMJNjFsf; z1jbhx7(2{Ju!+&6a@6spJ733NyyjBADrq%Q=RaoS;^)4 zboTvyI?6SLJ2KnxP0nSLloZh|5 zLSx^Q*P4w>E|7jk(v98Aa#c3TW~Z`2!gA?T5Zh|E|DC6v9)QP#=Y07fU-&&)IC9)! znikC{sEJ04O*^_ue2UWNA-d1{F2u8?DA;oM{QGsAwY^U^*YZzUQ%oCDEx-i9H+l1@ zoUmv}M5i0bIy7|DZ_V9#(6!*IR7U2r)Hp4j(*F2{t-rlXFDO-dvUE1*(R+pV?*~M$ z*-zF{A;JwR#!NiE(`qKcY&Pij?WbAnH`W8!sxQ}KS1{Y<#53;|V8mV)%BJ1GPjO4_ zfr?;N=q?*f3sT-Z-E|>J<%0#!vw68*x^{u2>&o5XS$H1%@P>f-fOB*T&I8NX4|g+d z@N8?@UgH)EDx}k(L-)jsS3m9Wb)K#Co94NgievkT(I{VXeC(9(#UbIu*k{yb{;1ZR z0UV8JBO+aI)|`6tcA}WgCOpmJcdZgUapro-WCO3|a@%$LnY-@Gi-{ID2l6lf#a*Jb zX6isHlwN%rJrpiS?0gjDHHRu}k{1%xD|`A4pLm|!9hzHc3;V)9>gHM@Wrb!uKlj$b z@zdpSR%ARU0=l{vinjd<(2Gb#J#+~%G5=fm>u zTw=A8sVdvM!^|IXp+;HQuG=m8sXdRvIeSOG#YL9AVf8Cw(VpaLLc`noy6t^taZ>hs z%-p-I$ueyXiEJ}pIVeSWad=-$B|??KE9ctdny()mqTiC_IUPN#Apv}^3Qy37!E;EM z&#wmM6_+PYTkzwA-vrInGu_6`zLWhd;5vSkVO8>OKn$ZgKGEWPes)el?k`KD)&iCJ zdvUr4RY{Zg|Cdd2Ts>pBxd|Td`f; zwOlPt=PzORD&Lu1h2CYg63Ml^wa~{L%f9j1M0o!5@>)w9CFQcyH&>5My2|gi)O(Gh z!;sb0GIq+9mj2IcQ$k+atPx>DPNB1_4eXn*%D=m8?=DC{Dfhn4imySZwsLOe>gf@m z6D)W$&f6Duwb*W{R;6qxuzvYqBxMilFBk+_7l7Johf>FRKy{Yqcq9u;D(e z0s0 z+@a&oNtOtCFQEl>?(Xh+oPOmsy}-i&D%#?%^2Q?GM6X3W>Ix~pM6UcSN26Do#x!3~ zuenI=rILTt{M0?ha< z(j#Pg$T6lxWRP{awzUYr%dfv~HRrk07SiRMeJ~lx^(vUI;w*N-HRDJ=hT1~$H`!BZ z@wA+0ABMLYXb1CidYMj2)+$qSxjmz93i$qE;MqI4h>RDW#(P!-hz7BQR^#nw{ z(7*N|Fa5dgp!F*;>%qnN0QoXaoo8t*VQngx1El3DMyju9$B>T3nX!y|8@?4K(?X@5 z4Vm({^$2gKvvMBd%kaEXZXWk;w$bBB%WI2<4<-GqaV@EH-C}D@u_H=`PGDl}6>t91 zd@9Key9!~o#~Z#q?@VtCWnadmd)VL`TOL&f?Q_wHm>CR8@?VAAPN(%UDj6t)-N~~} zG~dJ`zBBqY*t^Pk;FTLzg0EuH_57>r(hKjySC(tuWL$Jw8!xA_AN|Nm#~EE*?LIN9 zY4?V~;q*3B;suQYnnY6ivu8Zw9?x1wm^^D=sV2#nXi;kAf9YHEl7j2nh9`wa+cM3{ z7R{@j_)*#31yYNs%PY5g_@frgQryfJQ^0(w$`1lv?%k#c%a|uslnO6%dH&p1;T(#y z>R=P(s&1V07fx9wBMFz?!TXm7bk}lmw4!%2GN2K$WPdSzxpHL{3;6KrV zGOF&g$OQk1d3^Wl7!|KhCnI&x%-zc#ps+kgwDx?6-Ho2n+wOys`N{}s%v(M6)~|s+ z#-5`t$uA1+v`WfuaS^sZeAK+nG_I&`U_Y8$zm&B|prFjXeLJ*TkD7k8=zDy4PvU)% z^?8q}n^8L=v2;T+L>7_^m70++ig0qyUfn6l5T}P!^`Vz$ytkz4$QZ8ExOxt)KBWcD=uOU0;+eZ$5z>dkiL<7@Ge6RiH!bOu=d6uJE!8+zr+l_l7GR zocM=BvD_Ik1BRi^qfMa9LaxsPo6nxxWeZDPHQlmhQ^xxl5-+uL^1viBC6&h>pSCa z{lw}^w=(1JyR?w5tY@}`k!*S@p3k|&w#sq})>M`Ic8TvGAVA*X(PxZy?EZ5Hwp473pGVBN?8FOezR@ZD{b(HAP^&XjtuU&qx*^9%?^BU6^;c|$#)P;LM#bKqbp z8lJTq`&h-Z;w%}|QS4uN_A(B@o9Ny2G@)3Nw!SZQx zmOU76LDa|)tYPMAL*kumc}w^5&{Q3f`waf)x3MZZ9GPD(32wX6#uM&dm`)ND zST4Gfu3yEET-m*uD!g#oliEOvO_P3jXbW;*Ba^xDVsXwG_l@qjc)OvG{7ZPZVypGS zMdCPf!~-9rZ6ryo=evh1Zv=+kLyMob6RhPm33G{&)bXu<9O!n_sJ2cPOU)lrQJGyY zQjgT39c3;W&`hIUn{T3BJ@uI|m*O)SPyLlEZFMR_2Z3!2`T9Gqd*oEAQ+H4~15(pT z&LoXdIS2VxU8xy(jIYMKblSnntX;=YOwM`k+1TFpYLsjW_V)PFRcYBqZ#HBWF{Qjy zj|&Ns?q{+XT+t6Qhnx-=H(V+w9lU$Kme?J%7*ns;SXF1YV;Q`1=JIowHvacFGy{{L>Nx)mLsDZ>C-{<{$zlx#7fg zUgXwJ7}*)jUFpVgl1D8EJ70_1>RcrXPFCKK8pu70e*sH4vv#E*@l*%!wSYdqc8aZ zn`R@tF$-6yM#kpfuBJ z=lLd$3dY=kZqeapSLm8E0UN^E_pNcQ1t8v#ve;cF=n;u>-De40T0 z`;sPfR(8@Xk7O|9hlfN)4fi)vHG=c3P@V78VXRAPIBrOty{_8GNz1Dia6Yld<9-s(JV~O*po|Dh%u~hWo9OIzqp{nI z?Nb<$RP^<{j`CO>IL>(z+WyN$B>kDO?;5UDeY}<}I8HW7qf>K-Vilu*gLs^bVrANd zR1L|+vHXDu* zb3|WAj`yzEY55YSG&4!Mh5Jgexl&HF$!$-+{2dcHFE3hq1Wb{BiqE?r{B3&fd65(M zia=f*(**-^7e)3C2!Yn>)rM^HkDD6j+xgYXH@;d}7+}G4xlBhVBdu95pE;W7r`wTC zz_KckW0T3Y$yqD-jlV>{g-~*tN?d{j&qwalABprM(8x`XqxVU5~|Mk54>v)?tinx!%-fQK3yCPL_^UdOe2`+wU zJAb0~a}h5ic|fnMvI&f^dyY@bBQJ9=jNveBpA{76enUKbzvh{I$Mva)9bx@%WQ?M> z&Ww7dEAP=ij-Q}qeWcC{#jtu<>oHWRSMQ|x4f}KG{I`fuyUI@w9IP^%M!vceg`3}@ zoV3Z0e|zDR(ZiDP<(~Anwn@bAF*4pRpS{5xsJ^b^?3Lcv`BLek8S)}V>_=pqgu7b*oJF&ar!t-v?p#r9(F&XP3&g}-BiuK@zk_W+@*q_lchK8rErLUD%J$V+t z@Jc+#X!%zC`MAJ#b)g2q6ejIB$*%@YY#BVAi&RrQh3Qt^GgO}*MteOG38GAM=T5%- zH7}z_CvwI5;e;r8Pim+`)RzmAXf9QEyo87(6$&|<*^|O1RUA3XeR)2u({oQ$Tdi`3 zou#+G&_KZ8iYtItD+{&v7L?18BQH|goVDs!=Is39`j)f*#lpmu6^&7wu(j8GI$=)W zPm?9=3sZM>BX$zALs>J{41(k`b_)4Tc-m(uM@cX4&`V`d2PElaY^7=$nm)n%+{5J2 z0Wl@tR^A@B>SAz~FiS2j=1kPGsjL15o5-FIu6Q0*ihO}yycjQNaSU8<^>x`E9GBts zqGh?9t)-w8Vpj_BdZFNQD{eWP?K;z_dAT(AyW2bOQ~4dJ%L=w9XA;?z_hjQHU36oO z9a5+4Q-MDK|8laN?X=y~ZsZoSwqb4Iq{|ch)?F{Q&yI#F48Gzw$$zcToRr$VBwP`b z%xOGZKZ>T;))+XXqOqDq&y~FcT79cv0xyc(kQa;x$IGEuwI4L+d zSwWa&foawV4gKPc|yb zaNB-Ic(X@4+^$t^F+f0ul~jGcA|p9EymBHrWY%xEIL0DIhM#m@l4;((%zmj1C5y9C zNpy0LZvJW<=Ho8GT~`0Al^)mz@{WlOk4ppFUu*8T;bLrxL~`AI(y;k>W?)I1f^4rs zO6bft`lt0JY22JI_$M1SUuN;zyF`xNwYe$BP2d*fwRe$}{~>Ao<$jn|Xlqk~@zbzg ztYtR>bLRjsTIHkYQicYX`e44T@-IEZ^HQh#i{-aeR2NnZho8lue!4ihoP+NXFd8hE zv|3V#T`B(XBZC#>Gx=iAR`HHOa#3PwLs>bj=R*5bp3<(?2Kg#V(pS^*BKP{0r(3Ma zHp2q#p~`PX+n*=bid--<3IlKI_>NMO&Avk&F)T#&G7K3sGo=`_thpmfyF33pKTKg; zZgY^T+pF?6t=*=}_pOFDy`opMJ63wTOUtkZJ^oiiJ4UNc8}syT+be||JAr%O)5}6f zzif54O)2k1Q|9hj3|Tw8qCA(onW4P9o+L6A$M5uNH8ON@`Md3^Zbf;et}c{rXTI4f zZ7)2dWA;h!(;e9N&)eHX?;kDdHEVj7AVF;YiqzPZ^j(y;Dy zF4t*xsJ8>hcGb10G%a~(G!s>Ghx}v~2k4=Dq5xZC)KkH$m#OA)_~wJ()e( zKMtSI_YYKnl}!nM*n$>rD?abg^{{za$@UTERry}48|U8Mo%ehDjTLxr38GLW|Bg!m zN9kP`H!uLw9D3HanJY5#ELJn4Lr7#>NE-(Z%W461nGu_d&)P1SeQmXI9kbcyTC;6P zI8GREM;@Ez=Yv7UV`%)|U9Mm<@%(&x7&OhBRGc215A)84lIofgXy8Ld~bQu zw4-^FV^GSSEj|!%DuAU8gOUPR+CQ*J5n^c{V38uk>f`o?fM*W$f@2-&g&6BlFN9e9 z-QCFDWKeo>SVSpBtlZ*@lV&RP=$q$Ps#T3s+|gQnxGERXjgI8-@phB}~SHQTI6jOTbG2gC^=DS_l7K>)^j>4eG^2h4YObSRno6j~@lc|5(L=D9=6} ziaPQ7GnQ@SH;A?l3_)-@PCVvcFJTyLImnTg2ohTZ9d@q^>6%kSdugrjnC%VBWY{5RBun7^ZP za~^T|fEmFsz*!w|8CWFXGhjN}h`9{kURW?5R34^{fXm#SM_fMO#R2dS>-`0IpErL2 zmOJ1waQc2|47kK!HKzZU#`K6Z=7ekP0zZ8}G=>BJqA_CNV;Um_?t}oRFBR@DerW9a zyT-2n(%2P|#u&YT#xut?h6DeiF=F6j8Y2Ye%x`W6Mlah7y10)RtjrS`6zL?75yILL z!X6o<9Hz_}S+q8`jLF5p=ExM`w6fH)-ll4cZ3{%`OUf*fXfOGc$JO3Ie+$IT+?>Gd zWcNNeM%RJ_hrPrqPoC|$vLXqN!)Kf2e?^0#})3o%?UOhQdkzocg=1Q~zb_R0OtGIO(6^z`xiUG4L^4BLqI_pJ#s8 zc;;Uk&mhwHq<@A3|DrKs;A0vi1U~f7N7+#@3~>C~Ke8iuDAI<}|1mp)Cl4?fl)g{< zWOk&0(Fz2SOGm!E`#kYEA3>N+;Lt}}{~7w2)`+0{;1{>l{VZWW`QPVY({Zhv{)^U42($+G1JM>pqnVD2GN?RP2I0{m;1g8^2Qz`E45&Du9B|C$P_N)}?f->$uC8aI)iCll>R1$q;DGjfmiI=p(KF41G*%M9|%c2%dUe>(u|Ebt(d_6%Z2~DE%C} zBc%S5;6DT9FASuamW9xgSvmKSZ4$SuSn%o zuNik0?dddA&7A_n+6=+vP*c$){-m3wC0MCUgqedN6OK=h4+dWM9r!-Mmrm18s}vLG z!wGH{Mag9SgW!+pO54#!lLiE*BA*C9mB>PR$vSsmMLF|@5{&)9j5=vSIZ5oQ%L-qd z!b_zWDk<}+zS;3%o4+L{Ehh;M+&z8^ny&u}ny!d~X3I%}A3`5dMH}`f=p(8ihCWGf z0Gj?dRp|c+t-W6-034iaAN@(Hw45aPA@q^fe}+D$H6rMf1P7phiIC!ivXVW9m&19%cBeSo|{I;4M0WPy4a2qq6AMUcpLLw>nR{s4w2 zvi|^vGwE-@vIkd5xOV~~eHs`B=nR6wK*1m|>0^VxX$yv>c|$=k5GWW%`tu>s&+1cm zV>kC{AU~NoaD4v}dSBmvLZkNrS=9{KChO{Xtd&m@EwhSWO%p%;;{OaW#iZ z6z5Kyfv+=8(dQjpbCOk)+8@{iXq%#o6pFwbCg%mXe5K68J2v_VW&vTx3ZF@ORy zi&Rdzm#6Y}+a+}L+V>)({4()@m80JWAMS-qRwgHz5Pn9whN>fL(rX+0489fHvs}-XBeZ{(?&u{vv%BIe#i4_nH+COyJw-7ln2Jb5djKPoOqI=#)7n=E;mNu+5 zjuatt#y>#vBMS2RHflyuIbBzmN4m$B<(=!6IOI(IABY}EvEJuSFppN$x^$iJjBkp? z^rfb$f$Scf{*}+yb-We}JaBC*m{B;TZY!sYC=BiF-z9z%MuetY{TFN_xYTKnE z=Q?b@vyW~mHEw3%))IOP$8Rw@I2^r5+V2`QWAYv(8i$7<05}5vbfl;t7&I6-21m!2 zE&w>q{-{uZ@nD_cza8TMI#g1BSg_b5d2sO2X(n&123|=3mUOG6**N{?jhHw)e&Dxf zkoyhzAF!FZj6(f)cdNR6`CXE>I+iFrw?9p=E_>7BJ>hx7)bshxDJB!cQtqJFf<&rl z9MNQ-c2*pOYuWoZb&KMQL(isZN2{1MQ-O&xxu0`-{YGs}UU+dCQwd(m=){BRAQ#F!%JZ2<6HKp+F)K^75EP#!cR{%S>injOE_%)z@4{u+TQpm$3^K(h!@7KgY?*sRchP#Zjq6DPs<_4~hqEB6DD0&wi` zt_{3%bzIBfqoW<1;14Q+-Gv5&V0efUmw_IeqvxH+49}m>CD*5*ywCjo{tBG=$~`O^ zjp6Lv${InDL1%5bn1GXZDXd?SMLnn^od3G)myo;@@BKqGKWG?VNUc5ium=2zBRqxx zKKFrzwnS>A2!}#mYB5jB!_Z-BBJr0OkSazO1)pFJskNHAQm@P?2$s!!L+_>6!ZTds zK|h;qd|omdq>rf_U-f(@$i?9+deL^Fo^#2>`YyQRcGOETmWLfZ9L{|~W;c3)1PT7x z1Gqf+4Itxzq63CMg)oOgaqQ==`(Ut_D6Wm0h3{tck&7vjc&B= zi~GfZpx$n{0fGJ~=*TnmhcR|)FoyB7w`c+eY`z2-FeIoyjGaXl(h3-MO6?B@e4TP= zz+)2n3jp7@zeSzh`<;V*=k%+D{Vn?ckkHj~u!e30?4va(BU*#<|8))W*uC&IbU|8v zuHjb+himv>B#;Bo_CCPt(eIV@C~HSlq0B4B`3w6LaNwsYzD!%oXB&FIu;h==3ko?|MpbnR=Y$qxiR%3t z|GFCY`^{uOs#&POqyRVpR^plfB)NDn(!-k^Fd2XfOg(@Tf2M-{RSPrc4pncqzcRa#(FfwWc!JBS9Jhgw1@F^;f@hFo?QnL&n zo1Bc1aCjiXx5)S99gpz;1^yty{~I2N@cZz`BK!e-|9{m!m-H{%0}&n$e>}qf7x-h^ z{~4a+u-yVL!yd%O1o432qi7SH5P*nDjmwCpP`WreyMe+h(~n>d#KJ?cgBbWfg2{CP zQQPQ86B*D651KYk3XaHhXOsEkEh!^tqqZx|+D-<+Evbv+u%U7otN#tj@DR9o{T;IN zU}WPkLjsok-?VgY`?Ho9{=i-Cu)+)v`QvzLP;t@-M)z4PnLpj-Y?U%L&{w*au*_wC z+~s~AFb;Tk8)!iHOP8z1{1uPtrNUYZHZ{U;dgE#q76VR`Xm1%AB=Z08|;D{RN0`~;xO+3 z@OUuP-@nl!hvnpcJJZ?KSZ9c(SQrq;Mf0Bf&1piqyA@7%Gr?!Ke!xKK(fQ(n3zPF@ zRBXz8Ck)gD(C2UV;Y4U3pkvJi_z%F(adKjC@kBat;b5`%$g*5T_-&2& z%XR0^5C=SAxI|=zfSa;_Tl0Y&n%h4C3iLC9={kR~H&h#nFuR9>VFBJyf3YT(lgCH4 z6K-=R_>>_)CO8`X4>bC}qsb8HQ{KkKhRwNfhLRytq&_~HNVYh;1e?!jU~f#1(@>3 zYAIYI!b)kEY+v``aBKkm0T%og{1+_XV)#caa3?_9CU}Pxt{BKW02Q7r9xfM=#o%E- zAOP$Q>O)0fF>oJXvHg)sxNe7V`|<(n{Q-{t&v1PEW0Z%E4B#zpLd)>Sj)9Ar0-vht zSStr=`Ulw=7;iNVt8_C#5)pVX*>-qUne2y;Ck+S|4}ikJ0p8=mhzh?jd1$~m*gHTz z7(s~|Fgye}N2$;zahN|iY8(y*q|{LX{(l4mk4O3c2@Jcp`_z7y7z)3$!v}nUT%!b) zjt&G9zfCQf(HOQ7T|10Fi-dY2p07})IcF>{Z(!BPW(;rC;`>J<(XpAc{a*3y;y4VH zK!k&rVf>+*r~$BqVBk!^MM6c!tE)CXu02Uc&A0Yt*nKso+! zS`iFfus{hgQg2|S95^y*`xh)R{S{}1wlHU){N5l|)8!*(j>A?-g*;&p_t}{rr_z0t zoNkILV?!%XEd?V16hR8}Mhy<|?n^_Uwn9I^TA-xk0?&rvdj-h*`A@)U@O}fXDr(zC zSe0`_tyqnZny5KjxCljaE=P_VMi}dV%$n zRSj7ZE`{~`wC^|w(7#Z;Dz&~~@IK{9`g+Qes!YK6d1*q%0 zyWbALK#-O+{>=Z5kp7ak9U@U6Li(8i9wGfDb2~ti1|dW`%m9yY{*t&I;&36t`I!P9 zAsGQyibtFR92RuUhOY(N86GAKfOaqUQ$N-%J{;Ja%M627+16z*efdHcz z)f;*L!l>B0wNsbknGxiHkb{;cJZpgK1-x7c5C;XrGXwtwph^;qIB@;pdJBPcD+KH( zm84*ActQ!*_D1-i1nLdMZUl|~E(nlH`a=GyM(X2DAx2dTOsjmK;W z&kMmue?u@j=|~~Kb{yH#1&-kIH-wYJKV9g&`}%q=t>7S3Ls_!5cC`g%q<8LsU}*Ei=hY- zDRiYi1@9lcriao4nONs^xS6&t#4T}zO%K$RUCc!gwi@Nz7;L=zsHfqBIIk~Ga=1o?wFJi_K{ zLQ8_-ff)Xzs-pQ4%(KF>d9#_KqC&9!OrJRYkM})4#dN{%K>Kf!;E&w^G5a-ExERtu ziqSq01C&$$E`}B;S|5aEz-GAkemWA82R3^l9$cH+mTNW5YK2qGez)x1N=5AUC=qR! zh3)xA5Yw8TuZ?^mer6t#agvG`lA501>Ecmrc?U*P*AZ_|q-tclST*rTidAGk_QPwr z2Mw5xpr>>X)Tr4wnLa-o^Ys~;?4*_p4#(ZuljyLemw9-jVSQukO3carDq!E_XPpgT8r>;5OZ!xlGVBDf^Ja1sV90N=X z&YT^o+n>ZbF7|?u92F`xTkyWz`*688Xz-TzRHn7tKbxpF?8L7xs%Pz$iJ&u28IoQo zv^IrMwK3%m>ocF@2{$MY;sLL32duzAQ+ZMCV?@FCW{AeFg;AhLiK1?bVS#UT1zKA^ zPmSBy@4DOm+&TG!Zke{r{+l|Wm#7Is4R8fs0>VpYc8F5#{WSaKMi-K>q(7Fq9bF!o z-rYyeqI&$3?sswYM#+tlXQ>Ddb8mQiALui|`3{&BcgCB|o6x3noNCOGoRkK?NqlMV zrcn9(>(kfmN^9oZN*xm%=N9grIn{+19)4R(rdRH%UhaA~>l!}euXqM#2jJ!a(DP#(@L?5`*PKdoore_Kz}!6XmhH;&fxS2;)P`5)zULIB5c zbdLZYpZ=%)*{Jqs9X?_fb4S^B>bbKxG`bGHf8tD*lz` z#|f7YROGnjunU_HCoh0!ead0sL6Lud*2fUrfUH?71ib3=>CGfz-Y%`%-g04Np1QmZ z-AEuo`I-3tq#V4I+z*%Zqeb&yBqhZBS4qcRldcZ!14SDQb}1cuXBF$H%WqX;#Qdlh z*D-}#289>b%H9{n>E7G6nyHZ@qpeB7dFh{pfx_XU@s%yJcEwiIYU4qUGZ>9Pp5S7m zU#IRDD-^D_1=?T?3Qxr^S9`v?;lZTs8>=#GFCUk|dxbeabbP1Cm@{p|7v_@xJ zZft+wOZ9yvAnPqo*>mY30s8G`lO7L^_^C796U6vY9ir#hJmmckYIO zoc~qBw_rL>P482JYZ7fjM9zsx>%KMqLwYssej0R`G^zYI&(u{b-KxahNwu0UuUzX~ z&h|VJVc@R53obAy6dMPL%{B^K3jHejvS%fvAhB)5sAASwxV43RFWImJ_-`UKzKOr) z(DpvX8!0`4`pEg#!unatE}{-oV~Kn<)Oe0k^M{`aV>2mbJ7*OFNjf|nEqV__ODga& zSYTW%xxs{76)lwVRRTGzR*0yAqhiH{=*DcdhwL+zszMGi1I|a357V$-)_t@~^SyOZX2ov* ze#=sKD9$Ugv$Zxx0tYFaX$GD1oQ+BlM&)A?KMAS2_q(kkEA!gxn`IvF>_qkou))(7 zpCI=+O6mDX#%>0YyeQ0GG!}2_@Q@RZ29J>Rjd3ESlVu6$>d`djl-iEiX0j+oO&?6? z17B{grNTvpe7aN1!-wB^KShZnbijlYSN39Mp4NjrCbia8{#?(SpW8e=)&uE8OAEg* ziPBKypQfpQDn@I`Ma6*CNNuR;qMh8~8#Ak$V>%VYSBw5>UREhJC!QCoX8*GE%l3`6 z4;K!tshsVOQyE<~cQm|#N!@0KY z;^%L>12jtnEKfBY^cl{{URQAvqTwLwHcU)ftkL6Y-)_>_tQ~4294!^Feuk(i7N1}=apU$uZ3(cQpobm=EN_F-4t;#y9&3CltJ^I(T zwpe5+%y!G z<2mfo|8~wFs8F+26WX|7K#GF|$wQzoAf&|gJ)1{dpiWXrV?ozq;3+o!{m&{t`#xt&_Q9dR0I@YhL2zhXc$Q113cnY18>-RKikDxXQWuM3Jj@ znb1z7)jJegmRBfKkDwn1(Yw7C?DJ=qPPW(bFLSaWe24?56M*0Eq!8Pt`V4C6!%^jc z$c}&QS@lnH-XxgdQk8a}4GfWpFsX4-jgThy2GOfVL`IQEO%9jyKquxWTE2QlU00L7 zr`PC$8Z8gO%$)p#nfMFbT6SrS$r{xdppQ|q5j z4rgS-pg^ed5@;6nMHC5idL%*u5W76DWlyFYNMm+A+2W|Pb0&%(Yn2a0)=@TtP0MH{ zta;S9%S=HT2h+{(FAAO~~c0e!10AH9$KiZq5EEt`_+zO|e&=?jmOo4rEJ?AE>khZ8)N+f~9NeUYdxAhHV~~EV0_@|US@*>X zL=jtDp3vut3q~&W%vMHnwZ8A}J@7}rD7Sm$DxQPg4MIqw=l@|a(X6LSQ(V0yz%EZ=6{9;0 z5KYNGtI_7{OQ!SvnOx_q>*nxy9&v9GkPZ$$iLMj0BI?Utrx$NC4mwNTc}}7w3g3Bl z=|)sUcM-!$Dc_-%j8C{og|fbK^H|QWibrS@E-d*gIRXTX_V47%n&Z#&oG%ZzTd!4Y zru2pB7=mC!$M2wT(5Fb#NG&5C@Fl8b))Gu*@<81kU#E@syr4iUS2fnpd#G1IiU3t@6ZDBb>f*KjyWn9|re*Cq^~ zC+k);Zx?q{(&9(>hu#sOplB=-v)$-jt&3Fs(j=StRWVUEft=8l)c({PVSEA#H?eiM z&xpt@7#j*Ftrxvxny>PyLA1!;ck{gAF#>19*`Wve zKzd@GdN#5>e-75W=z4)YGvA%7%|)Z)+GH21E=)ior=Xh~W#E7Z{dl}`=$JRoop1D< zkYGSO=v)1?{Ie`$gJ3z@2TGdz*34orL`8m8gxk#nLQS+!XwfK7<%cC;9ODeqYy7mHcbIwHVu8+%#F> zUB3uvA9c-k!G55vu5y}Q@;%twP~}%ePz54eu^UAY^@WATseFV80p9^u1kxK1wB2QQ zT9YG??D}Gb3hEOJiSGtO#R^j#DFh*p5@3lkybYGlw&ZxL>I;u20q;*LqXe1>=)S57&zS(>ylCu@9N zy;{-b#(^6K`zGWOiJMW9Fllz@!M=<32aRMaIXV zK#YPQ~smL2eAg756hL~IK~pkMU_$3$sP^- zf3ui;i&k(EfXTcu(SQR=B@IhSovXR>K4+Z8c1SlC7}LXByKwaikGB!`c7K!7nu-X; zGJ>8X_j#A2ein!I9rbKeFGOx2x?+Q>oacPRa*hxU@4DE>gdOMoA3<#wxJ5sj=zw7I zi!zCOP_U4h;T2vSk-EYK@cHA=B2b8drO^ygWWXj2*QEwP{Bz#P^FQ>O$V7 zWR`)iYIMKr6r4H5c6b^b-=(yq@jwz;{>>ckgZ;u7y8K2fm@(b0(%p_>DGs^Y-2;~r zefJ4j)fJEXNr76Tz=~B1l2_rb;&2qNZ=6uMv(&ejJX-7&wj!oyW-;(Y4dgeMBJ;QKqk z4;Y~9Uo54M2= z1MK8hB!b7^e?eU~uS-@ax3x^h08V7S#3`Rdl{lD_)xzHl0Z%KmzM;Z;_x5KX@#Fpr ztb!tbQY@kxNll(^fm4mbA`jX1?d!FHo2d8p#mS}lZDU{eW?LiUk$`F*A@-J^ziK>qbx(h+&I3rVessG!V({u5 zif50W3)MGGTnjpEvymXfFg4i8f>p4wsU?lBuj{fdT&i|8!B%$lQBVp*qo@#V-b>QU zhZ#A{ntr{`A)CWDanhe7>SqW77(XKFhk$ozkyZttg>;fn%NQmPRr`)k&el$Zs3P*9 zOf6FG%uVvIB0y1E^14tB%*n^G;4;FB>PPhn@c1 zyB|>t-y(*H$1g!(>YRrcGV;oi=Zy}=yKGbvIVbd9i{VyEdSc=}_toO%aKDpTfwB?V z$Hw!t>Gl5H>cg?hRSh@z-Ld+v_!gKPe%(2PDLhcvP5y z0usZxm&&FG3C15vsJ{(bW3Qc@A$FArF@H??CIERCGhUH$6$W8L|}oN^PiC*X;>E6%+$^v zGx|sG9s16i?Ozh@=p9g_gTP^nty{!{Wb&5}6WQxy>ed{rRX18p{WMA3rV}BM zIkR#cuA)3XWcQ9eQ)asNDdpCVg7yA~Mu5X5A}kyD%$xJN>LLoQ&8?SsTgGmfG|jyE zLV%WMrPmqR{ERIF#qW7NgfvxZ2NAMGK!Vsq7WI%xA z3lq?y7#n^5hCKT19`9BJi+Exhq`Pi>&~Q=p9k_hN>!rx8T_yZWr(s(BMP6dd!nwe1-Tumlxqr3JPL2m^Y1QlJt|$ zY)w}xWLK_PBRD8uc|$rJQiWq8RIKyS=s(lfn%N1A>S_X6h(9KO6M$fk;LM`1|K-^8 zONSsA`)nJ5SNC_f`wKH|c<<8QFf$MZ76Rwg7s;C(IQZ4Nq|WY}#&VzKt-R$CTe&9Z zC8FyG&b?uc5|{`lL{ON4MmLLN+R7U%vHpT~6o3L1z=mh`^3$=mGGNg@9ZjsXn}25} zpo4&PKAHQzcN%E(7}Ds`8y2Zy;F!q`icP;Ff40BaX-Kt*!6jMf;JotuD*A)#z5Ab@ znF#NGl6vN0X0Z!V`{Wr@Z0p6BmZco49LNlqtSSp)&mF)MhIZU|!pi+d4oQyh@236Y z3EOXuV}Dk{3b3821}h=W1p`YuF6Y@x8A&%I_*EGN`n&!9*YJuPDC`6vluZ;m>!5UOb3dLgT+Lj_psW zFX02(NC`L!CJ)c1+Pcd!^Nyl^W`Y;^yl4aVCUZU7bHflyD|W(u_a0UJYQ)9xnSMSdcg^fiPgVN;L&pjJ)<`-f71Zgy-#N{X=X0|7Oi1NKkBC>{T|C$+{7|B2kJTCx&V4+Rju!cM>g#`P`g6X7ZW#3 zG<&le2z*T-E3??-4$hs?bzKGpHaDME2sbeuI6KD?7XU#;*V*@= zB9gr79{DS`@)y!TP$BU>sMyfB`!lFuMf4)Uyz^~Zo7AC6CftT(5hEWB2b!3p6aPMj z#C@`v+4_aLrFg>LOV+KSvRdE<83K!4WnS!_e8iJ74=gq#14-2OCz)_Y3#)sXFW!u8 zHDSng6Dm@T3d^xYsHuE7BAYi>Y<%jc^~o6C@C4~qOCONe2;3U9->Cjg-jMD}7&vyS zz_fh2OOig-@1a13=W%lGEsZ*3V1$2grW#5fIx8kxAC#cDBFNp)E~+B#B}Y=8<(^GT zLQ}EiEVsGooG7>{{%AeGF&>ZC!$-|W$1Ad=rpA!o(`IGKZa)&^iQlb#Qv_~DzNahs znY@Z1qt={9vgA#L6st@n^-m-HGHbrwV}8t$J#9ioX3Y$<5q3~ESyXKC88~3PoX?vaB0!Pdx-QI6BDfGgBX>`zE1`a{Jj0fC!__~&smOe< zs05NSZ|W_4_Mk;7eZYyAKq{C|oDX#6?>=M$2`S*ZzD|)=y1KeOvrd;zBHq)wUXeN& zs$aT+{`{OGGxp~?jGsin`DXt+BENhD1>W%{pd|#GY8*loHlB9Nk`yoDC?pT<*@!hp z^MMK^%d2kn3zW$%DN&?1ALHB`?or4$=dwU4I%XgU$70yGKtWeXkQ0Oq9o32B+fAga zKYdzZd}Syn0by-~@xZ-Ty394KxJt-TZ>ul_BYFNds`%44Sh0jZsFN}_lX6JHH0H~7 z9KJ8d)o2!UT{gXj->X<-Zl(H!MFIzf$C050JUn0Y6f)%@o`)RnT@;pB36!#=84+{;>)gTn1#esgz`t-oXrjFosE^$oP?dt z_yuEKH2t52Qxvxsm0$}H<`fu+*@183uMWhDI0Q>5WD*p}$Pcxzee9Nt)ig$46#4sd z(R~KKn~dPeiE|vuLiOv^|tfFwgO(7NHe{{GxvSY!Ozl(NOpgj z5qQb+3=y=n!(z`MN{JPqNXtM8ee_;C3e9LE5iZ5Q8#)95^X11n5y(!Dz{bzV)h)iG zp_RlNvaT-@z9qx?l{0+CjU4Olt*B2RXFva{Rn9k%8)4M?{hL}%}WU4Keb#ms!Ithf9SiF~V@3SC7Nf+U?$ zntvNA0jW4=*hI6&k4G8=qFPzN>NR^Lbt=LSL>(@%VfAB*Ag1TD=zY?J$$}JY zAmRes-Jl!adFQ-_EXKGDy+tQA*k4RMQn;)LUfrI=uknj+y=&uZ9b5y;ijuYxxwcbd zP;@#WYcZ8i5|=@wUm(Msuz}Z9+uV0$eN?eOmvw7B-t<{H4?EaHQ7KN#xX9F5PobNEP}IH2*9`YBpoY5dc`@9`zDc)+{e0T!?8Tjk{yh0=i`gATYG%%b*358yCv zu8}B*8ZD<@>ueod#9KRnX5-}&NfksS$+sWVktk_pM4{V|ez0tNEP}ol&@;d*`4Dr zB_~(vU%`cSCk_3oHB}v_%Cnv<$vRszGbvg0D}(iCVdN65ARKgUPb7Np)r^{D3z+Ft zbktiWJnqOv0Xv~@y?SmUfkP4=^TVv2=y}D6P-XE#<#_ha>Drg0ZX3@vE#KuXI4&w^ znltXdH!=&(6QNjW4W3}&(2#UeBdg;eoO2-GTx-s`2Bl$TR=BOvh_HnE-&qlKC|SQb zJUs6B;q3a_v2kdtB%-s>qOB`5%~;Srtfn;1ns4TsBbQ992V^5Bn3la;za#6xcre8` z`-EZ$Ch?rX#EepqF5h3dBYuX(=LL^(BRv5Ix^{AL^D2Sdnk@Rr15e zc^{K~Kl7D$A!AdBk%*(Y3VGL^ni7>&#_7`09x$@Mdo5n;uJMEy#?~RlERGM^0S$$A z?-gO`=oHL*9o3z_V8IXcNkb?o?7`RT!PnUFCTfsyPAxP}7_1sAMPfRgMK>1uFI24# zg~9iqs}|K$VICA-F!1v`<^A0CEkkVB#j#dy4s~sM^qCF54KGJ)t%vyZMAyQsV7Ju{ zwD8H(N<=8)me1c5qpk(46htf_UDm6;tEYsCUltA^W~ED3L>lAG4TpNZcN1LyG|iIS z-_vA%w8QY(i(SqDQazy&5M-Buo-oV*(5FE7W$d0$2GBJ_Sjm4`12D^-dw{d;G;`?$ z8X@z-^wx=J0KIMjVFk4H7itm%K=OFUk2Wzr|Bf2f(*GwlR&XVf1GsYxG?1GX7q^FA z`BoOF=LKpap?{kK#BgwOx5v+3MnLAH2K2v80g~kI@ElA9H_wI!TF3v-RH*O$|53T^ z75TlkE)HDlx2y`(j=leF(r{`fxJZvRPzs(QpCEsJBYSiCxOB~fTaI&vEP#EPe;!OqA_oTxAQ+^3(>wj5lud|R$I%rGqVe=S#g9S4-Ft-CKN1-)~go_D_Fk9v2I z=xpsIgXHn^f#_cr03^i#9c?9K%WwK$-&Dj6Ge}GP&m=P4{w0aAKN9YN8l&4C_~)eb z?>i9tZ+Bqqk0kt`=>MFkev3X3^smwXNX-9%4pfTr|6L1!O3_C$Hb8Pj z5mu6tz^o{ywJ<~{N#6h(GP9(af$}=q)yE@PD*n@T_uj3?sGg&L`GkG$q+e|7BIQe@dFCWi6)z`<##e#^=ZZrTc5( zeZB$x=R|q{wA1T%pisk2fAwQ>5@SGG=}o07(BDHz#Q&|%#Dk}y^zD63;~C>5GflZX zLTzJxU-`vp>pE$<2h`-?8CJ4$Fm_fpG86JQ=QiROOmqnKzx)mNrfl^m2WSBfwEQ;f zAIt&o-%a*+URRC_cy#6`2RZ=Ium1=Nb|n9ft)n+7`QYNr8^#&{6$;(|1ltMrApZ^) zD3Apbox#$41M2|Hw(p-{=|cZK>}^RlP@;bWdmk-Ms_CC#CBc5<-_4fmrn^1ZRNcU) z0<#_dCs?|ue+}CUzAL&9F8I8GT?1gJ{}DFvU&8`Dm>~d9^c_}&N_815y{i7dZ7cr& z=;pYAumw>DU$NibV88uuuz`@}S8UoFY})?_`=&7LCr>(V zusi-6Y@mJ4uk$vz!8Z7Bu)F?@?Qnzb@ZVqqjdOmT_x&5}`~M9#5C#2;oqB_v`k!F; z{YAdlZm`$>H`uptjIkAWvazxk4|cJ!7E1b~vW?j&&@jVwB5|nrjWGa8{cwlBd1Gvl zBUFWk#;&sjMe+L^V+pD<<{x-4Ye4zJkpd;m%KgC0%S?oZ9Gx#Bu--pdCs30^dEBqx z?MCL+oUi@pU`aM@Zvo96o=N4Mc02v}WfUJ!g&8wM0%z3w3fFTHnZrf%y&4xm+=TD` zlW6=?`|HcLbIz%2r|X0BxxJ7s^Kab9udG*=Cwmq^jtD&!DEnHG7ybse|QXjqD#Dk=8oE>I9FF_Pf zaDUFz9(t?POJ9t3UpD6sM3M_7p3zIDkd)9GXr*H2(QC@tq$gHsm{bFF$uA^N?P3(JD85%E*tLHr6+nwV~WtpkhQY zsAC@XpoUC;e{F2Cg+B>?r+aMJ$E|%Kskb0OTt%BakBgiEhuv`hBUGBV&{#8aLClm;f2J_XiTNAHKF`%_>Y9zcEh=YG8OhfF6dXG*(BVE2!y)GQkhg%63ZJF0Pf>(Xx~lVD z+<45%%IjwyJkDIL7QAsIa%mSfL3^UYngK(bQmW~dS*^>u!J3s>Gkxh{OKZifX!QIX`?hOqi$@hcD>yCVh4wu@oFV=lPz*v?xFKug1gbxJCn=sgrX2p5MDZ@uWU^z+68Sfs|x?KPaPOsRAg=m48B?mxw1Yv8w7QyGao@HTRbI+ql|V4nomP%BPG@hVLeJS zK+fsI*4wsR8q9rH`nin{bh7Qd6rMPSYl&ni?Httrj}H5pa!(NNIuX(}q8}mG-bK*X z)sd-6#OlTNi-my^>qe1h>6ZKtUN7DE+T+9vCHo%I!c|3ujW`bSft zSCi=_84Kv?!KHM>AbfS|ghU6F9h}4?i6@TQSyortgR{vo=diu-3VQrT%_E2ljqSX` zuNmIQ0WB2R0+s zF+&2`7t)G4HavzoO9Zl%igoR3EN6uE)i!4V{`PB`AKk zBvLrMaEvl}ti!kVb)Mf(G3rRIh(-Z-i=Rv>k8XpY*i5t{0!uxKZzIG>1T+70o^9&^ z6Kv&~>|=d{EPaQN5hCW2w(JtvF6)|O-PBrP<5{LY^oeSacj@eVqK{vF3$tM}xof?} z{d4$ZuM`ZYC{ESi_<4C?$i}j{D)r8O#Pap53a$dpmU0Q?bG#yV5%^Z^!pEJIwJ#ri zzkA50^>a@Fl%`9oarqus{PoQTa!GoLo{qw0D~sEM27g%3>qQncufBzk&xftYpHYOd z7&yU~qZU~Izz`l?>d7WwO-o$n(v|nG&H+G9qXF@s5 zb;Plt(iUtRYxptFgj5#V+E%4&!W-5h6GTvrm7k16AEUDrvWSgtL!NGq4cap84bI9k zBxtA!^LooBEoN3Jj`4VyAOsdcR5LKurB!v#!nw|eUP*27?v+TqiiK-?uJ0KfW;Og$ z+PsTmS%e>#WkUW!fIKTLiOsS}?Tx_U$zD!l@__=stsV0;{spKSD7aT8$xss=l^YqZ zjB6S)O=JsGF}AJoH;1u2{C2D*_zKZ63V1r~_I6nuzLc$_h^??ll9knx z6S0WJqD!_2&gzX+Cs)Dmo*?TfvtTcfRrv7;C~(06APg24A;_=}6iXHYBM*AG;H<1m z+sn9imk{<3SZryz{6H4;y?uK}*0#tBU<$`eKVN$Sw?_dGBn!a^5`}}sa8^ff`!ZHX zrMu$$zX=ldElPJw|DgYUblJ`5vhSmh-T4M<0^q%A6&pPj`1ir2vJI^^!b zY)d3uG5w{hA(({)H!LgwkKeWM2mQl%BAD&H-;PHp1&;?9X^F~KuzSEx(+MCxHaJ(c zcEE(i!>_1p#yNkxcn-b9L$8WkaZZbO=cU{CZw%jgSboF9ay9_KuWz2A=yn0%Q~;N{ zy%xF_7YP`%7Vx(TmsTQ?mOU6Iv(px$x?UGvk!?wsz0rhU`vr&trJO|o9v2{59q?A5 zb~c*-2RvTMZK6?_A9zTOe`NcNvgriGa6Ejkf1jO2M*xja_4)2B@f65*^zy+o@wJ-w zVed2b1^2V4&@d;G)PvOsHjx!XM3bD%W%=@blaUE)dm-I9iZ>+b1q(Va?VLWQVa6Ap zl4j9F%G7y5|Jy+6hrYrXZpH@i_-TzY%sWi9&$YbRqu}tA(Ne#Oan_IE~cE2Yx9H zx&cVm<8@0B6%0m`DC@vR{Dc!B3)N`n+8>+V&gz)JdR*Bzo-LgF5uyifhcaLtR#mh$ zK2OKX$as_%S7WN7PLiyt$c!h|`@{$o0bNJ;iO>Ne;?()(0~A!F2smE`d{N4RiiY{H z3Lfdr5DjD=>}%?$-|B@9XNVl?gxUZQtAX+5vJql9~Mj^9lG%bU92cMq&fTmuD?b^w1>Y%K#pQ1iM=BzEQYI4?<# zOF~qZf1}kNUGy#V>OXr0-6Gv+6&IjYDkxSRtbQuT&$!w{?4F`1DEInvw;!|1m;DCJ z7GB}EV3J@B=LMz$4y>In6D~cYkftsc0vC#% z-&IECRQ@5302pBW5jcUjBX8Knd|d8lXK5M8tc+q+?gwJFh1}2i4Pc;W)VF=fz0N;6 zYu-)_tT>$P1I3-}z#2ipAbFGkmE%zEU63D0N%{D9_8i0wuyr@MTv_=Sp90JZtR$T5 z5`{NNb|K{!@V7|7^lYF06Ovt_MaVBmfMo+C0p0;XDw6~@=2$+2nal0BrkSXdX%D8Q z^Dm|u%%7Vzu@(L<2m|Kcj)&2Egxv!Ch2^W10|9$q!@o__vzlKy%)vZjXIq z{@rhd017a#z^9Nq4Yhr*gh*&eWuiUERHaG7cpcHbmao5Q8gA+6KZfx|M`8?Of-4j^ zWE6aU*HR{hj?r?pZaTWHDS6e=fT`&zi-OtO&3AdQ1qCx55O#p+DZ&-^>6It3&U9oIzbu%day4b{`lI7II)?ZpZHigINAa<*xQ% zi0XbFe@h=M)&LI+B$eU!LF~JV$G@lVaz&R^*9k-le|o7Lpbxg&J?ubKWr3o=q+DhZ z0+J|#qf@pm^RrWyEh^jn-DXMvBk?eD;*D*W)nwjA`)D7=bq3fEFsV zK4w#g4CH%MsZu?1*~_}(7|JT7yV&fp2(|PTNpIxkJDwL0C;N-ul#?eSyBx`Fz57Oi zL<&o3_U!4C@!PDnn>L4VH=;Yj0>tt1D0G0UEZ;q_1FrvQly5Y%@He&+ux<)vEPj0< zAnUz9+e(08U_l<~mns{y23y|S?PCg2;rfTTpas8zUY!Sr4+r4gUjl>M4FHQZz_?<- z)3N!f$nJ-712f~^MYRHj$|)!Vj4b%g3;}BncIW4RiVeWKc>@NH_`y;UXlMC*EoG8m z62{~HwY4UoBEWF4h>x%UmK)%dtz{_S1!>FRtZ0=(Lb)xN%gW^cCZb?Yaas7=l>?mk zvxov{V1Xa$2aFGJh6(u>oWiO8zjF#K^1wcp--b}%ImLW@%PE*5q@0DzzXciJV}h%n zF@cl1xp{ikpzE{?0_ItoxES1FN`o!d8Wc@x_8spe{c6zX`w*WbC0@OlrcgOO>I$YPKkxCF8F)$9EFX*=O>F9`Sp# zaFs9dVLBRpgtWC^|A+; zdB~oI?wg7g%!FAaE@7^CAg`Vs_ha(lC6f3H$kj)&{0lo&yZ%XkNN6d z9N0<)HX-H>o$vDM4lyZb7pkFVYw9lDrw0}6Q`#!&sR(SYW5kb+m-xys7cSiA+kVj& zJsx`he4xoiL)YAR(-hUw(O*;Apni_d^~`oE&>mHM1V_`+Z%zi*EOh#GhG{we)v5H? ziu(Km^Ql(#Lx`MATy_f)>ATA;8+Iv&Oa1)0g>&pOc}5d=a=q1&zbQjj*xZRm`PK?q zv3F-%?+%F7t(vf58s!@{WJSxJZPPm-TldJ?i@RfKr4|!+wylH~-7mvnj~@|@qgK`u z7jQ$9dgT-f9Flv$zkqpY>PVpfg=-iZ&8s4CI?nBt2rMbKtV?N<9eoU`ba%`o$=8g^ z*+&(emI%e{AWTJj(U5y(i$b4 z0Ymflrzs?nk2`s=Jb}7i2x5LERD;T;+Wa;+XdAnJrZP9lle6Xh$ zhN$Wv(>9jA_{eH$9+c;uuLi-ViSezn)|T)nqxQ8k-U*4xqa#Xjj^29@&MBN(G&*QRK zAqSKr@StM0{oL;|YQ9$us#19R(X?JGPF{pc9wnv7{>uSLP*)n9bfr&9I3GRzAa!%Z zglaaF0(aDN|Y1U6TM-m0xokwmlN!B6CDtPZUJ(a{%0#yt#av>a zL8!GBJ66$UJhgN*uzR+8P-S{hwNyj5_-s;Sg2Wap98{QGYw zQa>U)Po;+P5?-1eYY_4fGV~RU34Y8rpdsj7kG1hA)62IUj_d)2g_2gmVwWQiwmfc+ zyMP$Wm-UJ`B-2tqW{nmcG_ki! zWqDT*$FN=DwO^XjPpw4x2227S0rVH@3d`1lHs6fz>=IyQ4j-})ur*-p@za%PJwQF% zBiQcFRa~97(N*n6Ll$4=)aHDzYx$5faFB?2c;${K(ObFphcT_GYG#uz=2@Z3xr*#f z&D0!ae`t#r z>X7>09Bz7{EF87!%-d15KC2Cfk+-tyeG8)pVjHJrM>Vem>N)!yGDoc9)MAWE^R%sy znp@mo^HZzRPjS7mr!Difx7x_q{Xn=;lFl^`O*Nn&60Vq$f!}J@ja z8qma{Zk;_&Q-eB~E#%r>C}r2$nB#1AJ>1*JV+QTA&6}T}jNsL;&#CxnAMUN;B_Hq7 z&d;8m?BNM*&$UIOGVUx0^`3+hT|w@t5xY9J&K~cU`$eChKv%~hue!L8D-oZ!%9f@c z#TRBW@lSlX^0A#LJbD(~;ep4`R`7hq8KO5D(kuovFdk}?$6OjobU(*uJd`vi$ZE#6 zkWClo(KwcFHCIkPTD)U<^tg?c8)nGL z%FER2${;rH7S@(Bo=jjzkC%6()t7PCl_4^Wqy%0~ST#Jff(uML8p|X-)`O!bKh~WM z&97%1?$e?Uclhl3quwV8na8p%aBr4{c;dADi(%xuEPWn*~=;a+X<6zS> z^$n*_l;Y6OsM%pn(65Q|L`>{46+YFKUQi9BG)Jwg_*im;P{WSESd!BP)VJ!_&1F37 zSP&1|Qw>I4m7AR~W<#aY$1uQ%e1z?Wkt0y%LtWUr#CJ(llOe;;qQs(|iiQ#qMH@+? z^DRd-zxK&JuX&};50FWXC~`(;H7lH-yk26DMox4X9$4aLAJ5s;i+M{%D1Mu+72Y(}dhG&y;!9T^3_kNdz;O7?<2dYd|5#NlEH8=WSa$tWT z-hlF7_e$7*v5dcRV%zE2SBk{$)S#Bxdzqo9N%kzy^iFyelz#3f)(=%lFPLx@^)6sc zM~0~q3@4UilN>^r?L#K{g(mog&iKvw_|1)zEMxX~vBr$8#JjU;Y>hDk`8f7B$_3(r zN<>4StX$@bNl~*UPNSIehs*I!#2&kIREkxLP6P}|o2=~9%D&K2eHB9;A`rWy4zc_K zql>7Wn7^JcMl`6XojTUYgf4ks^gdr}p?P8x5Qny^_P2yjxa-U=lsremPUd!0LN-f^z>lWWk?XC$~`c3q>R7s{zH6Rv3>` zGBxXgBTc^`;i;TlVarGwnRP*KpR=u_bR#D+T#kGtLEa!k{k?@T_~A)nB} zlY~m?CL*=CyMUmv!0JI+?9qe86aFG~1r3X@Fc$rB7!A9Vmv7~qsG0?8a`)X?&bx8! zJEfDCiiWddA|Y=tIU0`kXjI(^r`eHnPN`46;pyssg_v52dFb4WJlnKtozxV6-inbx znM+EXg5Hbb#2wT04iaABF!x;ooM-7a&>H`|RUC+>1V-2mAD#HqzHj*GzYdO|$TKc24bK_1#KJjT#GhYNd zrp(IEhO}JOKzvUM^aDT=2kG3_H5p$#`c`N9N#qIa2pzE3x-1~Y3P-irTMMv+;LxHM z9zGRtpBr-~d*;;Qa>!e6TfHrZnZ1_2a&MY*)E}p3F>ZE3DoB-t_@yde5;@bB1LMbq z+-Pg{oHjDYNo1nXeP9DW%#Av?PGKRpx!OQ3jPE?~S?voJYcgWVoA=u))pb+hpO{rc z{bcwxoX32F%ybWDfGMXzpqH2@ZD*pVYI$B}UM!%otrv2oRqnBO*O!&!;65GIjV4q~ zJ%`bH^C?{0Azz3l6ti`~l1;hjK7S;vv8x~?PkZ7m%k&EDL|Fa}co?!0N9aD>b5f74 zKC;uhsL(A1&Y`#u+PY;E;vM102JYZz*xJm@fcG1oBd9N%$^?#a;DYuNj^ z_%MR;5bKdUSkT{)PuLAk5kBL#cp_i1dhc>2Ij+DmsO!QEKd#Xgastz2uZNqh5b(afgse%hzTszuK@0O76=pp;rGXbQ6tAz$+ zYtW#?D^VNj=3R_FHAZX`LP<%3`a>g;84iGdcoc1#Laj07HM`>0fXZ{zQ+K1~% zVkc=F?(xkW=kluql4Obx7O+Z#$7J_QbH`+e5Z`vJ1)a3vqi2A=XrR=1N-Dk=9^xYH&$q1{GAI&=%?Ae z&npF#>u|H*w7nj^wd~eG$zMZlTRQ27pKD;R%QHs&J@#~Utb##C08mZ zXSk0oOD#{us>i;loGV{a7BqD8MmAn<(4cQP>?xX>P`)R*5BzPRNa4el*|z(lW5ULg zEYEe)-z+sqzO10=xOZSRKQhcx%8!}7UgLGBF(yz#G_fOx(NXS@r@Iu*(TVzkiOVrz zvG%xdw$3WVVTAw1p&$!M{B?8fK8shG$?{NJM2@M7*T+)2C}+NlO=`0ENa8|`&WNWl zI4@s@zJDkfV$WZ-suuI*@@r*i={fF^K#J+u2Bmsd@>AFCR^AO1jgJHI@leXaO{Ie_ ziEjd+*#%Z|4ZCyAcPSj+Ll7;^H3o}8zcc?@Bhc2_tfiG2k{}a2$FYNeGy&qpt}4UO z2=8quXS8XnX)R_IN{z!hAG5ZcQ_ynDfO49t2H6Y7T4FUq>W;V8f8jOUb%?rWeSQkL zo6sz*5ysMn+mpVtT}mAL5mFCJbRL|xU%PBQ{17dFF;%OgpdYkfl60^^>GVm5 zI(Q~sgRA5|pC*A~mE7ki55Fcg0i7irBtNu0HrEgQmyFkVRyn%S1x3il zbZ+VVz}eh|yX&lu?(xIX<(65obXmGo{$Re`%DVEm^Gz>VrdOB3JSFT*pWIy|TdDds zdw$AyB=wfm`vTueit4TFnYGHBHz15y2beiy25~frzNW(psc0QOB_*_O1;65g)%MeO zo?#-;@4{r#6)JF5KDW;TuZSShX=cP8FPU!pzmxYKP~jR>TbQs5JKKO=JC(xtOiF0d zsJKR?!QjP5Gm@S(d3ktw*GnGvWi9W*Lc-))KAplyQXbR+j&%9!4BNxhWfsCTDPH~| z=c?37x)WY;9AVF7E>+j)o~LBw%$ptY zoI{{+dzIL196tk|*;lWVKusK#4Co(dE={J?KoEzumGrh)z1f4O+P%tMqpE{F0+=Ia zu<3N2IP4CbM`VM@h|biyEU{kd^1*=eU7K^b z2~cT|Gf_7zlU~~?3fk#Z;B(=s$Auw9_X9&1F$;Cip;Y$9pLnchBzM?w=F-nA1x232$Hpw+6fx+CR11l ztTRPXc-m~Bs8ewkH@g1H%2P-QrHC;D0X~Gq);ebrB zU(@~u85LHQ>RO>zh|8P42R)xuvLY{4A~z!PY=T1*w5S&bN_Q|X#qkRB1e zz$S*`Wc(8JnJ>h$?5D$En!K zOzx(1eYK8EAJdzEi1lPriZF#d>hnqI=J~7jKu`vek^war!3qNEQ!0&BMy6v`3TI2# zsR{hg^xYiBy>fgzspAQs_U6CfsIc_t5^5<**bf9otj8H>yla98`zrL#VGh)9rpJK! z*$7YTFwvxas#bgS&Z|)E=HmVr%$p8Lx+MqV4W8*Lc;y1=8`WOBh^&khpS~zc9PWymYdi(~58QkQ;|8q~}_jk4u}|Sq0J}tS~_C;uhD{@Ekl_u3_w7+X)k*Gzpuh2JB-})g3jL;Ju7@wY#%RmvQ%cNC5 zJEr5HUji@`#g_7p+mt8)J*M;|9irb`my&rgNGqki;9l@ zWYoGUY3G%ekOdfy($yiKi>m6U%PfbC66cR1WmaSrsV=S~tr+hT5uOFxSb1~cGdSXD z2vjB{rO3#w#&divyQHW(B#h|zCWje#?b6WVur^8WJZUntZr7C5;z}-J4b4<_@2#s7 zNPRoO_nE6MG}8`sH3jcI*f@63bm4WD`B~5L+Idz4RCu9Hv__^_lu@t?T|pu}WI6PE zsjKO6U$HYadg51yE{$8Kbb|! zTCpM)tu4i3YnmV9MoxWNbSE!G-?sc{X-A^PpOf#`k^J}HXrc=_UED1ecXDJeebKWb w-0vv8PWk-u+Z~#*yh!n3K|FZ>82iWH|GpNkum2wa0RR630AzQtflpKc06QDttN;K2 diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer0.tlg b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer0.tlg deleted file mode 100644 index c29e6b8..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer0.tlg +++ /dev/null @@ -1,2 +0,0 @@ -@N: CD630 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Synthesizing work.sgmii_ecp5.v1. -Post processing for work.sgmii_ecp5.v1 diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer0.tlg.db b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer0.tlg.db deleted file mode 100644 index e466bdc128a17948b85351cd89a8dc9d34b82897..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#&r8EF6bJCM2%cmz5Kn`!lY%xIWfSJbIg6JK)a@==o3*iRT9Pn-;AJ=QKlWy) z8{5r`w|yT;Uh;T3*Jv6a%!KX8VCqL00Izz00bZa0SG_<0uX?}jtf|4|G3d`7MGS!Gm#5pcr461yMu?I zKMClhe?1K7x_TlbI_Ek}xIUwqRO#llYS|Q0skWNS>ZVlo^!IO`l3Kds{CGYDAOHaf zKmY;|fB*y_009U<;C}=b_3DSe7>$nH!A;k1HCa;Rg7HX8u2?KBvwGSwA+sVcGj0Vd zb@3!Z%WkLQE;PZ5)nXQADKl{{<)aA8&eqnOCsDKKw|W=LrQLlWzbcyuBj2Qo>2sk| OZ*$L^w>?!@q3YilyJZak diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.fdep b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.fdep deleted file mode 100644 index fbef846..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.fdep +++ /dev/null @@ -1,22 +0,0 @@ -#OPTIONS:"|-mixedhdl|-modhint|/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/_verilog_hintfile|-top|work.sgmii_ecp5sll_core|-top|work.sgmii_ecp5rsl_core|-mpparams|/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/_mh_params|-layerid|1|-orig_srs|/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.srs|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-I|/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/|-I|/home/soft/lattice/diamond/3.10_x64/synpbase/lib|-v2001|-devicelib|/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v|-devicelib|/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v|-encrypt|-pro|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_ver":1542167761 -#CUR:"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/_verilog_hintfile":1557731344 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v":1542167595 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v":1542167597 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v":1542167630 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v":1542167630 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v":1542167630 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh":1542167630 -#CUR:"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1557731342 -#numinternalfiles:6 -#defaultlanguage:verilog -0 "/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v" verilog -#Dependency Lists(Uses List) -0 -1 -#Dependency Lists(Users Of) -0 -1 -#Design Unit to File Association -module work sgmii_ecp5rsl_core 0 -module work sync 0 -module work sgmii_ecp5sll_core 0 -#Unbound instances to file Association. diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.srs b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.srs deleted file mode 100644 index c4195575b914f2c1f172add33b25ab251f3b4ec8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 21473 zcma&NbyVBkx-HxmYjKLZ6)O;|xD_v6in|pl?j9&mptuybK!MWY?pg@JgS%UB3lJd4 z*SGuZeeQS0xntb?wUS@fBlB5nX043*&_+Lh^7n=EL0em!m35FyQ56t8{)_GGQ-<&_ zBixFA9zH&9xV>L{_IK2ltna)igb!JTvwhtv!jethW(M8($0G~n8{h` z#tMFRu`Wtjtk?*V$*QZud6&KJ{NR2gYxIh-cKkazczU-W%!}wmhbUoK>2=ut_bU_AF$Amqu|MK;Cl( z=HEIVGE-Nyr!(8&E@mK~_~8$3(_9Y;CrK7-3w!m(Ol|B!Fys9jopVo|{zRNr&Zoq2 zJg+qai7GYSY=n#jU7`(S>P++<9y}UizE=|*g zK{VIRoIQ#;#nG07p_=n(HcGz;-3#XQCgZm-7rmY-Gv)qZGS z0V72*-cu6KGR1YMq5Eegf+}VFOlNw`FYMwbCYDs?a9u4H4{=u% zirjhH8SAcwvzAdQw&a%ldj95!|A#3lV#Nq9Fe;?e?Vbv;Wo|Rx%vAd!ZRznrRSwJF zLpB5Rv|N!t6Hk}A?&6p&_}AOz2H68~&S%RZ-I|pHWVSW70T>eP0T(gH8DfV_^t_Jx zzobMKe!B^m?p!`NfW0jk$CF7if02>Eg{Sqig0pY76bOorKBc)2`1uJ^(u5LIPc778 z^O+R!>}2Jt$l)0Q+tZ_D@{A%@ehNkynZZ{>0-g{+@2N(<|ewXC#@Y>lc}Tbhvk^uLaA1{gZnYxjIebYo*Oft zXo-^;H3`LX2JtEXC334+<@S7knH<`Q+y}HcqD1A~2(G3Zbod=#nF<5Hv^u%lKE+JJ zIK+zZOZx9jtP1K5yN)F{>C$CUmy^h?+cZIiW@bGamiF@XyH*Ml0PX+a03~+Ck%8(R zHy5k)_`GK&nxMD$m_jC+NG|*xCJIgwR}Wh-z@+w*sBRM|h>>N! z9=Ls%^o|C|mwA!}rKJwIySmj96BiF;k1GBa9M?XFYT80B@EfuEI`(d%>^rC$+=u*!Tj#VQX_Q_U#id*)6WMxb+4k4mV# zT82w96M{QvQWvZ}e|`CsL*cdROfso0E<0YGz>H~bgi3H#S~t3uhQm8b?5Upwi$?w5 ztJG-5?MfypLgiXZamngZ)FOS)PGhl>G5~3pM`h zIej))UB+#K?@~#!qGgu2ITs{}UdhupdbRoor$Yxkx)>QjQi5A#A!C@*N3JehZG-%* z`Dkp!OkNW+i@0?P?1=L(sM2jqgM-#oZSbbZWoD#zb~99po*x$GqxUYS&A=xJd;1Zx9663qIZ6gFIBwS z$QPr5=dT07Z8Ch*H@hU#j+R-G<=CLq?icAaXK^|xY#c=i6O`b^39&>Geyj(U3zAcPGcyG;apoUy`*FTl!^{~I?lvh|VM1An_c%QiZr?h(X(VU_PcN9M zgT0t{bUMgMeKYOSQ?4#dD8+W-NHVC+bE`mP!fN`+(A}|BlioBhazbQFp!!OOm=H~a ztt6~FKW_gAny?)`^DuHai>#SX_ejNae5>H3ET{j*>0F5HTqi1MuD~MGk+vZ&M}z3d z_eUo(liB|8ZdXnOZ=Yi!L=>MnaQt_3`rfDBblV+{MT>pPPjQ;V(vpm$A4J_bD5`w| z3Huhaa~qwn3-FdUQ&(I^6d%+0K5csYrysXZ$^34$r@uGdHS5i=%S%~w*D< zrR|BLnOJMa|B@BmL|xeL6J{D+tO)sK+UUs-a*YF>CC>1HOv((2w2iL!#jOXyhg8O{ zBoE8``@r0n1my|SNf92SV@U51d6b zMIB>g<*Zb@4}|k2rX_s}wa@-+B@?Ae=KT|U#+=jah_`2?S-#2L_g^+-It>TX?H*5S zpUhW!N#(I`LGj2hI=cZ1haIM%TTRnf4o~fWVGL}ta$-lBo>5-a6H7k+rBJui=Wg5s zwMy!W)k=zuyiYfh>EbsIeL^j)$Q+Nlw@E*m86AG0I@wuIJIN~8qSB%Q9ch#mI+%^1 zq5k2WKOBtO&4by)jg2<84EYK$vt|9Q|BP2o*>Mc*0bd3^#p@ao(c$fvjci;#usd)< z)-Uj7zldT}dH!p`{WISODr+r*DrS%2xSpY}w`MFR5`iaBYpKF$ir8o4QN6ph4hlCY z|8~+v_m30HU9>N$3@y)7l8Ol2f+e+F-c`#S;{hv^rhwbRabngh@hPD{GasyK=3F1fypW*+;i5^IBzzc zINgTRQ}-)ZDVU+Z%MBVi8EGpKq}BI&8@as@h5_?x9VN{vLacVg$&)FKK&0K zU3B=UT?U{;(&yC^^6xkJHH5~zqMwtXYc4&~^92jd9qrgUsn{%f;eF%i`Y3gn^6e!D zZxVsM!o*euvF&3O6zbz(F+*b%D23h!27u3;Xaa9Kgq236z-RL`=!3^+qoZJw!ND^yy3*Oh zjM3XacK?8Y{rkU5cty8MWc8wO%77v`4~_x(W@Pa781HD)aewhHahLwly=}{5`>mGr{*~x%~mU;j6czTco$EtBm#k4zi)otEWuH$xB9M&7c1jENXW(=4U!0w6S>v zIq)s2W6N`;4yG`{rWN|)7>eUZ8JKK+Q^x~MPyAx#=4BciOP6%v3Wuo0YyAWR1rpNz z3VDZ{>z_6y@grddZ#|fsQb}JhNdArR;~)=a=v#cWvB3ECiyE`%A_BirbL7LCySSF@ z1YfOnN^U*zy)+a{eJH3mGAOy4l>x0gJP{<1l@HD)_vRF-&vT|@75M!nAz{=&KRYGi`orVrz+Zu9Y04M7)PO(KN0L9V&* zq2;d=?BQz6FWlJZYwhvoUcxTFTnPYmdwDWzJ3&oqa<9`77@`3OjipEKFdfm^KKIA7 z)hWg`{RCUqUUkO~0}nYhe2PJo681*bo=+e!IPsz_-u^a|u|!$^C522kQ3JloUnfNU z|Gj3?RPx8*!&7Vjnv8p5tI1 zV-{beB(J*bQ1-YqZe)_6Q8bn{@Gcj$NAN|+Fzk+x@<&~f$DiHwFLL?9{ih}p5Es7} zW&QY+=F(NJ&)kDo3wdh{?~pwm-VH*t&hvemeL6EX$>J2mM4IXNN%d+N{y*QOYshtp z<*%^EvHNb}v5x~DmrpFDYzg@((7uxsn)n;UU10os_5Yh&0MgVU0BI(VPhX7I@Q$qi zp_Zdf{uvV80dK%#x^8oFYy!tyTQ4v-KBe?`2{b3Id0hU&(MoCrZZ24Ee^xxN;-96?Q$3+PUaN%b#y%PsFX+OBTl0PZ;darh!HR!4nvZr11zIx}`KUctinCn008~&OX zsOH6TV{iES$GmQX3&0EThrq4`ze1R;|5qA!UboIWq&Yb>a>y^EGIhu!I&uCFr5g=a zV}^47IaV)2PIVGP(Z}5hUVe{$LG|VUPvBcJNgE}pUuw7OY+SJd z&)R7EKhll5P1mYq2IK*fWx?J-tE*_<0+ZP^SrkIOm5#0I&eVp{>|`Dvs|a?M1pc+{ z{*%Xe)jxSmj{O@ZF0cOsrYXLEU{ZAbH%we{|Bo>J+0|HoatU8w2CbJf1c$$b$~EB| z`vs#IcQXBx%Of7AF;WeB3IL~`fvb;}7~=i6T8Y&^S0?v@UudCJ)SeZ3Z3S=9jePHc z#%X2ieDz@n!N}{|6M>a$VhKXyYW9$4hv0`58qed7f7QL$ST*{frH0x&5M0oXLF4d+ z{1-+}lGaENb)Q0Z0H@LFj;BeCvhk+yxmObD}tFz11-n9&AaE} zDR-MJ;J7fBTxVraoAXYH6d46V=?>k^UdcMHF_%llkc2A}7}bEzoe|BV#mjcJoHH2A zKFKFe8!m%UT&Zo*%eg&_(-{g3Ym0E?zAiX$T*5I+D6Hhul1zKrruIxMlxTq8=8nUs zrl;oHoq>z1wXW-$)XOt=u5$dQP=sVnN{~?{}$_ri7p0P0sif6_g z>W8PImW`8(JjJun%RTvKI|VRY4A$DV-w%Wj@;QLh{VHyahD5bTBkjC(32nWNiVI8e z=tZ?V$vgaaidmzeh~z}o6qqPYj`|%<&Zer;1=-etop-oI-?;d4QY_VMi~5VbiS(|f z-*bbmVVL=ku;+yv9r??LjfOO_$E>E)Tvw$mZh>DNSW0_PMDGO_Wpb|8PZoJZw?WPx z<@@yBExtva7Xe(QvG>S^ao)WBV1cyBw&~=lQ!b8@xtzu*H;x0hp`^ok&($TfZo2&U zB8iUtTlbg+uNJ?3J@gvNHJRnDwhMQSooosFrDTXNLNY5<;kR^q@PaZ4Bua%AxUy6% zEK*(x94)1WiVDjw`vNSN0co3cM|CQ_g{oFLo)m`mID=Tr=VjHH&9Cubjh0!&tvvQ> zBH5cGIVHkRU4Lne<9{g57iMXMUY^nbw$>3GMVsPecvz>*I`gd| z8PA2|jV%_xt7I>04ZeW{K|HtFCEpAQ&V&F&QT54(Km#E>=y{T0h;WjwmI%Hezc=&M}B7JYMYR0`e8Wy%&T8 zo2cK{Hvf4iMf20300{Cz_0UVZA_|uo+G52ZI`T8w5_{}FuQvT4kG74Zit*@^JU1Mz z8!o_IU{){|*oEK^Rp9v24lzet5eCf%8+%QD_O+eJ^%<;i2Y4xt#tWU0KPq^LiU-M1 z*H3{5Zu-?9WyM;fbHtr2O?})vX4LVladqCuA3&x+AF2P`%^Ksx68)6#z8xjwQZEuC z7p(fR3Gx)QTZj2WFN;(oC<-N}!pr(S#||1o0QWX&FJzn(q$;6{MYC9m0zP(W)oN88 zKO%5LZ^~jld1K6lXk|D-HOA{@#-&c7)B6qNM=j2joZmb(Lk854Zmv$DHtv*S*^6C# zje4}BjxT~ZhaFEn{(d^VLvrxV-7syX87CK7zF2Xygr3`6uAIHt0!Z5t@DXZsY87** z^x0`Z15ToF~6GB!jXO~#syD>7~2z4-~B zTjwXf7FF)m?5kSCE(hnHMd~HQ+CBnbCMa*XN-gb2CR`mq8ofG96>qQD@-)=o?_*li zJX)G^@5u~$o2-F^2Z#Lgo1j#a(+$bJ9T+EDk)Pgl5Zo_ZgOji9uVe6HrUuP zrT6q@S#@-Ld8?{12g$UV+x{>#hu|v(BkIaN_fDF=5Bq-Kt-_LC`W81}Q;RyKLfL=| z=&%gZzWm1-q28~Xpy4p&FrP2?HTKvP5o*ZG?(g==Jlz%g$&m+FRj=pbJzW;gCYcE5 z6OFqQG06AF9`c?CKgY|?-hyqdmO3QB1<;be9Dg)SB~3MQvN%Sp?%rkQas0yV;YzHv zM-@QvSZ9L41P>=uaIT8yDsV%}8M%EoJer6P+5MrVM*9dv)L;Y4LFX6;Rn+3%fw*N$ z94Anh>g^)k<%h;S!+{!09peJ5Mfh7HMeVbnxep!F?jWYGSKl|}>XjPgT+AfZ@>(iW zgvMhAZq>W-a@(z@Q#H0N5$^`VP8B}XV6{HSvj1SBFM``518h)^MKS688q=BMZWUqG zK5meHPlDGcyE@rnLo1LcEmKt;*<^Hhg_7>f)iq{Y$8!e-YgRL=>XirnE1P!kr}KZoSKYdDx(&Q}&s;yG#mN zahR-8_K1O{#{HeVJe`6t*}Tw7*t&ox|bn0r}pc55q&DMWlzP_Xr zHnW9_V5oY|MJ~?%>VJJzanm^6y4CRbtgxW@=+1by2vS@*?p%3Lw|DSNg;_b!unq0P zbisin2V_E9IdW0b(ovMDZ2$aL?o(`64ik7_gQ_6u1UhXt1Tt1oL#@nj=e@!7T)t3E zMJmm5Ua`3$>jHpnI~SfU6}PtzINxq4nByK}?E4HTp%)8!#}l_zJG6_$o43z3y%Yhz zjVlE$kQrqRBz>~f9%QaKgRs{@J!g8!8c%tnww(r$E+>_}{_5*|TN?x*DZ=@a93OIf zCJWQ`7Ax(I9_2Ti?uNQYgug)g7B{n8DZcu!*4FDo2}!{NC2YSAW$ezUVR^xcxSLJ3 zg@>^Z&xL-Naaaj~PqUt&9P{c~xlG3KbT?Im*phA1LF0-UbOq|9Sjd2Z3GO758wfTY zVLN^1^EQV5m$^8u-0r}9DDlzz(I0;E`uo<@7`(Hj4Zg%|9r-`_*R%{6SMdj15`&=E zX!l9M(9Y|dJHyTOhjsmFE^Hb)bmrO`u-27y+1sH?Lf!XPqCBYH!v^1GJ99>A3`Q1F zQtLJ(uf?thd)EO3kC`WW0X{+dLF0a$` zb6#*FF6lUMMRGPvoyl9H9wRtJ7&U<92)pkh>d@n(?~m2e_n@b0E}Ji1W2+fTIsH@< zs<}3okV3v8o0x+fLrGa+&w^|UL>mtpqnhpR_ip*jj+C2~S=jA)Ga&+}?0t;?hDe8Q zTo(U+bSCKUOax&0MXm#Z=XiOS-(CJXHw_gMHvWw=ljiTaDtyuLF5L%#(^P9{0;eS z(}+9RrWci}EjXII>O~w1b`ezshEX&>O+P5^P>+rBlq%>U+7v(7Wi@?p;}ezY?Ic$OG+t?Hf9UhPL|_bR7~ zk?|3VSHZo>ugGVb_IeobP;M_MsPY06QPEc=_Hr9OJ)vsY_27it#Lh%;K!HuoJeOjl z^w&_ncGFZc`zQ5dsb_KAPX*Ab80J{X@(Cn|9-ia6iJda(1c^Bgr3A{FI0Pubtm!`6 zKcRaTWq*sYlx@qLg{{;ZCC+(C0PmF#w6KPdrM#a^PW&)W&o00=8%n^~ex!ob zl#FHTz>hcrfz8u4vM`Yx7mVqbjC#kA+Ut2N9>|0Kuq#`t;I{syIm-R>b*|kAa0;d6 zI~?ph2q>4qZj^W*zy!tVd&3r`Bf}wT)oZe-xfQDsmAZEoDA%TURKH5V5dLEP#P&9X zDKaIiAmHhkKUtc78|)l!)c^K&z2M0hJ3N8;P~pXk?%VHZYERzy?pqc= zL_+s$?e;(3x1~L1aNA^D@nBn%7l`9xkB)71XqalrrHD<0DF?RvQts^WFL7&(Ov@T` zls1gJJ6e2k@lj{|1@uD3$%b~qc(@lu%yJ=jUR>U|bNs~qcP5+p$5Qf@7n?uGpE$71 z9ee*KX+p(AeBRuaXx*^MhRStF9{{&zKRVfyA5;87*aUObqChC zz7C9O_9ePY^LhSgpWA-{nZ7k@*}1({yVpFXC!gVBMR`U-y_5MxsISRu7*T1%?EXr7 z1vJE#+0ij%7=n+!$f^aDDJCFcKPSpR%(_4@h^FZ~q;DhL1AkbLZdw7;kumb*nlW?} z%}_GZ^KEb9{1L*ewoC$3!>>S9o)#OPV&?O{ii>*@KbpoUP%=Tsd-8@^`y+7P4Hl~}T*(>|Yz07*zVL}+-RlcmVHY?&&>x3+Y_;Zv-e+x zO&q^wI5Kvm1%&eg z?-_bfvvKC+R7?>{@fvN5$m0E{tp+h+;j}O#>a1dmj4;c>a`@?obRWznHrX~OuyoWV zBv>JAIN03y=Yh;H3nl<=B`b91$V!M_%)eRugfJXaFx}sLSeRdkWo2AZvV&agfXF?4 zkZkh>Z*GypbPfoIrr~IubkERb1G%vAE-gUVrNh)$ezY_ma_T|FUbzsL?Igpk{!4X;9l3y4!;d>7r{C zSyXH{znMUf7ucHA?Hy7*>8ywWoU$s`@}y2;wuq9%XhOE$>8hO$fMsc3Wn|t^^Wg}QgYjMP*Uw-{)f9cw}W}5eXDVP z>%N5cB{n>yi_4ZjKzJ}{BQM0CqR}m2wM{cbCyVstARe8>GWIuJI zn!OqeZ@o$;5ba#{lYx2fu@%$@dc)g*`&Zs_TXRA{CuXN&4jy#P8LNd-pYlXJP8j&^ z$R+1BWT8>=-Et}Ed5xt*X@)BR_W5*_}%A^st)L_sb-VA?`* z-GfZ@%(lYXDK;sZpA1(voF8f}WuT2S#9g>9tx4PC`UCJ}0*>32wpt@RT?U=TPBw!< zvaX?sJ<)?UGCa#DiXrm3FUN(@pKzfVvcwBY;0fJ>e{wPR^^qp_bCY89)LILb{#X-1 zxc6`qfyJSgA79QiUj1k{%lo6*+wLipA1cX%T+dj)G-+-ROjysE_8^{Kbt<07v|@K^ ztjHjn6fv@_vrWw{>R;Z0y4LSp5fZm4Bk7xY@CylvSvl9Qh1shj<)RYbH{R0$EPadJ z-y}r5T@^duGH>lLci)k?nb_`tZ_Q_#v*&c4a2r4_dOtKsIpwkTS#;+geKha#A|$+GN5f4H-Y zTH0~4b*T^v7^er(s#kU&1Hi`aED9rodwSZD+5Uo+J>JK0T(}#ja^bQ0yHj3fmdd@Y z^0^D#joEs>)~_~|n+x4cQm?UNX4!nN%BKZVD6{;H%4Qy389LWS!f8F;?E7|Q@(KVh z$y7VrX)%U^B;{7_h4xoaa+UX92Lp9lsH}()XkE^_#e1;SCW&OydXfOEjqCN>cMOKk zCGOEASI8D&>4}||^R4r*%{_tzj=hJ9KPM+R^RO1_1!<}{>o4;+l*P|H&oRAu;0Al4%HV873qX<}u&r9o|&@6a(WK5Nb<|$O{Ov zJ1)|kb#YGRdR&d{v47~=QmV$w4N!#NWv|a+kUbjrf2ocu_7Ofim(s~`YU3sgDAhY~ zt@1OI-AFP(>X-2WNve8fVt6*5SE@He?F8yRNSGCcd6ojPxBc~RU?W}m`6KL4?9O|t zky#j~V9%3w>%q||P16;ahnh6GB{37TkYx;{XR+x<Li0M%+7Y8A*Y$CdE>9-1V#R+`Qgd`G`NFngvF_8IzBW z2zawrg;1-Qn;=)5pvm;>_KqWHpVY_A;&Q?j>Y_ZM*_@o;FFf>6v`-f7dRs<&h0ZY_ zux$F+o^~2SiAbG=)Uv<)Z1WRCSB(3-xD3u716&sOqe{2hjXSZBh!pNn_J_bW4_0AL zv6aC?eM>~*9dyr(8KFO)kTXx&Lx@a&cwX1VTaE__@U&d%ug6O4wz(cV7Q+krt5~x7 z?^EXG(!~tT&K>NanGrHb<`ek~(ZyY{A!Pi=3c4Ma5%}{Fe>-(yn%`4DJZCp=$VI!m zFxap6Ff4fY@S0LF7V zYXwTm;U@fGQYp&GdTOuYRul-hKW{sD8gmC=Ry|( z``8)FC-W(EhwSs)j1M`XYqu!eY8Rrj)45uL&Q{G5(L)Ih{o<0(9oB|Z8ED!=^wn4q zh}kPzN?ul>- zmQ-rl2h*Ey5)7g2al4zOV>nr3_d{zlWv1@e7ZyQF#pLlFoqH%H)k&M>eecVyyq!{L zA>Z>yZ3ii{kIHYn?t2X-eXAc{K$FNeNzVGI{d}#J-YD$03obyc;%;FKm7LA8XM8Pc z*KcSMLaqm?M_s%3~JArql8V(N~|Wlb_o$ij`KNd&2J`YxYad5-a(Qz?i}7F1d9wwxfD1QSG%KwsU`84RoT`f|=e zo?6!l?O;$#W?9@$2x6YSQnnBJ{S``Nv%Vqlc=^G!QRtbcnyj0wQz{I$F-Cn%MK5R_MC;7Lv_e}~&HrMo zawlRJn|>(T@(H5-j;W_qMw>Z;X!)>$7tRoQ~me*Mu@-o>LQk>wt#h zPi)M#JC8B`CN@5!+XMPjh7FPJd-nu<$dA3rVnP**Z!kT{cu!p2Kdc9W$o5ct_ z0#-P|jOI8gpls8Sad%N}yp`=K0qXX?AJ5f9EMX9TlO2iu)n2r*Hc< z2lKsL9rmxC)wgxC{704o8j6hQdn*8-$L#x1n{FQRy_pIO0ckbBjn^ znj&kbRk!2aJia!6|FluI0HOogPD{mjv9VRGo8sw$yUBgZo8r%vB4bEL*p}GnhLwJ= zdi-%L(0c~`@-~L~2ha5%8DpD({ze_*ur8sMZ>rMa+0QST7Naz|t8*T;d{WPPFnBjB z6M;Gr2w!(l-k^;}pg{~QPgB&3?;CVtT4S3~{9Wp3!>bN=R@FF-qqJTJG%;yFINGVU z0gU<6>h37z5QOKPj2qT)al`S$`OUShrpeC?#@nDv#Otb?l7X}WLWsUapX}G0HhHzf zWWHeG-uxn67y{eG|0?D%DBbBH3+Rn__ERjLvuILSEuC~GiTrU>lySAc+i=gw5@HIfNnF$PONwM!!yN^Hf8h!ml}mBBrXda@(FKyXJ5`C{=_->EGE{ z%`FP#s8c_i>yC}wk1?lJ_>{dW44-xxEpX+?Aloz*Ffq80bj+gggYo$B#wYT{ONC&h5S@ zH1qK%N8)X z^n2$dRuN33w<)dnx5wTtRqc2e4IPreh^ijdwH*J3B41|K!QfA(&kO^1YHZpNEUSa$ z5VJFX;r$1X6}H^%M2nT|;cqUT`jDgo(LSuzmBM(TO9h+n96@QU!hoQ(=f|q>u|MeM zrhiVh*^Kc`soXHe+a!O*wT(x1eqYadrMxPk*Z=`LgZlj+PWpytQA&4ej++~VFosrb z2EOh0|9TvD13o-X2!4F#T~=MDTT#6U8n~KhESbu9yuPoPu6)$L=lZKK`5y{ntprv3 zKSIB7=n%BZO^*u<4t^`0Z)VvRnnGt=!7#5BVV_AxI0rS&q8{`JxD?yn+}hJx&a!ux z>@>~VH_6E|+hgpZOgU^FL;3VAhjWKSTV1A(T(oC_GL)$P^u1S?l$qg09MP6Z>%89U zl2~u?(;Z#DdSGyJilSADcsNZh9vhW^bt%hdU{Jm&dS@?w{E`;H zSnMbKfxdxKqy4sBc! zMeV^m=&v~G-+H{p3GT^vjlARU1Zy!jF1(+b+v5Q`=}X3nr`xKB@`5n+=v zMl@ilK6EF*8MuSyCQwRvR0*9VFtFo{M#*T#$mn0rza;SdsUvTX&EU;4oXPzWSlM}$uu3L}a$NDYhppHoeq0`#rh&Qy5%ts#g;pT{l zt3SqmI93Jzt<(Ql$5VP5&IK(m1DyipP}v>f76j%}tl z(yQsK#oZoMs-p0OX9GL-l=*URtncRGJX`VPHz=f%b9fl5wX}0m*9tV>9+P*dg_)74 zGv@oU)~Hq#5o8Y`&f{XQm;x1l^PX>PejPSFbR#}7rzz4P8~J^cONHZ(r}$E2Z{Z88 zQcn}=HI~Bqo#CFjOket`V}pPt-wP09bg#V_LZ0hI^~gDsN}Ps@{9M)|*DHctb1h~b ziI*oT&q&R=>};*hVxT&RVuiDV4?%wwr(hfs$kJBoa5r z`2AE%%fK57{r4xr4Fz?X3ZCUgQ<&NCJ#);DnXV?TWV+v0yo09$({6avlRm5aG=!Q- z`#cFq(|#Jz;ih-z!FS#p^n2qn*^$1#WJrV%Kln)k4=Q)(A%+mcG+^zC{#(jbqwAKo zl}TNvzNRHX$)2Yn)H^X#0g4r4xanU@Z<6YH@|Ne?2#16^`ZlP|_(@Rq`#zNQ?bvZF zKK)=xu;#=eZO4&1H@Sk|@GlaYCI6CK-Om$>+M_xqD!dvX)u+G7`)h(gr{DlTu z-Pfo=kG>&_)-f-59g2_TGT=wpEC77D_{s4feY5_Lfpuj^o}dJdu9yuZLCbANvuCuR zkg95ECbxwy2YH(LbFtqab3B98Z_HmKk*&eGIDPvf+rLpuT)lC;XDEz+&YtqCt<3M? z^2)}XT$9iG&D(|(;NKYqKo*KO3-*=F*G>*I_-Q#F#dO?<*I|?PUIx#}=c6c6p*ZPc zd=C?L*fL*M{K4lnt6Vs*xm__MX829{G3&+H&+70;22z`MvPh>~G4;K5qsbC&UT+eQ zm{XpEjdi)mrh%W1vJgP`a8J{5#2jOmpJ|DXg^GZmagDC{0=(!Z&sj}u32r64j~sls z6i{9!HD4Ef?dLBeETu-vNc-C?{pD-WCPmtY82$v$&pFfMJPGGylHASRIpObxwxi9Z z>c+X13$xffB$-!40pzw{HIl6IIg&L$ae`^VfOQ9G5^61$RMJJrU`+qA6?vVJMS zWDW(7ukK3iIOR5~?wmXIr|XXvPDtx4KCGS8?D&!9l!PE@nR3FLI=I*w8V2$HjC=L8 z!OoB`)+LD6&dBm{E3KA9cxvHQcmRzcU!mm47TiEWo;iXCq*iRPDX~AC7#ly#H2Nuu zw=;(ci?;DGNE%Ffx_>*bK8$q^zf{cbX2800Y|ye+0==Kw7XnMz%_MZlSK8lLsZNs) z224#ml_B#w@TP%o%NQt=z|$f%?RZbx1;hZ7pV1T~h2%#Bpxey^?7kn-LXiJ#hPjvD zh}hRWrKG9Ke@aPRb?LqqOyxs|LDL_M;r3@}ONT+xAB^sn_jgF$FNNVY7EI+q2iX?e zzZraW)p&zlHfNABV=`+^ zDT+Vz>~xFpl)WA5XuGy?S`)0@kmJi0Ir%QlmrG>)3pUUPP?MYJ@yaR8F%&<@-4Q2*gFt-fjc` z)`EQSb>87!fD8hA?r&8&Y9>#C5{8Cm;Z^cF>4#u42WK*i%d*^;h7a5br;6_1>l9Zy zFBg7?j)x5R4h7QxB8PG)e;umERFkND$XrN7OHPRP(G1vnVS{pZ zN7V)+v|B>Rt_~1BBtI~t7WiGeQ&2S1^Wd5 zV!^8iu%X28A}I_^2jh|5yT=&?2s@wMr?|U|!Z<6qn$p)6s~dfsEccG)45=EcNBITn zhvxi1-4Q8X#~wyMPUsAt78^UQXwK6mNCeWQKs`u04cYW)?Tj(KB)%Li^#a1HPcW*} zWahFc=Wa9Jc-^lEdfih|v<5;cTStMGvnQUGTuyUof`?g@URS|RhgjkiTL*%iLjs6M z;*3bEBmH-WM(;q7x!wO?E5u;Upt2y(c<9(*z7zmVb2d1Lj-NTywE&%C{3*231?KFW z>zSSBV(FJtoR<;qnER|ekcsv8Z2Mnlx51i;eqNq&lu5rq=D!w30p<_c0I5Nm(KE1l z_5!lbx1JHmUM$G{;*-HO)ruuJl+&q8d*^>e&(wsd=0kZ%3w&MTA61OlDz#ahj z*SU-e{7+-UU)A+k(H_1VzA-A(Ly^oenv-qx&-E4BsE%#>gw$iV)UXfVgD@jvu*1}9 zMm6xmN3kvHcm1}BEhr#YWLKkU*tAbZCg06NOqOAPS_2;+slYs#pSk}uq^#JyicLW^ zdX+B!F2PakH*k%Doq+TBkxppDVG0N8SHAf|8vMmmpxn`JUTqZ329^~WfQ$xSrMFnk7b zhiY9uP-oXmeT$R&P*?m@;$Ii0HY1HcZP_ma8-l>y`=MBcUqbNzh)&+RBoTWOC_cry z`SkRA4N2d)2@Ai5*~#iEHq(b!;KF(FLv+WF10eaHbx1s4axyY|c@l0=mWH9E*cpq< zVeg(>Ef(#Q+NhuE9OVn%pv+LrC7pKnH7HaGYD~xy7F}Jf?0#Zg5xGD!L;cP4d>(@& z<@=7tVD3kgk5I!IiNG}t{i(KWg|k`xoMmE-K5yP~*!-bA2V*_nqVJ_AF)1-uqxaFK z-9!C`YsxCMnq{%F(5Q}oQ zeJSzALUWmDEF90OK6fQRs?5Yq$3l~Nz zpRf$A5aGCHqSDvt+AY`eI5|1;S$L)Xo7SO)kj{mQYqc4L6>FWvg);GT-rM)OS-xi( z_t&GHz{mc|&ilTO&gWtz)meDj1Dj$i1J_z|pUyClZDg+AtEMeD`osF#OP{H^DNodz z%Sd>TXE+AlBa(s0(I9{QCE!X`bDQZZ=#xyppK7Yz(AC8dM;bQpYm?bI8)t59Ht~@i z>CrWY$&8$lJp9mJeCYT)!?qg+P$<4*kPN{H*vuD~GhC^^OE*{1%7RDHE?zs;vwJ=I zP(lke9eX(!qs=HwVOkIRPFFlW6>8kvJEa%)Z6zg)&`p?VhSTdv2@wg$^z>`v-f>#) zTq>&O1Cn69Yu9URu~fw219pLv`qcrG69QS^KTk?NLUxtr6Q!3qz+fs~8zPzbLcywg z(m@xxb!Sfmwv)W2faWvtbh0av!N5^O>SM>R>mlotC3KG;XusOli460_{GV|qSx-Cc+s3%y?7a$|7)ex9-@Tg>iHSo zotij4Aa2!t6}kXbzuU-jPQ9Bcnu9hYaM$c|#V`FV2qfv`Pjusb0p9aR{oyO4CyV9} zcBxt;rXxv2IPCH2r=YjVwE;RcjBHXrZG0U)XoR`57t0kVJ?xcQtW}kC#GdBP7;SiR zsVx2eBFAK++nctSc?l8R@Dl43_NdcbETp@yXWCxe)i`Suf9Y-d(pmyK@Ix;5aMo_l zyXh#&GbHfsB98MwYLAH|V4rxESIt1b5$)EXGF8Ez--Pn1Q>7&MP<7EZotqqrm3laI!;tiN0JDvtzC5IZ+_p?yc8u!BFOV z^Tpm*it`d>2$2d-=Xh>#dQ)BUfiMxpJC(q#eD(TZr4bb(UVO z?6K3(bJyU{`-ar}!tFkpIllFI!#pD~%L&&)Y2;0URT~%uJNwM9RKM8+*~dtj_|qhUUPqkI@{ni~d}u;=0)4jg6NT*p`y%q0Z8~L)K3qNB)^KxHEiy@BsPIZ!jUqG{I{lWjR^& z{*EuDO-h*brg!;tu_v?tlClMw`&9;S*7iO0M5`noz7Zh9d?V~ao8Y* zZhu2iE59y^5X^|DhMw51xRTnu^S(-d5%#3?z9H?49&zpd9iw;pL6%a?I&YFGO?v58 zRJ2Sn022Ih8r>u0f4)omr#x%))p3oSy2REQIL)))nWQKWSw$?V_UC-X-*cYP9JC1h zo7UH?br1BEpGagoLMtOlpM=D|omy$-XIeGZKrN*+p6rs>Oy+M|H|`~Q#U$)Ax+2=F zx-ML{1TVa4>3OMyZyB%h)R@J*M`aFp1bFv3Ks;@M5{crM3;=;LTX%wYFC?%x9gE-g z@>(f)4BD`qG}UM0d*uiHcu&b?2sHIN+Pe%0T+{4q@-1O{n8aebpqOc*}Iz&&< z%zxr_&dwy(zHC_CD$vH6q4D_0f`i(kL8XZ9WBrvkGkX{KZO_y+avzfqY05eMi#EQJ!d}u)$1UUtbrQ11~lj_Iqw1q~`iC~{q z=0A1a#rlWU-)$+>D?R3E2kGW9fPmWQ{r#6ASSxunA#uMe|GZl(vrP~g8 z;Kh%F%QEy)y#=R7&DuVN%nR;o0EcJ3rN0d{8Vy>WVRj%CXf0tXW?K4($ zpVY7I8DIX)uQgy`(wtM-!{hFfPY03Yl}A1L%NVOQG0{R9Fr;dNPCbQa-4%3l`%H(` zg!zd)JtZaEN==tuRr9lCQZl8s;>xtKU8cPQoaBovv_o&2=mm`t@eUyUgMb1@oi)OX zsYqP2j z^`$*oq354#pY9s2B6|F3eX}~GXy97CS~Q|ywXgzPdi5_?3HszK;)|HVr~yI7O{jjA z>|jzdMrXzmH-W~)rOiAD|*g#68_mb{u;j8R*ooWc-6oo2YvY|uBjz$AOQwpi8k-9}wb1n0Uony%0 zuK2!@*7?WpmltJ}l$-h#aJevIV_Yq7Sh^!bUUoV0L);5Np~b+%Q*ZCCzmsy!ZILNOyf#y}%h6 zks)86QXw51;6&sfm!X~+HipyCI0;y75Tp{;qG7Vd>CS9S`-{UgydBy%3fpfaoN{Wg zlBiCqtk^|*a~35Y zf>c++l1FNabrSj6Sjr7EgoJ}Vzq{T+O4A(G%gF~bn4V);6;9(1Js5p23a;v=LgC#~OeswHFJG%eu9yz7F<9=9b zn#0(`@FtkjIx2>q!HE5P!{bf`MVmz55?`kTx1v8R=~?!>#4;HNiyNgu+t>6aXFFHl zw&?5z4J|C)>TwJMJn4xl^M^kR=up3C`P@x)1sM(3G6meJo2k$)cYG<*|KKkSAwMKj zPLo6m={xR!71cGW9{U9@)@ygEj}kqtBsE&WVgNM|$1bALlQRuuKZsN}qgE1Hhwo z4jYB->UKGE)`Oy6-)DK;A0U^PhnTN)AVK}PQa*^de?h3`J;8#f=F+@Dq2Ff;z5zr( z7UuRaOf${8YnY0%%gL4Yn8ZBoJc7Sz5+9)om(G~Ext{HNNBHAEEt zV`c(6_kowXD(!@dqSmQv&P!N+*l3M~e@?$+F#E3H#w)Kc=+Jr0P?*@P&1qd*NMBB9 z6S`e4388kL#&=%!JY}9HMV;FZ_t$1jAqh7-MRVR;4Fep%?l& z=3DO8jQ3F|Gck~f)&VgsO^JvBQ}<|%k~@b_$EQ<*JL5h~EVLd%Y@IbcC9FRx!obZQ z(6%?o2ggtb*+=!r-=sFR)l69aY}OC@0d#>#-7bFA=jCENWM!6?M~l%VxW}V+&%_=e z6TOJeW;f_<@6Kz|yEe1zt2ww1DPo9~yCS44#+mqiqrSp`1WZ`}FQ>$Y&hUV34JgF>DfS0Fcyol%Dc0P09 zA#i+jcpOMZZk!XU^3`uvF5C#Tqv`HYXlvsFe@n4~!mel2s^!qqmg_{kKr{fTlorvdbz6m~$1nCr!f@1c5JV@n-k|&HKfy9Bmi1Q!1m~3@!%{1<# z_P|{n_$6>MP>{Su9Iw|}Wk}XawnwqU=7BrUDX0N}JX(*ifD#4^kg3EZR2q#z#%eC2 zaDW0#D&}zhL~iJBAYG0%7~a_yrnBt;0wrKH!rx_WQv58P{-Xt8kV)#fJNum`QQ>jp zh5yQjtINaSM&U-|&oCKUk1V4&p1_bwMy07UB@-dt;E%9pj2CQLwJ5xV^WrD> z9WLBh3m&V=W*07hK`aSq5Qf1j1Jc)#NzWmg@nJg-=2V_pa1qQiTc5#+;&$>MiYdHP z4Zg_+)ZgdE@nC8Ht%!NZP~b`O3W{3GJ9ZjtJW2|mv- zC000(Mc(h#<7ek#3zrwMU~k|#urzQ}Q;bWY%jJJ7F;;jBYV<$F$LDbFuKRW%6~_ZW zjUJqju#BqUg0+Jk;I2qK*OqW5r-En^Yp4X;9{D!}lO0Bjm`8O09N_XCCa3_@fHB~* z{4Y6bYU@|p_bl$gn0-Ah$X$L1%;TzPGDcS89cG4!@+FShLiUMuzfK8lt+5Ov8qvZG z;FjlGK{ay7+$GiJMA1lCa1PLIUt^1iJF!D_KH$R=asQuxKH>A+T{#(m9}gRku=yC-PG zx)v^&DORV91yt9BL#3MI0;DSfSfEe3HNf%wabxKhLVjMuyNLjx+XNrh;1QB>uFDD6 z>8~O@f=D8Ia<6p>qdLvay_UQz`l0Kwlq6Sxs!B>$7bl`){Fsx`h8!pgLp69Y z(aWl{Yfv&EfCWpP(^gn(DbeOkBMPL68-TeGg;ha-nkH$^=4aai+sX;FgBnQ>uG)pS>RsaxZ$UWq+h#TSKN_VZC77gVDmFw zh5y@*xJ7@n2!}rBi^fHmr%_f7^waKUa3YCwPCf4A16YEk?oofZuf71##q12eGm9?v zbJy+oWE?(|GmW&?R@GFF!+z%}lScPT>gA9KDkb(gko9ksZVN@6RSj P4@G|$duS$b_|X3WREeG! diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.tlg b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.tlg deleted file mode 100644 index f6f3666..0000000 --- a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.tlg +++ /dev/null @@ -1,252 +0,0 @@ -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1968:7:1968:10|Synthesizing module sync in library work. - - PDATA_RST_VAL=32'b00000000000000000000000000000000 - Generated name = sync_0s -@N: CG364 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1051:7:1051:24|Synthesizing module sgmii_ecp5sll_core in library work. - - PPROTOCOL=24'b010001110100001001000101 - PLOL_SETTING=32'b00000000000000000000000000000000 - PDYN_RATE_CTRL=64'b0100010001001001010100110100000101000010010011000100010101000100 - PPCIE_MAX_RATE=24'b001100100010111000110101 - PDIFF_VAL_LOCK=32'b00000000000000000000000000100111 - PDIFF_VAL_UNLOCK=32'b00000000000000000000000001001110 - PPCLK_TC=32'b00000000000000100000000000000000 - PDIFF_DIV11_VAL_LOCK=32'b00000000000000000000000000000000 - PDIFF_DIV11_VAL_UNLOCK=32'b00000000000000000000000000000000 - PPCLK_DIV11_TC=32'b00000000000000000000000000000000 - LPLL_LOSS_ST=2'b00 - LPLL_PRELOSS_ST=2'b01 - LPLL_PRELOCK_ST=2'b10 - LPLL_LOCK_ST=2'b11 - LRCLK_TC=16'b1111111111111111 - LRCLK_TC_PUL_WIDTH=16'b0000000000110010 - LHB_WAIT_CNT=8'b11111111 - LPCLK_TC_0=32'b00000000000000001000000000000000 - LPCLK_TC_1=32'b00000000000000010000000000000000 - LPCLK_TC_2=32'b00000000000000100000000000000000 - LPCLK_TC_3=32'b00000000000000101000000000000000 - LPCLK_TC_4=32'b00000000000000010000000000000000 - LPDIFF_LOCK_00=32'b00000000000000000000000000001001 - LPDIFF_LOCK_10=32'b00000000000000000000000000010011 - LPDIFF_LOCK_20=32'b00000000000000000000000000100111 - LPDIFF_LOCK_30=32'b00000000000000000000000000110001 - LPDIFF_LOCK_40=32'b00000000000000000000000000010011 - LPDIFF_LOCK_01=32'b00000000000000000000000000001001 - LPDIFF_LOCK_11=32'b00000000000000000000000000010011 - LPDIFF_LOCK_21=32'b00000000000000000000000000100111 - LPDIFF_LOCK_31=32'b00000000000000000000000000110001 - LPDIFF_LOCK_41=32'b00000000000000000000000000010011 - LPDIFF_LOCK_02=32'b00000000000000000000000000110001 - LPDIFF_LOCK_12=32'b00000000000000000000000001100010 - LPDIFF_LOCK_22=32'b00000000000000000000000011000100 - LPDIFF_LOCK_32=32'b00000000000000000000000011110101 - LPDIFF_LOCK_42=32'b00000000000000000000000001100010 - LPDIFF_LOCK_03=32'b00000000000000000000000010000011 - LPDIFF_LOCK_13=32'b00000000000000000000000100000110 - LPDIFF_LOCK_23=32'b00000000000000000000001000001100 - LPDIFF_LOCK_33=32'b00000000000000000000001010001111 - LPDIFF_LOCK_43=32'b00000000000000000000000100000110 - LPDIFF_UNLOCK_00=32'b00000000000000000000000000010011 - LPDIFF_UNLOCK_10=32'b00000000000000000000000000100111 - LPDIFF_UNLOCK_20=32'b00000000000000000000000001001110 - LPDIFF_UNLOCK_30=32'b00000000000000000000000001100010 - LPDIFF_UNLOCK_40=32'b00000000000000000000000000100111 - LPDIFF_UNLOCK_01=32'b00000000000000000000000001000001 - LPDIFF_UNLOCK_11=32'b00000000000000000000000010000011 - LPDIFF_UNLOCK_21=32'b00000000000000000000000100000110 - LPDIFF_UNLOCK_31=32'b00000000000000000000000101000111 - LPDIFF_UNLOCK_41=32'b00000000000000000000000010000011 - LPDIFF_UNLOCK_02=32'b00000000000000000000000001001000 - LPDIFF_UNLOCK_12=32'b00000000000000000000000010010000 - LPDIFF_UNLOCK_22=32'b00000000000000000000000100100000 - LPDIFF_UNLOCK_32=32'b00000000000000000000000101101000 - LPDIFF_UNLOCK_42=32'b00000000000000000000000010010000 - LPDIFF_UNLOCK_03=32'b00000000000000000000000011000100 - LPDIFF_UNLOCK_13=32'b00000000000000000000000110001001 - LPDIFF_UNLOCK_23=32'b00000000000000000000001100010010 - LPDIFF_UNLOCK_33=32'b00000000000000000000001111010111 - LPDIFF_UNLOCK_43=32'b00000000000000000000000110001001 - Generated name = sgmii_ecp5sll_core_Z1_layer1 -@N: CG179 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1287:54:1287:59|Removing redundant assignment. -@N: CG179 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1293:52:1293:55|Removing redundant assignment. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Pruning unused register rcpri_mod_ch_st. Make sure that there are no unused intermediate registers. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 1 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 2 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 16 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 18 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 19 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 20 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 21 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":92:7:92:24|Synthesizing module sgmii_ecp5rsl_core in library work. - - pnum_channels=32'b00000000000000000000000000000001 - pprotocol=24'b010001110100001001000101 - pserdes_mode=72'b010100100101100000100000010000010100111001000100001000000101010001011000 - pport_tx_rdy=56'b01000101010011100100000101000010010011000100010101000100 - pwait_tx_rdy=32'b00000000000000000000101110111000 - pport_rx_rdy=56'b01000101010011100100000101000010010011000100010101000100 - pwait_rx_rdy=32'b00000000000000000000101110111000 - wa_num_cycles=32'b00000000000000000000010000000000 - dac_num_cycles=32'b00000000000000000000000000000011 - lreset_pwidth=32'b00000000000000000000000000000011 - lwait_b4_trst=32'b00000000000010111110101111000010 - lwait_b4_trst_s=32'b00000000000000000000001100001101 - lplol_cnt_width=32'b00000000000000000000000000010100 - lwait_after_plol0=32'b00000000000000000000000000000100 - lwait_b4_rrst=32'b00000000000000101100000000000000 - lrrst_wait_width=32'b00000000000000000000000000010100 - lwait_after_rrst=32'b00000000000011000011010100000000 - lwait_b4_rrst_s=32'b00000000000000000000000111001100 - lrlol_cnt_width=32'b00000000000000000000000000010011 - lwait_after_lols=32'b00000000000000001100010000000000 - lwait_after_lols_s=32'b00000000000000000000000010010110 - llols_cnt_width=32'b00000000000000000000000000010010 - lrdb_max=32'b00000000000000000000000000001111 - ltxr_wait_width=32'b00000000000000000000000000001100 - lrxr_wait_width=32'b00000000000000000000000000001100 - Generated name = sgmii_ecp5rsl_core_Z2_layer1 -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 6 to 4 of genblk5.rdiff_comp_unlock[6:3]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 5 to 3 of genblk5.rdiff_comp_lock[5:2]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rdiff_comp_unlock[3]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rcount_tc[17]. Make sure that there are no unused intermediate registers. -@N: CL201 :"/home/adrian/git/trb5sc/template/project/GbePcsExtrefclk/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|Trying to extract state machine for register sll_state. -Extracted state machine for register sll_state -State machine has 4 reachable states with original encodings of: - 00 - 01 - 10 - 11 diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.tlg.db b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/layer1.tlg.db deleted file mode 100644 index 35d1d7518cba874aa5f99431a318a487aa4c8f8b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 28672 zcmeI5U2G#)6@YE$$NBee{{k(6+b!&FA?tX?Gmh=uZJTbxHZ$B@jy>mOw0lSOT#GVhO|&h$Rq9AeKNZfmj0n z=Mr#}>FJr7Wapx*Rt((2j-%GG(`{2%ZZ0mYETWZ#=a&~z_to=y6|Jj8TT{s_GIX=q z`)lu%-V06L>^s?pPwJ-o^bkmWF!Mf@eLC|&<{z2AW!@kA&gl0?ew==L_}!sj4*q7~ z_o+W7|C0Fo$4EUL=k&;QN9){uB6Ve1%oWeftywKRr&fusnsasCopVV=cCIr_SbD>d_aYk)%Xv0h4L630@T?`L;lw0We@SsPyg${3pLektq zhqpi>!`woLXT7UK4Eh$e-a?@i?g~6F=jaNYHuBC5(x&TS)Hd4=ESG!hXU!(M(z2?G zwx&347F|=D7&&c%k-G+aqwX4prz-rKR`FG{=>Cx1c ztHON9lwv7gmc_EbT6yOt^#>>@!PT}|RZX|g-N>4ji@_zB8Hlid#rd)mI+b6(AcZ?hav?;QVSrZ#V?j5;@2(J) zY@rQ{G`n&=2hMy4E0OfOR4CGZ(?-Z0-0v+@gPwv1Kl}n5hD10FJ_(0`({ykCG&uK} zFHik&^52tpCN{=*WB)()|D%q2gg3o9vH#Cmge&&{1CHy({y$$MJof(sja!r0|L1Cp zBKH4-9p173AF^j0`~R{3fAl`>$KL-xC{jWF|5J(g5}C=V$0yHCNaG7*FOPn8q?RVb z-yC{<@Qs1DQol(44o>*UK01vjS*TIraHGh-|EpPT(^Xt;RTMt-9)AOI3+s3L0)hPu zx3K=*-aueK%`L2dn;;0-yE6>7#o;x3=nXn2y*=mdr}$+)EIfB&<|p`N_8aHkjaVmU zew<%szl{!%`IG!I`%QI#%%9+w*>ACfW45OmbCW~6eOOTLq?fb(7`MoW-I8-+c|`E zH-~>d^y|St4E%Kf$`Vw85_~8s``-kNYvfFD@EqxrGgWZPEA~x3K=WKoBOX@mX$RgI`BAp5hiZ z_+?b%47ad>ucD~`|4`z4iOlj;WAY~xzZ(DZ`0&_^qgBZN|I_dX!;cNE4C(`~rhb(C z0h|=wV>kH%=s?*$O~VU9DHm$yhg1;C`7%8gcdmP>^IqP}US>T_%l8uUg{-@2E1K!9 zinnRPzKS%Q+b}spc6@+m|U0#8PH?#&@3@;9DocA z%TernFj-=i1#TgYz#!M2V~}jw7k0*9F;@Uh(&K$-(z~L?RS|Bw2}YmKJ`1Q?XB3PV zq^*T(yz+9soQEH1q(U#LZbOIUw5sZ=ieXu{Qi0owz!X815thZMJ2Z*0SAB%qGTrT& zo=)W%MsS@H2>E=d<~I*Xi;O@lOZlDa6^C11e+%wJjRZxp*uy^`>WAt$g_76G^KqF z!KFc*@Jzs+`6vNBTPH!|0}Ru~eXYO%Uy4M7Vv&tMXe41@gP=ZTLj_+UtoiDa%n+k7 z>Pe<>Obc~(#aE12_XD9kY^FP4*8a_6wvST0Mik-7S~V zc!imUNPI(oJ;uYT!R!}c+z%BC^8tB>cW*FQc5i#wj_@&IdpCmaRh!HQ!@PU;I%VFa zuGX>`b|D;J!OgCM_v`#XG|xdY9jX3J+8#T*aZWCaz`j%i{}JYM<}kAwK(uNqHmlSX z#cS%iZb9W$s2jRYs|3-opWTM9-vFPKI-;yRE4ZW1EOkit-mDR;#ZGoD8yR>V8$HK+ z_x#IDP^-KMsz3$hk2?1RwKqs$s(k>W>TF+Q*U`6_sH8%u#!k$!7zX) zs2`_jp=tgbUt$(wD4Jmpy8;wmD$ByXhP?+5TN+gyHvjfgl*(tpEHMYctb1Pe!0&D< zp(}rP`bB_Ob}uvKha6e%-x4}#7Daux{q$`Lu_*e7nl}%bHdWJ`Ing~Q&grYsNv40p z@F>ajZ5ci&$$T+F$%qitf}ot(xAD@y^6DQmR5E)Ti4RCJ$welaXf~PqBy;p8b7UK= F{{hbkTfP7Q diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/modulechange.db b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/modulechange.db deleted file mode 100644 index 643f5657525be23029cd0d8c2cb0fcbcbecc874c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 28672 zcmeI3OKjXk7{~3kch{R_cM`;EL8y|`3Z+q#n5d5f2O!W;B`s+vMM4y5<=C^kCVt6w zwh6b~dPZv)9UJPo8=DjF)k<6(xb4nMajjMOBr@OjA*m0=>%g>NSHpdT)c?)gArYbqmVm z@0Y6dX{mZeVK1`>Yj4+{t!cD@1_B@e0w4eaAOHd&00JNY0wD1J1QtuB#^{*Z_ClAh zwmi3)Ma{r-gUDU+xyxf7x@q}|bF(w&XU(~Vle5p6+d<~p1+y>k@RM^V#}|t`9LY*J z(s%j-OMQ;pL34=#0Ol zl^dg@>iVNQOueubZ8(aa{vUQ?|KUw9-sXuJCthGDYvwXvn=pNE$?V#R)3K8Y)8W3q zz18*j#opHVa-rNfbVyx4AjfWbKBupQZJzGvYJH>c_&_ig8SEWCPIx!-0-k1e5cfjH zeYM;;a6nx@vNf1ULKuqo!k%Gdinkxb&N{t3Cd|E~iQ4?0fi;D_#U82sT)SL*zBW?5 zR$Z-54&4}fb!dL@@4+jBe6T+7J_VtH00@8p2!H?xfB*=9Kwbj#BSmGM=~gESxRpk& z%<}Cl^Biuuo*hJ?YaN}MeyF*6;+U1Lh4GS|a*O_6x4M4RwpN0q>DaLsOm#1O`})Gd zFnKj{dZi8zyk_jhJe^9r9qGsJ*e_aokvmzsF~9lR9pu_si+Ms-PS2~F)2riJD`D!j zL+(y>J1*t<@aZA)8p**;cx@ko4YRpHGOOk@OEY(R`oyu`yk-Z;s+ilVXLGhfHuvYW z3FEZcu}R|PcF%Cm$e&`k67a-xdRFsgvdVM%6M8>#?97Y8slblqVJwkX&ci6rE*^?A zb>lFeDw0_xA2d7hvQE!vUeA}Izv9q*teAe3E^o;n(Bx??Uxu4r+=-8`(6vHlXw4PK zEXRqu%Z$h$aa6LJ*@R`giD!pa+smvhSvsCNR>p&vu4>$hlSo_+tW!&T(Me}lvxK)C zf7wdgf#)^F^mcTc;%Z1&IdQVQaQ(j81%(EgzRQCM7{XlE|Lhfo{lR`=Kd^7um+S`n zgnh_9VAt4t>?(Vgy~8fEH`r?wga!g200JNY0w4eaAOHd&00JNY0w8eL2RZ^1V8`; zKmY_l00ck)1V8`;KmY_l;EoC0OY0))^#?9S$ucc$a=NrCgdX-cXoW+4v@Z8!G`BSS~##LCQ18ZME!5Dj}-PN`<4C3 zzGGjr&)KK60}TW~00ck)1V8`;KmY_l00ck)1VCV46VMG!HFUkKsTEx>QD4)Gqy@*ca?G+JOcFAOHd&00JNY0w4eaAOHd& z00JPezX?#auj+=>vQVugv{0n_U)6=sod82EXj}FF_3h{Xx7b(gCcD1B6U6L500ck) u1V8`;KmY_l00ck)1V8`;Bmq(8mu0Ol9{7uT-w;v~LN@{oaU+240sIR*U_jCU diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/modulechange_mixhdl/layer0/modulechange.db b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/modulechange_mixhdl/layer0/modulechange.db deleted file mode 100644 index 9273fb08ef93a996f5e8b09280612c36db35bf59..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20480 zcmeI&y>HV%7zS{=ahkR?IhX=NC07+{D?*Q`9|H>%NUMGzpcEF6s*8O|j`&OVB{Xc= zSYc*kV&Gpu3`|T+2qY#ZBqS!5vcTD`p;bXhUMf}3Nq&2_ljrV!PKQ%+`_{UU+-&%v z%cME0oz!$)yKI`8rs?X)t0QfO>cmId;`{$=x^{l&aZ$a>DBaP>Q*yTSLUqs}009U< z00Izz00bZa0SG|gcnEA5gXPIdol91Cxa->;hub{ho*f-H$zR>5EpFD#&BZJ0HS@r& zsp~7(OyS9jxwPAvev~Ve$H(>lrG25oYxuiwi+jdj;^OOR7H{&<3_{_uuxGY;uVOl) zZgyE{wOCj&E$%pf&bop>OwXp<1BLR$gx()Z#%>6QtG9Sf9_{Pq4vfC<#?;;l4i6uM zyeoyvBgxz#UTyr6X-6-V$Hw&jx!;4ui--f`zXOMcNtXEUF_>(n>!_HAM~nC7Cq`dt zC_X7Jjqa$+Xb^w^1Rwwb2tWV=5P$##jz(a0B(L>}L0i7dY2-H~ zb(oaG;?x$*^*x);&QvdKZ7+_LCC3ge$Z@_5~`VY7z>2jUl zw4&O!40*$H+B9mqLTtsE`?~Q=?|(d9O7{Qcl}3J$@8lc#LOzpE)A{BW@}2tWV=5P$##AOHafKmY;|fWV%> EFS|_QfdBvi diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/modulechange_mixhdl/layer1/modulechange.db b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/modulechange_mixhdl/layer1/modulechange.db deleted file mode 100644 index aa64eba41b014b1e7e91a8029a3d5bfb299a224d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20480 zcmeI&zi-n(6bEoSahjE+keHZ|keFCtfjcLGR0Sd0rK-M9^5rhJ-}!wm%O!dEU`I$|?I;Nx$<~ca zhG`l%88ZySR7Xi2ldx1rACu7M{}raO_U-wsy2`3NGVB-j<;rW-K|lZk5P$##AOHaf zKmY;|fWXBN*tce?OG_q6r{z-+d3~QeiYfHcV}sJ|{l?}&gB@(%+G((3r zHFkH@S$S40R~Ht{;f<-JB5X&a+jP(RLpuHbBo}okVR0e?CmFCF4Qk96EtWZn+jWu} zbIJFAk1|0|C!>{KpDG>7x~+->2Lx+UyTI@K*6n|Xqiv z^=mvGgmKGBiK{Iz&-|#v`$5ulomd3*?D6MUySpWot9r^@sY`)q#v-P)o@U)VpLOPZ zQR|RgnU0=syLg6LyMve#^~J#?SK)NI{Ju+}T*dztk#!!oJ1Oi-)qsHn>LPQLT%UY@w5{N(bO5I zx=sDLQ0pz+diLb~TqWQC+wTnfyZzPvVt=wf+8@*q0Rad=00Izz00bZa0SG_<0uX?} zxfifziiY{aD&?(}x5d0IbD#PWTGc=7!LgD{hh=%TNF$$oJ3{g z>qzAfA35XPGEvx3I}s}eBvM>%C>ff>ti|ZCg-MT$brtxQ`IFpOPqxN*TV9}Zk)J<) z1CKncMwiI5VXWVD`JTa^GdC+)=-!gFWGTOzWK8f8^b7Rw2oCgJii7RCeR1*dUh@@P zdhlJ3(>l@rs-Y;9rAPIZ^g#Q`=Q8}Hb{ii=Jwk(sfwd6t;x zBHDL3brY&fGs#Qd9r-qE=Y~V?cP?X=VU%?NsLkXbtr*NPP{X4@e0m=_T=lQ3^-I=R zit@kzK)S~Xh^_zWdoi1Y$gcXr@kET6RrK=XO8wKZLt?U_ASI&^N( zuSjb(pp&}Yb1`w*71`SwJQ}`n=gV9*nShduj5f7hpWi(xI8H=*2?jm5`$(81KwYZH z_tJ?>puB^rc)ke_z#YH@`N9LgL_qu5eSa@S@Fj26)Ok!N%p};zkmu~uR}g{m3sB!6PvwOokEbE$P&!4CJrmdf5(z>M4qsY| z>1D&9yfCs+5VCDlv`t3z)&dwL@^CKMX*5B;x6ot)rRRZ93_?u?eWP;+q2!PbKwPJ> zvD7Wb_O)vehbLGkKI`t44f{yIW-T$+##$sp}cj2WdfL^=llMkL^hGc zz0{)}%g$zii80SQkIU(VMqqLUf_^F;iC8FfchkJRgI< z4*d4qP^B}5-v(1Ww0ga_X8sW5!=89_3oti?7=wdve8JE`AMTud41{uF=kCt^rl#2- z8=@{f!PbPjy1sLILeptH0hq{jImOsWw@6{K6Uzclwi1W$ZV?YnC?tZ~m}~dy9(l8J z4dgTVi;lH1pgH;rFe`^w1y4ZXtYH(mL@wkeRXmCho3O-%;nm_mDif}EXV2z#BIosbnkDQ32x3p_Sa`Bv}=v*j*0k|9Z~m%A022E3m7RkuyMqRM_I{98x zDiJy`5a0)f7(vrhk)S=IX$m-tD>> z<&p|uzmLCaN(^4Ry9%1xAK~ll?97D)n%=Q}mj>SW%8+};Rjy-p0e93x85oBU(*t&s z>2a7K#ssn!Xn6Jjl);=(BStjqY?jc4YGF{Bq>A`-xAYM@Y?86S` zWOs9`DT0mY>RHNBzYhcrVY9Wzwv`$`w85A9bvtyKv(|iJc89oh84b7_JQ<(EIy+2^ zz1ents9_e*X@?m~1{p%Q`7VxcPn^Yk?vf>zf7avgTGyo+ODZ`m^b(ZZdm=h7V7p8` z>WrKsL=QN|?Yyi$7RNA2VOZYDCHlNlp9iq6U;$%C3{=Fex>-vPtd}c8Bm|BnIPXfG z>Q@nXIC~&?^F_SQV6S>Ei0ukR2<76<2&ZbqErFX4zI8R$?Iioqp?7^qw#a?{h0_&x zM_>Uj_JUM`ef};pw1d&pgrI$Vh5&6Xc8#O9mOr-k!B<@fr&zrc)Z!bNqgvSwQ@W<^ z)LB>PZGqeJ1bz6q>#v#_8810VJvevAhGpiGiu7MXsV>#Oe3+2r2J*!bS3L*64&cqR zHihX5S(&wQ6(x9hL7FMJl*6=#L zd3HL}#Fl{O?+RzP*Q&_SLO$G!G>nI5d{M`zL1OTt?GvE&sbT-UeM%Bl;Ig7ggh~h zy>v^fa`&;nNvdt;PXNbg!(DOpfRMl$Q5gsK6RhP{z*%{%a%_)lh{N2m;(-BKh}pQc zs*zxCny$4jrzFXWxdqESRQb-RB(W1Z0?dq{rR2R({YH@EMcrx?)|=VpGU~V3qk6;D z^|rdfO&PjrvFr~OcBcFwTbGt9{+~O2%gUk`95Ag^)rWLH7HsOxOz`8_^Zv?`poJYc?3G9%3B zWXA8ZrrOD#7r)bat1j_04z}68>iCV8B@-_*)MtgJjT(P$NVI9a|6H#uaA1%LM<{$v zXAotqgdv!8uLN!BOj7X1sbS&Lq>moPKK>yK($^*^2Xda_&AHWg+^LNU@uSQ-ZI?xg znm#z%E-q4>#sm?G1OUJMexn|EYWp+wTx{!a&m(`;-timB4>2zFbQe?4g*;g19e|<3 zR6)67?EOfoavDI6hJOQpp+``-EUSP*X|foRGLU7GPPtwHhyy!|*b2MqS|B*f`(?#T zSe?&r-eS_4^{^_R?#q7=D*r`j{|CYN9|Sb*Fx*tnbxHWc-ZSm=q*12ibn-!2vN4h-Q}Nuf z_itMW>^Y zA+eF-f>3{xBlWb4`Zo2Pfk9Z4rQ;*Vybk_*dAEo%?(P>BatpfsA|p)sfGhF${y0XY zRC9Q7Iiz0<-|Z5XtZXxo}*I)`8w(g&Y-1~@x4nIcSkQNoTDX%Mk6 zFeyc9A*>u)`PgAOW{6v_&CJNND}v*Fa(a-`!ZxvTS@oHB1NT4ee{kCQ+SxsF6cSOBgrio!-1*k-T4#bQz1zZ z?xRmgMpV-)Byw^7F1+ZJq+EAEccxeEsS;MtLGkpeOY?4kaQ+2Y&~$Ak2=kVc(~}k(sVH6cq0CdX zbNUGlRd)!@=(te@m!xqcvZwyhz3K&S!QhH~srEIQ5fLqP9GOE}WLd7{2M!=}mESty z9t3rWTAce!JnK>M7w@Wb2d9|Z2PsYe18x1B*~y$G2+==|NXPh zT{R*gJz#F+qw2bLT~7?M;Gyl5SIOY|%NhShPFmzj58_k$be$LS$>MR$-CrO}`9Og6q@6Y) zNcB5p%;#5VR*!pn`meTT)o1Qh?tW!X_{-S7a619*;xMDv$2M!@4s}qEj@pcl z@7yCOu{Wc+VXV5+jmz_lNF4S~q04>4w;4bYbXWtMSJox2!<)sPESw1G<7QLz?7q(y zNn;1g=M;CzJK9}C-SR(Ayczq1L&dDa<+Ughj<&eRP_0B?A=|w11V^u%^Es(XiQeI# zr1tQatE=qo5jyf`jB0Q94Uk;_$NMGGbEBi{s!X39?};c}~VWN(7Bu%#?QPRjT8>WOlH zM`$9rsDgW72M_J~R}OEc_TG;UQ7RjeH>}rD@ho=b?$~rsJbn$^*9+P2ecmRGU$BM4 zX9;+IMtQp%Mn@=e7O4#{HHyr}52FxnqiVt&T$_&1*uxU>3@lZV)pD`hc+Vv|AN^fk z&;7-a9rN(?-&--$V~aU-pM^KE?CEd=qV^YLYN1R_ZCOPXvRA@Sj2EMarHMs;+;KW# z;`7d6y3LD89*ojO6+WkscPkHgbvqE#&ZLqfyy&a*$Dt|Oi)d!F+V`@{^=rn@gXAHW zfC%;*K9w4$RXgfYC#F)T*>iPAV+UhrpK5k@uLi>^00*N@tXSWH(N?>XIApCey7%T7Xc(W?(E` zV}?$+K2qqU)&whBnkWctXG^sLZCbZ$c@`JC=G}-I_w0lno}8T?!NPW*pEyqN!}zm-J7|D|o#qFD;U8IB)qnTT+O6FB@D`SJ?0{ ze{C+>?}_d#?HEi({|W6Ckgi_k;)ucsqP9{T(i%i`3b94A#8sN~e7fp*ymcL zmW(L41V;xEFry{;nVuzhUD0;38?9BsKv^jTCv~0 zQ#yuXr*kqkl|xOZVuFbGJ@gzopk_7)C6{U=?;AOd2QWo24}#;EBt)ru{c2N5RR?Z7 z&9Sp}=6jBPK!6fc#bPkkGo z^jaQB{WFyI6#nMut(XO&P0ESl#Eop#_4eM*sZBFV?D(Ea>B7(Qf3)gj3#aYO-aX9_ zI8uCeSG2d4Z-O-}O?0UEyupa-MG$u4^}F(t451+W#Cf}s-c|dF2Low>*~1`di&wJHw_y3Ners!5IEcD{C*hOzQ+YtY<7ZfU9P0K;>jUgS+(~8thYi* z$4J|22E_N76lXI!pgU$z_%dFd2kQL8UFzT9TrO$*6Ar7()GT_(nOnc=&k>btA5l{E zW)_T}VLPTH7f4}BoVIAi$HdYjfiD-x3Gz*CRLAnVr_=i%$A_R_f-iG-rM-XOJDI3o zm=R-CRw5r~RU<;Xv?=E$o3?N^jzZ7W?#M~GguBZZ)Gxd=tOn0Ihb5?v4xgpq<{6 zi5LBNYZ{B6h$vG#8&}om*l}u@EUIoRluFdPtAG-531&*Y|(VkO`Bn=$Jdi z*Q@CwTO=@v`eTOQSDby7@f zPpR`wMXGOUdqX^S*eu^Ac*a11AR}O_4u+{Zu}yue=)j zcCxQIUbV~$KX5s?bH!!pSZ#!Ne?&c%lGIKsHUG2hb-gZEvo4HIztU$p4qctn^ob|2 zfDqQR1e8oHD?9#NEr~hDS&!GIT?}-Y->4uip64FD9SxH*YvPjlJg`jp$14gB(Av_C zD2vx2t}<}dReMTV<~j3|lU-Z0g@>B+RpjX(TQUn7EvJw8>|&o-`F`WJe;F&aS0PGg zI%rp%dvI;>3@WT0w>^G~kSg_EOX$_Q3T`84y3|YmZD^778fUe!Sj)zigQDVkQ_ZAN z4;azZ|E!^-0{lP`c{XGdimSh}7fmb9m4TxjLi+*lOiqk-v!!Ch7+}~5Akj*|k?_!( zUQZCv*&u`35_P|^X$PKs%tr4U1RK0!f-R(FbC+2r=YA|ZZoslqiaT(rqU6TJ|Diz$ zc^@8e-O&c9bO1Ix8DEqY@8Ke`Y6nSY*_IPre^|_;j=?4o!Wo2^e5lw*KXBY-q*Y4I?&T%SJs1t$`E^3iv*Po8e2PlZX7_b zUn;X+BaMn9FQHqSOO(LY${eDSZIbc~<|j3Q&qrhH z{1Yxax30hN5xUPVGgq4E&}Q`TUz?=Se%~%%a$ad4x3?u!d|uKp53);Ko5~JAEfT81 zmUc8b_q67~gP<|x@*duSEYAU-^b-$v#hv%7f}Qf+9_&z`i{)^J-4|sO1HOUdZx3Cl z=^dz1O+twcLgC zrPg$rxxL;U^fll&xWwYImSC(8``a^NzNkNqC!z{-;+~G>edsr@Pe7u|Kb@TiE&f0w z66fD<-jf{){0iMsisL>JlNDd=q^Ss31TM~3~6~ulYnSV#SgVawc$1Ze>H+v2_sS zCk5#R{PVU`#q|FU8wjI#8B5%LS`mz`96jrBh*_KI?~I4=Anu`Upy9RaE$5aDh{@*7 z;96kF=@PA0cH$k$1G_|dV(vMt?t_iv()Uv~;05R{CrR)YB5ajX!B_B#x6=@|(SLW^M073e z4~DIf17O+HYew~ccjz9>no7~stlD>5`{lQpmAOT6L4b;^hsUe^k->Z|Ed%sEmp}u( zwWc!V@1cjq2Zx#ysS!jrq;XR{n6A_#5GwH*{tgHu$S8b~DxzG*iE%`rFHn6di~-{D zb+?qR;$J3K$^^q7czG%TtiEnYgxV>-$Nkg{$>L#YdIFh_P0%?SuDKHTN^U5GjUjgC z{bs^*r_U(+$L%?Wfri0|J05}8@srEHpE#nls))(m1* zYue0{fvMsNM0LPte`v|C8}eItbxLRQNOtXu=~Mz+;QoE!k1FO|N^}XJSMoJN!h~w~ zlig*myU8A_KaS|P4Y8A`NvZRVG6P!F~;$`4)w^#j^mjc zxlznEZw8}=p8kqr2Yj2LoZn9wJJ^NWRM~g1Zj$d1JZ39d%_|)~VC6fPo(RiuWRs8) zh^`sb$sDq=%n}9;$f3?9oMg;|YhZ;0Mr;ALEP`>a^Jf%~6N|fWZ|hZ)g_*AhvpM5_ z+%~5%;Nu!^bJrFN0}p2|zZS-?LIw^Zggeeh?TG#UEJzZFOa9y!PCTFe;Y&3usVWD~ zasp=!`r0c?j%TcXr}#xyQKRG#_+wr-pR{Y~b!UP~W)%Wlg>dT}NGZ>1D9>{0RA8)_ z($Hs0)~-v_(oC5v8DH3^Fe!+rvgR(c*3ov-(>@8UwI8jupRcv|wwzl>&Pq>c8l=o+ z6~B)xc1S+G)Bsnqfd4fr*S0z%J%Mq>4$;N`9&DsHC$E25P=ENU;;Xjm&+@~7&cnf* zKc8x;7HX-QZm6Q_u@&tIvsblJ^^b}@|Z6Wuc1vL(arrvnE}6Jk?u@3vYWtG!i34^7ktGkqK)y@? zEagDJpwuTzdYK|xobid1!@Qt~x07le_p~EX-V&KzQ@0eb;UU7t29Py;=&&m6vH}a*M#qnwU zusvy)XQ-ntux0mu&WlKt4iY@&PCIK0u}tJ#P-Q+Cy{EDXJ+90=dGt+#aiXnI08Uut z@y$R#)fCHY-XgN7hI=MkNsw*OPK_#S!BR?rG9W93+qrcq=;RR^v%l_WY0Yo=dGLag zjj;otM;xD=_B-AemE1<|nOJ83#40b=l#S)b0f$OO`S$( zQ)d?8ioEHbS-q?u-JC^OsEgnjCXZ-vrt83GPiN@cbQ$%oS>j|BL0a*~sk z(dc81nId&MQG#v904UafVw^-w+bawYw-|hmMJ{4s6VvOL6D*xfioI0BV&rxvN1Fa= z1xN9;%ySi&wHGI!0YgR)eGAGx-g2FwnQzi&bI#XlhY^dH2JlEh~u_F@%cEI zZ)vy5wBUWU2Jf*@okRDm%at!ri{jq1!op6ItialKN(9NK7HqZ9!f{*%*Ep{1r{IK~ zx~AdtA0qa1ET~lC9P<`mg}Wb|jx>j|vXS+yPshk&vFs(jd~boYcmhg!GiQc?WBoP< zM!-M77nhQGsG~sh^xHD|z;BVuKfGm}ObdG=)w&}Ix3DC~gd^DC^evzAls=uWkYvFl z#zh~WPO}f)_v`!GmMfh;;=!|}ZPm#WHGXf(ch13R zGMPX&^!!gbd?%&TsHLg{`05}T+Wz9MfpSvd@bYU0^_=(74k=Gyf0L|u_n%*yElvFe z=5V%u{`hd#IdNZSvMj%k)HnU@=FKhUAc>L!)J2rVk68!hTC(}qPZEs}tp)wFrfq4? zUJ}#F{Uu)%D!gRLtnIL>%~dy;9DFo&iB~XX(tcugKepe>-^cfn(K?;Y!5M%xdnI-I zG}4!7JTEBfQonurKp^Du+sth1XoR;y90Fi)S&8@}rB~IHFLUzuDcQ5aU!0EHDtGa* zvf}T{*~W9ioM|X4n=>VHVAB-eKWSBkb6N*TcUe{WLH21sYyxFeFp~k^`xM5MWyVIQ z&0Ie6LdMmMZtdbQi^f9{Ak)oDYR|wixmJY%<+GnX_#fVLvsu?^Hv|Wiq(OI2J2@4P zPC&XY+qSQG%uOpwP3Gfn+7JJJz;es$ZH`L)_Lot52MFm=gY<;l;}ZSF9oit9QM~Om zF)KC+b)}U^m@f`7I-b?}QY}C`a+rqo)_RK3OMAXf*nvIDqcB<-wK&7sBl|jx_rsY-45{8lC;6+>JuWz<&m`-jD zMH=@-X8{N`Nx$Gcl3WkD!3jCE7hW7!k3h=Q24^Sj^i8;SktNhBuKaV#OX|O=Zm~rr znKmpuUwS-ZS7Ww(Ahgr*Poz=;Z$*j1#3# z>{iDZMi&!9LgJ;tf;-$)7WNYRL%Ub(Q{;E+@`!uKd8mWC32qCgd{$`GY1yUFGF;V9 zN{u%dz|5=F%qYhHivAnk#f#E<(dFwS~73-y(cMXI!HS0ISio;Gt(`EK}r z!PEllCHuklr1D=Q<})=)i!Q&H4Zb!4OOZB{XA@+;HuO6=+(^R4zKhJKPvGM#f1YlBJ%)K#8S8YLk2wBvO#S}n+MNPty&wWY5Hx5e?tEq1Q)%R^X6L%TF+a^$Vk=4u@Aj6?ODO$~ja z%Xay~hk9q2|9eEVIFogdt(5u0W_b~4t;MWm{-^fEwA?LkPfSLlZc`=^oty4H1=no2 zl>nqo?SZLv?9sja1%=<$=ywLh5V-Py#2d@=n2TsuX~fgPp8W(U?!4jiX*1b)=9LWQ z8yO0nIDOtbdlRyjGOIO(HGm>2yQ3Rv^nCp;AnCfuB9dzzP%R(yUWiv3Fnk|=ksZ^A z9UO79r!}?a@DixxWN>TnXruT_FACT=OiALG;^lHQDK$Zu{;cL1`x&jba>3`7y6VbF zC)pa#72&!L>vL-ofz&RRmgp9T&uGYHBy<0m@1vz(Po`Pt6wt;jeJo=aLkOJn^QPlQ!n=poG@ew=TnJKQjyfaf2+H+W*d(ur$V9!OujXdw$EH3!1Vn(x`Brqb!Vu0ppH zMB?un!?Z}jf}K%0u>HQjK9jH0(^j_4l3RL%18!9`SC~pigJS)^+I|5r%Aq#j<-E+4 zsHeO7Vh=??(7OVEFKS=MdR98zL==nt`vhWu8}Lp)BY$=^Mcs?vDPH2%P*LZ@>qWV~X>Jd!!Sy1~1ac?1X}y!Y0{WJjyaHzJb8EPx)KI z5~_{%S0(%`@bJPRw`7H_;_78;?whhfz^7yrz)yD-)5OoL*At7&<6!)z#0>mDni8im+iRx<^tHviiH!P(K1?O%eMN&DMz_Ka<(T>Cs|T`|A->ErHvZKHTVk) z#)_1n-|bSZzJ+o6YX~l@a zd~yITNVC{6bq@_xTJp;Mh??ry9wz%;>jJI z%qvcr!^yzaHV^{s>*j+J&P{4x?{I$q^0EoLBszW*@~mfz;Tj#H8?|fP&0wKiPQWo* zg6I}GSwX#~i$ZTfMx|}Juyi3${CPlvZW9$X_=)jWzK6Q3!a&v!Rihc0R8=EepC~4HRoWspo zn{ySQZr{T5$Lu<4G_%sEC~52SmaObq-prZ&akhB1Dm&`^v59Yc@P_HXDzb9i^ekHJ zr8$OhOSX6eOLDg8#n^#91%y>(P81BXKU}&j|KAWxJmrbk+Y-bcjh}GlQbJq?eCmypKr~* z_p68>8wlMsP`R(^7I)svKH`h*58?n4OlS0m4yaTOauE-5*(YX-6KPY_*)C>0yUbvj z&X7OPxZIY>i)ak7h}ajGkzEPzTsrBb9WluV*5O=^TYC^#K5ZBnCqw_a=vUgZCZcRA z0XrlMF3g{FmU=jacUB}`asS!VpUrV_@LO%&)7osVh>SP*3T-G6Sh%=1Jc5oo?Pf{a zR?1%*#x8rP9v1UA=~4**Co|?exKnoZC+P&d=&IgXcUSY_9rC5_>Ay+Tb9Ej2-ff`` zZBPO`d~9=xsUvN18UEGzFW_*ZLGJh{ZE^&+eSkFCfdJ5njcACG!LNQrsDD=^edN`bn$e^XGb!~(sB^0kx3}(J;!Z}B zf4tY+l!bgx+||HVrLzi!rrj3T({De}HtA4+ze#!d@m5203hC)@NdpDp{I=&j)PWAA z!I7slr3l(4y|>`7;n<`^yXw2khFMrBDw#sCDqx6e2q}mqraqH|Y>Dr^S&au%TB@Jj zjmwO|%F!jGz^~U|`=k$hZ%Y>T!2Vp>0sMg>au_~pR*I;^YuhJ>1@Kycj{8y>KbC)sH?=Fv^g}69!g05J#e$ecZ<@ZCc zlsGNt*V;}vy4yaP6XnLVpGK?7|E01Czk|A#PlMI(ZYlt3O=hGxX!#MG%NMf*K-SA; zrQ0yP*juMhocQ-S{e#atr_lx*4lNS1>TiDDM#wroj#_&ou0%uTH{j)5mPIJ|gYcrv zGbuaGzr5gH(z~YaQ$vnfVPJW`OXM!U_gYSxxPm=?FOjbo$I6SbQvYM4q?6Zh8d(AF zi_@5YzoTuOpFtDvkBI+Tp?%%^YsLrH$Bpi$|3WDq$g_zKf}PuK2W->njC@FRnTO0s zs3Wb{egh}Z$$Mn!8~z%f+`^@Z?Pw-_HXB*|Sr{V60*}oI7X9l^$X?f)QM5qO4MN%i zDFV)>zZgVrJ#4w#mz`~xVTPlRdx*uOEWS&glq1r8_GOAELxSQ7LH%%M@z{#eo0orX zUJ`C8UgLQ_k%EK6FDJLF1>Cll0jTv~Cau^34cGZZN)IfaLS^+e$^V+Xo_bYRf`efUN)3hZ;3{pUNbcO z`4Xy+wU@1WLjIw*wZ?>sqP?nW@ehrmxQ@QadT|S$r8-Q*2sDe3ei2W~_ggv@^eOu@ zk`$J3No`NMq2z(x=giDZ6eGn{3VR)){=wbgU&~r)H5pl!_YOX#hr0#`ureB|f7ij6 zvc=O`wz(25nHnfR`H6Knl7@etnf&L3O`p42-_>2y+;sr#=JhZ{FrIXHlumHKQpyHe zI1wqJBwfgRx0Xv}lN8wOxTwo|oH;9|@l^QL8O>O!psv~uu_W_4n;D_h!@=FTmQ_=RadQhqfs+aor#yjH!)&OiSC^Jr|Fdk?% zmIi){%<{W=gAVC;@5awLl(bpP{NK4o4?!%(KJt~Uqze%L%TBedDgZr zn_vR#Uylf=;%qupVFbJ1huP~6SCTdd5w=bFGN|BlPTALVg1nr<_J6g;dG+%XYuNyG z9-7}kC9Y?-u&gUzbqP>9;_>oUcfF#}$;)CXds}!e=%VuCas7(W$raceG;KrwYmH6? z-C6`3w=)r`M{WPrSjJh3Z#15%gxlG8d>JqNQ=Mv!Fx9qu@7HX5#`~2R^L$3g+I=n{W+6(=SBO>n>}zO*=}?_a{9N z3KMDGXmEg!3-AH$^~mBNk(UhmaQ>=8R;qDt7ZTvJa>w&#umOtoV{|fvU#zLBD#vg) zSE`pPrJLf-1*$X?sKN%oWT7(wzqV+hSbFS;FOWbI3 z98@&=D`r}}odo!s19Ds_mUbpJ>ca_>{` z95}R%kK!$p6+pmmoanbd>)E59ycTSN&IbS)WYOJF7vT88&`gNOZmOH@BD)~B=Q8jW zR8d}Xvx#I|>zww^%5y-GV-H2a8Auj7SU#a>4<)i9oGW$J;5B6KSIog(I1YDE=94V$ zi4WLN<|{;$x)vBWCqEYvC#_t=(}{V}pdQ|K8s0{>QK=qYhU)ogE_tcBf!*QyTeSD@ z*XEDBhV0s0H{pKC4VOyl30>^|F@xQlb_x}X&bez4DO-Z&CO#Hg&cJjF&+!fM#HJh0 zG_Rc3^gMka%#*4DI%7q~;Cz$64Qss)ZM_a?a^H^AjZ6Jq=qejriEJg^Qw~D!%Nc`$8Ca?$5WgYS*jXILpd3k3q@{7H zt^#S*3g6FTpWp~&7EJHgw~n8uq{Qp$8!uYO|5oXcLUIoSc*WtNc5GrtlSNEymndxE z#p{d8?|JS$aI4Qq7@6AVOg8nMaJ!r%Zry?9otS&dKlW{j_h_afaMEJ5{JeW|#JSHW#ta3rTv5dpzC> zCk0ZovaKyD`@xpD*#ZLnaoWPU-EG*2sZK{+uZ_PZtT^qGCVH6%cCEPU_S{{BMyzaPlD=KPHH;m(;)$va$^w@kH;k?@*X&mO+9B1jZ1aN)64 z7<6`jZ=$9M_TOsPy_>NU^N1;23q6+wNxfem>oqS32*<(g{p4K$3VIB3hBNhg@s>;W5p6j(XJ z6Ke~-Cq@egXVxa1jA{Vn_YhJ*gM}CWbPcgT1J~G*T%L&^o{8T+GcMbsUpX4RnPNlw zuk{`^^yO~sxuAvpiK@Jk0k^zo;`-Ul(Nw+0y2 z14tHOw6@vJgYx5G8HBX2Fk=_dfA4^=6IQWCa zflK<{xUoqq+GXGOyrVWZuuZ=@4rf7nJl=x@&0 zMvK?a@+J2r(5c08Pnj>&FBVVLo=(S zGYfGK+tt4@UvTq{zumS&J6_p zV7Or?8DmK&A3#TNjyd(SZ1S@dk)*Qr_;Tk!nmFH{c%tAhWAcy*KrS z{uHeE5v2IBx4^8?oWud8Q&aqrG+5*Z58W0oxGl<$?GB}&G|}}r2Wo-Qi0ZHTx?3?%sa6`a@`;_r|qk-=?GumT5I;gvd0CAoP*R7!EJkB{H-1P3<4L?mk^S&?W?T9IwZlW4zC3}<659F-FpO9ivy5DL}k&lXvi@ZRX25TgzF~sag$dXP#cuSP> z^bI(Xb0?^ih|;QPIW)WAc3}LuSWniH!P=&WuHCf6&AN%5*{-h;wn7}w!eH<1CY>?i zX^*iB;%MXFzCG8Y-u{ku{^uyFYzcEEz=-YZZrIC6*!f5?iRFI8vo=EznAmPpsq)*G z`EJ^IqSMQr^DsKNK-9>2-4s}KEQj}n4pZ3Ya4X8R6Yj4cJUj;0UygK8M{kmHO<4@} zbPzEVyurn2#O94Co>a$DaH`p3Uo7D3m;BtU+5J{z(Zns%u{PJX#!91pS5N6(Y_9pE z5$^b$=4aabqUF-xs_!G6QYTJ63wqmz8HEgKzli+4AEnzokB51(q_z3JVe*SOi_>dO zKIWgyrGm`nN}y6fKxuxI8i&9UpBv>%R(JsErz&&4i?7tOG;e`*DhGnGf8?0ehDCY( zOCM)mh{f3bXgF5|^&5fY6^|_H`dG4uPY4*H)vL;Tn1~&29KW>3PAlvi@DB@uw6xPL z9b1SChvx(rUi1XBebkCD1FKharaBainR>gFfoje7`2^~g$2Ml~LM^cCOo{ z=Cab9f{}Q59j;|cThH=3EQyv9yES>!p1kHqZ8fYzmj3xC$F=Fw6FJaP+x^90o?K?( zzV3Q}pHH{5l_r27vUkHZf@TD6vki`9qyF+HZLrPr=dSB8gtLp(h@u5LPw5*lWn_arJ>y9 z>O|vhQ1?;3!&lP7wm$|#$kpw%A8h2@l(!Z{InZZg4x8gef+*(>X0Sq#G;6csblYfK zU+gA-<|a2K?DET|5o>*WY>Ja4iMOR1*39db_`a3fRYTxQ8+ z9Zs7l*;7Zy3iEE2@E&FLA2bFkYcfPV+|0}*9_=L3t4q`t0KCaZbw(4nSLoGY5y8cy z$Vi<}{y?5nsgBkMCCnCt&T=V95?BZb4$32HTSL(=Y;Vn+?WN~lY(Q!6y*to|`)Em{ znKjhTj1c9+-F0dZWl*2VyI%)H?)UcxduLnFM9=GRb9}*vJA{+Z{Y8!>5VHR9_|?pj zu}PztTCrZa@7f928?b-6x%r(Z_jzE!9>BPv)5IjOs#6wtaf|ME{x}gLtY-}O-dliG z9i*Is9}0URK*Q6A_Ya6BlLM^#=5|(C+IJ;juFl=$ju2VBWx%8m%R+wIj*q|U+Z78u z#uaAYwp|!Ix$ly5(zD_We4j7IZ#b2SVIl3_02UYgLyiB#)_VXo^*!;UU;(8g3P=@1 zP!JI5U8Sis5$U~`&>{3BB1n-cNRbvP0!pu;M|vmp00Ba80Rn`c5FX#(f8LunZ{AGi zoIPjn*?acx?o8(1-OoWVPF1IQ;f!LA9Y$%eP&@Q938>(pgY+1*OST5W2r7IFVbrl# zFkwa0BLVv3lOTbk)Zl;bd>fs5RpfRYwcjOb-7I{>tgo9kWbt2C#Ic z^?6<%t!$abtYYRl^RF;xdU|yXkL?2voX>lD-1B>Sw#L~Xj=>?akmHK{4dlRl!jU2| z@Sp+%XL;Y`eR*_r05>0vz+L^KwLSmK`Agl&WJg5NUf><4KjfE%ulSX%T$;hLzKv4R z^OY4+=Ze|-RR69dH@+Y24;dCl{z6R-G5reLbtK=34#WXL@{G!+$J+PZvf&r6yXJ|B zzfcJHzDUYpg6i_*c)$}(wA~fc(Rp2bgO=_r?XM_ELzlRwoucy6I#Y0TEIho<&gA0i z(OK%yhKc!=)dBR(;@}S|iztjkQ0q;`%@RG7r!AX*A_)dSmlt;>NQ*B@D&O7l4kwql zl|x9p`E%A>QPCBCyN&E(s>UGmNPiMH%e*1*Wet}iXd{vG&SkWlkqu=iQm~))R{o_6 zL$|FR?rWD+5pcKy`jx>XLB!1}AY|5%XJ^P?99H?(pR#zNU{c}}-TfM;`$8;iqV1M@ zb+*qgZgORi#o)MKxi*N@JtPm&Yi zaCF0<#X9)K+&&yu{`Rd^9?W1ylIo&tKy5}khbdg{6qpyH%gDUssZ+D9n!6{R-n6aC zI_lvtpBohTwb(7Zr&zO?hWF@OrCu?O2((iAp$i+Z;=yAahj(9Q<-xai=%E2!>@$|% zr@!kHiQ|Wap7{A=T5h)bd4a%6LLK2OqGA%Fc*W~7a5#DF7T|_D>b4J6pnw-IFAapQ z-Xw_HHRZ^6KtHkA)zj|&-3Z|#p54ayT1-^3g3Bu|*J@o(G3xH?;}gP6<3Ym542OuK za$FQ|$pL$tLB^eja$HH!Mvd93s0zF7);-;A#Ekcyi5wi6nB@Yacjw-6&+RPJ=ihhL z6YsuUzx!2($YZP(#x9@g>m%AWw4t~)zW4YN_JWq0uZ zB6c;_+;ejJ?IPRFf*JZ~09hka6l*0>P$AL|sS>GQ*M;>+gx89wWH^Xb25XWd1Y<%S zC_7B$L|IoB8@|rqnor*~~sLgYZk$?4<%hG{t3%|dHW&m6kaxz(`flY zjcbHfp5yqUlFR5ne@7H`7TMq_V{EQUXkL!1s1FMr+*pjcx9yisn%FCCAj@K^k=RIr zkr*@UY~7pD58lUNSi6E{Wz8~8jpFUGUFB%~(cIqyuqanoZ8q+}NC9h%ER$(46!2r>1&p5Cg8x=|K{);@j<&f#=4B)pLdB%HFGLeJviL!Uk-f zTg48mFSAv)W(WSn=VdP7-}yYF%XF1GGfDO1!4-(2nY!&vRC%`D9UNeuFB+dSG#c8T zA7yuAW{yN;R|wyNmSbx#F{KNd{Vl`MUNuO6&MsUT=P(m6q+>pN4ZT# zp0yy5<3^cF)oQ*!B9@tHLy{t-3ik=GFaDt?Z4TzDGd7^?a;H15XABT!*+D12<_bUc zQz@$9AO1uhS|gC4R|~-Ta4q}PwFwKvW*+q5=GxgSX_*hhG(VWg`)LoVr9UW0eNa&R z&?++_R2*M53yM7TsQ%jpP86rdH zHx*`r~@!_8ie z!&Q;0JaLf9Q$z5xJYHT6M>zpRZoVS-%90px|N8oU{5DkejM{S970TL6s>rtpKFR zl4O1e#&JX!9NzdkS$jw4PQ4Fna{Hs$&wKj4Q3Y$D>%WoC@HBf}nMSB;!Shh#6wkG~|9iD&z2FoKXC>c=Zj*Xo3oy%EBf3?AOvRvopGS~nPO9e4ccg`V(2fAT^& z3cd1quljK>fQRFj0&O2(U-g~s6fda0m&K%4d6~d#hxDF<9~!qg6dD}=1ij%v9mfn# z6{Wl1_T}w1e2>k27)$7q(2@^(H-h>kH7e;9Y$1PmpwTPSaY8pX8y@X1;Z44;18tWfJUnEEzd-qEh`6maD%!ldafZ4d(IT9@?EP^1(&S!E+5QZnEcqFkS9xhZ)#vr6#QT6qMr zuJggHfYz)dIVR-A|q562DY<2F2%WzTD#T?+OE%P1)*+(qN!`%Si4P7iDz7_hB_sr+@C-0 z@V?KA(|A|)->6~ zGyNVu{M+NkmkRxqxso%254^1-#KT#lwg2S`87gt~tcaT}+|S8#jZxWrQ!Pr6J>DBX zjwn-LIDTNeeTVd~qLkVg+Ej#$H=ZSP>;R^+{0aLD*3D#46=mE!CxW^#&Ykm26 zqg`cc+sYueiuh?P1BE7t<$!(X;=cgnQHSBY@ZYVGBm^2yP!2x4B$UJ0b+d|STbI*u zku(#&g3%6SB{Q4-5dY+TC5(7Uyr~s`F;~Q)tp=j_gi_^V4K|f{J6oc)!udzseJTt2 zm>Aj(7)eGBy=$gv#07R5jQE=Y*Yu_rX>3Z`eD{Pyb{Cn%41I6Zb@)41WZ^X|WzR^c zeLb$nv#z1LbMBjAV5O`JS1jv?CA5zb!^j3g?c3EG_QIjj+&G#*Youp7_UZQKFHv9i z9;9BWjLc@dS&tJjuP856=qJ#}$VB|ooq@j-DP=qbKXcFZmGuVSTOW4=P$w%6lyNj|>a7KFPt8LE@=KzHb_4dR zT1WQhR3QA>l7$CzDh?-denz^E{(HH64-jd1zsqrp=ci_(HUSd&9pATTMYN2pi z_WGlkvK=;QtOfW-lv|hNKKmeMr?OEKnY_R6AgwfN8`QPA9Io!J`Bro94q{u&@N1>h4wUT za$|vH(l3<`k6xwHZX8*kf0f%=G}X7xBHhnjh1yP|S9obRcf-%M9Y~V{lm-el60qV3dst=5rmq?tal0-MVDUBqMhC&8x)3n3;r{HLvqx+OF<=h z0Y84s!w+*RC&0D?LMZ-hsOW^5ZZ-^7V#YQS0OTt#`!=a&c`pKTy~t^|WHvwdZ(+`L zM7!0JUOq&(DVSRy=>Sh=c6vHbi8Jwot;!5jew*Q?OdaMB)2RU8r&M(9HvW97Kfnj*9JehQx%T$rxW&$==l*}}IjJN@z}Q@07f;%u7k zCSs#1jyk4d4=T_>7WxeVY_9Ee)T_suI*W28Coft?4^A9RxV`Ue`S?_sAx~U0L@X|n zp7*Ku3g?S8k)~hi8w~>f``KD0^&r@v0qKMy~U*{7Jov`B9gk8xGXK5@&h*r-h z45ZN^kXM9PHF7+DeKDVD9}E)KDb8=%t@oTSq)bQ!_Se{}qqk>z~HnPnhHr@=&T+fxMnXb;o9m-;J` zn0c{g+IEtcKu@~J1tySRsQcFA*Fi>e>ymUg&E^vbjLU_DZ(>0YzNsA$GVi|k5F~p; zQw5DNmGAWQzm0QhSK*wm^|BOM6-Au~=62KaLX*L3&`m+F-(0Uz+|OA0d2_<##LPAe&hKX`D51Lk1b|z zPC;$BKz6JmCGA`DAkW9edcBlw??7fPclESjxoh*^nQ7(|oaR=;dIFxGUZ)g!jOH*W z_Iza{6qycq>fVFws^#-w?)07ga|bUAQPsMqm(YUfjA@Pb?qJ}3cN4*g9>L`X4tI${Ge1EmA9C?^>g z?sgjH8^pjir0Gv}xe>{cZeI*z1C*s!Sdk4OY!@HaUPd*zxd-{T8AS$s2(!wQKY#T zK!)8u#B0tEWj^+&zU6N9`5^(Y>a!K>knggS{NnXl19pKLq-j4BUH1f&am)&OWPEFv zLOJ@8qL7-k7{xrRXVs(VdxxlmiL8r-$RBqbl!W`SMZ!-PqMUA>W{4oVZgF=%-Diz? zvjt&!N#45s@@EpC3rpERI_~xHdA8TixqQ`7`PZu~Bo-)O1Noj|%(Ssr-jbd`V#SoscTynwHPW1g8kucU6H11CL78>kew{|tA^8?c5)%q|w z`u6C+ZFnr!c!pu6c_jJ(N-2AT=qtuP3XWsN4|(d$V84W3POYO`v@qUH6-b$apU~IZ zVLeB0nz0yU5BL7_?%c@MjgUzBm}>L%-QHuIS-V;-JajG@%JelpGRQz#G2&F1y-<2f z66h2tMoTWBz~uRPpG!%+5aasGlPZ95C|G!v9Y3MYc^OFx7nU~H%VL2yB3LP|^r0B{ zL)7-wEUo^=0}ER%EP76&(R4;y$kpdzSR=M9*hre=>y6$tdDc>&_BdEg@O3&7TC$aro}EMC3SoiCaCGAs<1fU8q=-T zGUctLhOj<4iK2a^5CQlc${!aRKma^jJ6LivzNc3wWS4of_3IJe52(m+C8KOqPGj5Q zh5fMYj#%zkVG>U3+=}1kvQZ<;^HnXnknq}-BZKn2cR-gO!8$YNjOAyrGp;N|An%fp znRJ=?2S+2mM8cmjAf=hL^@thfPY%~^ve3t*DW5x&U6F=yFB^x2N&?vW#Tg=~0kf7U zL4z53R9*ba7ft304^hsrDmQsX$Y93Vd3K2+vuDHSw#N8kjjIJ2n4e_}$yXd{3Sa>D zI_HRL7@V-9(eL|Xc&{e&UGBX5RK=m_;{}&lsUDXnfm-G|%+1d{9anX}xV~4()Kv8g z%w`v&7x6%pNLzu1-uc)@dgw%glV2Xcm_&RZkjDAls~s*ac|6uWyD{OasA`c)C&AU( zo=4a63^`_5sl&%#XC^afAd<=DC{W%4GNZfb8k1WK~fKh=;&Z_rC904kfD&ThYDa9IW)Aed{dMu(#wXvRv{I2bsxOV}`a34OBj#y4ySG6k(zpvh z7m(VNpFK#p1RHV;vH@{AV#XH-JZCT?ry;Fc3unaqn3zL3G(!AhR=P2#6bwV$`eyi0 zv6wPqg?|>|CYB*grEj=6^h(*_I5^jZV@HJq3-G#nJ>Y$h8q{0`FSO+{OvV%jW&A0f zXUh06b#AwzBTsYe024hr&Pa4eCdgRNn)Gid#G?KB1(OHDYk#;p>Ie{i=jssO)LWDcB;3)@da|BTC0Db7^Gbt}AF^I-VgmqNV7f#liLBOSmS^l6^b4 z#QCO;b+&1r^c^^TSrB8tyv!#=lJ3Wad;8^{{O;$(zqfkvceS@WL{flPBq>1S-Ix>mprxkp69HcBu zDZEbI9l?|*98=Jm%h&!|doyg+4$f|WH~cp(Y+U}$*~fEzN$|8lV?J(32X0wGx@FYt z@S`DfmCz$csHR>!0=G^4Hbh(5Mg@ z7JHa?F83qo;%(BcO#Jy(c~9ZDOZ{9XL&NDxPFZHW z$m0{66Iqh5A12`H4nB(q8oOH=U`f@Qg}khVnVPQ%O)kdjt!PhN305Kde3k=lsuXrS zyU06-N|VV>#TYgUeqp=)mDHlE%1XE~MPT;Fu|q4PL&clG%$N?D%n7BB-I_66_WXKe zm8OjNwVc{oRlksOB>sJ-=J{bx-}i)H_ErYDechQVTQ1g^5^Yt`)mNGYd;`dBfO6r3oA1k=?Vu zbt~;iK=&(gxB0rcf?fV2ccDBf?~@DuU(xQ1H_9&eaF|&bW}6TFNDwrI2Pf4;_4Ja&~|1_cR8J z({W5g;h4#{iC{K@`-(}$%9;tpwWrD*sVDcROIM)g?uG}u%U_@{Fx(W;mYY_5Z0&Yj zTOigtPvJO3pF*>E~5 z>YSg1Q~&1t_!#_Ag!_ylrL=UV_0l`g#kkbwQEeR8%20V5c$d@VorkJj^D_eGpTEJY zTGg?V4pu-;30;y=sX;F2*w}>xB(|1+OOfGl=`C9?aK@6IGln|$^2>uSetl&(MsXrE zPqbg*vZF1HG#K{TmwgtEjzO-Jc5L=NLkT@(*i&k9+%GqHYwP5+o})WMJo)aWA2Ntt z%LrkdL>!zA^q|S1>IjcbR32Hj0==?&mdTvJB|enQ#7GSBuOrP0by4+KM8C&+78;>TNvaTKk(n{03kMC}kRa8p)Ey8>1MOTaeV~U%y+s>)m z{T!^zMXX|iG8Pv#b~}K);blc9Hzv)=GtDNva;;og)|@pz_3D%sN?=ny3E5cJcp=-r0t%X-7H z=xoT=?}_-tEf=ny8zrklk}nw7t!Y#*TG#VloEdzmzGSsA$KKl;S9zHsARM>vDgEfWvJF~q zhCzfTLoQk_*KnZRam{oFGnktEFwLW$o>E9+In}JRN(nHH7!+wc2 z>Qqkd8H1Y=EGkaeDjDxjs^2#Zq;-C4C;m)zkzQ)?J2S(fGLhaIV5=2N0d=t zE!KRjrQNO8pig0y-&CW^Cxe?X5AI{Z5jA3;mBHDE&06<}4Xe ze=Qzx3G7FcZXRk*x z*NU-bn;q6x#$Ez@kzM6AAe!^Wv)YPuV%<6Lf3gPViJ|`OC!G9d8?INcdst3VVVC68 zsNVJhO#A;CWT3lG4(hHfaR4jvOIIA`+GhWU5shxyU2(l?TSj&}U!aze?~q@`cZA*X zv=Z>x|1s8)?bnQTq{{zcpe$$(nw%g34-l~3@;KL{Yps$sCo6(3Yp?AYOHB7+&2YvE z0DJN=oe~#-Q9X&t|1G_~5VkfD5RHEC$M&Bl|I_O90+Uc4>w1LbvS5NIf2>`*w%Y%5 zGuI8z3GqfV|chnNl7*xmzxHeYgITnM2?K;QGpIov&59p8n@N zo>2p8{&rl^0Pbcjaf47_yM)Yta_dILGs-AUFy)#CGZTz(64<6p<=<4-##&9+ga4l7 z)CDS0>35PK_=#(3u2eZjRs0b6mUa56ZJ{P=aW{HIXu5%L@QN0|u*9#qmnG{(Rg&BhD<*D+0v zSqOjuxZJ0=S8*R&KBGR-bFO_ky)IU-hPqLFmvR1@ zyge&a@*_!;$v;6PZBAZh+t|hsyHX**zZkkOhO#Ve`MRB)hgLYb1o|r|j)wdt|xs~V- z)Aq%{?7IJ7t-THF&zZ)@j<8Msp9gh=_fOzGVKKQ6xyHW^TD4*I3x;(P;4OPn!r#B*Gnp=%!?Lk@(4SuGL(QwQ->X_nU{`|=C#Hh% zCIPNf?xaPhk9?mQIPf2Pmu?gDHWdU5r~M5^LQY@C9d>cY5~`9jN^Jikw=O`W$yht+ zvpPr<#*l`0H7p;z=RJnTKby6a|Kt9(GI+tVUuq(+yw=rgC;ST zwEusJTg~zi_m#%)5O;+xCFaFXw(3Q9a`bGU?;AV07Dldp{v6W*BwgaM((4Tg7RP=D z6Ebp?qw=4c4A-GfTDtG4&|If&5!SJ?#Oq4m**u;5lRpj<;laj~L@h0pe+E{CJ^_?i z?oEGX@CNruuJif2yLF4E*4DP0_StxcTPi2P^-uC)q8~W;$$^3b zQHfIYC~?_?Nt9WC-?#*m`@zYNl5=*G-`eiMpnE8I&z7v>e9~!fQ*0=R%PVnb1&D+# zVs=k{V7->hv9!8|`Ufp+fYf}x1BeqvWW6F|)2aKpLabj?{-fsouE4AvBwOn}o*3Ld zh@GjKgzda_de!RSp9R4uV}q!=zn??bHH%*5hR|_oN2iwV<22KvBbtKldMB2hMzIJ$ z-uay$fS__aD+&8PFho55l)$yXr@YT|@-EXoIyC{0PTW=BPCXaUP&pGG(QG}oSRx%n z^4-?9oJ<6@;w%WRXQdEmH@O8*K;`;7QLu0rEojB>-rl|)h7a8+eYVBL$jy{_rW!=^ z)-0N5W-SPk5x>uNIDxSFo&angU$vuz)3nFqc#IebWLFkf>w015>+)+EolH)|D$oIL z7d8@sesd*=bnBt)?1Gs!MhCoMR=C;R;d~a^z? z(N}oNEA39d12PPZ(b;wH>HvklBCJ8cN$8gS8=gGKionI8zfK8^F~@lPrTf*{F5(LJ z8(VDRz=i*tUhv)LPdV4)5n91Y=nL)B4%{~%F{)ddUcWp$1CC3B!u^AeaUWL|4uZ39 z$4IaTud_JJVLUC%fE`;S@$FZQZxgF3@l0W_uWEAaV3HavztHsxW%=v`UR*%v)u`1y zc@06~j8@^B1?kmyHQrKE(@ysT=oKX{S3fr`mKpuNyF*Xdy?H?=bQ0@u$-IOIWLHts z?!ypCL(#qT9MZhIJvEL|<;=-JnfITa!=*IPDiruN^Rl5mlg67u9V$8{r$m;KZma0% zv#eDBN4LD-S%>)vzGV&zvD$(BipKB1Zr|Tm_Ei|vWSheB9K#6E$~FW3!{qcMNdn`x zU%$oS>AVOU%b8d%^5>27A^AmZ)#hD1v(vi3L2=kgMN7&Hx;{_S3~&W!hVNhd9rYN{ z-JP3A>QkJ-6>0>&%sQ3!Ce~>@LY{Xl95aK=w1kk!FUma-{FG7d^M-sRUgf4mfJ%`0 z!4XN*qy_%Pt^J(&%U+vS-qq+jnLt46A$6Ch&?_#+%2wz!Ozy+ zmp3eugxM-uIMxeVN0H0wxXI~`>G;o{mTn!Hq>La%u7yf>8+N)@9(s?9RoSmhlVnKR z`nJZ7w)!h%1x46fsaWw>QXR_81?PCO9>xlFvBop*67dj;dsjikA3MuOZ@(&OQLxR78ZY!X*p`(bi7UVdcF7uYj{g~NcIfBC;$Rmpt&YrNVcnB8%BWzb2^4AcI+8QjEJcxuK% z35MK9WtI@|O=#H1G8-K;{gQ9nzheH{@YKCvdRD!7QMe?sMDp^8FMv&sI|s^%6PxKU zwW{FbOflT4<4e$7#+ORnsO{o<#1H^VV;c{yy+-U^HMFCvm0~0f_aE%Izu$IXBEHU# zMzoi8N8o^X*{iHdiND2+_Ov(sbskzNM&0ts=q|qt1DDr%Y2HrUlaPNGpu0?|9RFfI z5sBw9KWN&;?qZ+!^Oy^KmK9K8We+iw^c13b*Lvl%Y8@R+e6&m$2iIAwQXUW!_=>e< zIJRjvNW_*&@uT~71|SS#eV^K^zd|BNk<&3R$}zNNbcFX?zef8<7fX>QUkCs5l5Vap z^X1lA=;H-BS(G_5y!Vtn##z&mRs3@;h2>|b<@B(Ck37B5h7%a8!&2Sn)V@oZhf_K2 z(w`oDHD8m7?30NEU>>nyNG_8f+`dn`dy08Pm8rJzmmbl~=|0EAl6@-#tdpW_tgwOK zmUgzc8bK{=;H|)IFW|rK8%e_DHzkdsiE*#ZnLSE7>}#{wElhN?w2bqU^he*-KjmRlhzBDcN+!S-mNHHxb zFZ;Ggm1E{Hg?uNMM=aPxEOax;neWw!&-nY(-R;*A@EwoaLARG+=L{pgt21obF4?tv zPW0>aj|9nn9M{xm?{kUAbyd^wXf7Y&xXv}K&;8D3TzXE<119BUJu&4y@)NrQwR5Q) z?IHNYfZx9=gppaMem`FLTrBL}hmWm}pPp^i;E&QjE#t>W_Ovv%4*V5^ixILN0S;2; z|2!6iTDiAwIhyyF!8XpMupHz`3WvdnY=mfYn{)BXFAk3nkKNzMWbPMm2!%?rX9uwG zt$KUC?c*Xozp6uJc}u_?p2SVeE-5VY(T(|*C>A$e0$~yEewm$HCVSXrIe8vFEoQUZ zyVDD+I>qeUY1*sQ>7n)Md_3}%pT|#X8d1Av-`g4=5|-nhKkVpx?E8qa;M}D7<~h;h z{l_;CH;Z?-edZ3#UVE>aEZ>hfXs?SfL6v#cMDJ!Vyk+V6_4dg*mmOcb2@45_Rwjqv zIm;&}DfN!YXRXsIuTAoSWHs4xA=ls&PYwJqeh(Gp(N@|;89S{0%TQ?f&`PIQ7wiMt zDX`&k`=YC1F5>>llD+n!nfHL_J%)BnI`+_Deu3p3kx0q0;=#^$XHfzeym+mu6mC3(uCT2C|d+ir*FA zNhQ*E=YvNZ+g+9kbnAs_=AqAQ6R%2dm+*{`9%7647cX*RJ|8kA&^6%7 zR|Mu>q1ZfBm01|O^kh0N!+fdGh}6UN>{wvwWyri;vvX?B`%9%g)Mf=F73t5HUZo;@<+8&gnqPm2GJOoW*w5~e%3k^0R8zp8(7CX9LmL`VY{npN{9PszzSBq~*u(Dl=u79NV>tA9KYz(-=gS4SU($7XNKmJ%Z`zK8q7rEQXZ{X_Izj&8XMV7eA{2UITQeYHgS+vKCULFjxa)_I>28s4aZiK>P4etRL_>J3$R{ZxM z@xRrQ9FNYIAFj5u#y}q+*0JNFNE_|I8AaBqh~y_Fw1i^Y2h<#oK`r7BeSRU*{KPy6 z#2SI=UR=36(n)oQp6qu8-|D`US}nFl!B*pYI%dl?E&7{!uM#~}>tJ%@B@NSsz$+~S z4D*Q04G!HYyZdt4j`_!<+ak2yK?Rd5#;;g|Bs@miGZY+1rkX0Ja&#O*jLE5Z;-CKF zDY|LQf?1qdsXthnc|m)$cI+U%ZS_`hDqHVBTVvFpL~OYKj^hiGxVOMLs*SJ#hvb^+6w z8pxm0Scd%DaCYk-F~FbEKR3^f==4IUxNnc``z(|#b?S;}zO`Y&Hq7eh^;l_s*9wHg zUn4{njyF&q>GPk*cVx7xSv}snw?D)E89lYdVmwx3%)cHRv!V}0X{#QPP_*A@e~!@d zLk~FB&Q}63P5H6x23!GTcXX(4Cu9`aeO~zP(0gnf3i`qq6{7vsTYkNqQ+Jql1%Ho@ zVukQT`pI0vlEG53u>o_I-Xlx#C(-?$W?Ky6Ab?vz)7`r73x+0nF0-oQ0#30q;EjO}(L$N(-jUJ* z>{0z^@6NwECnLd#Y5gRS$8%}!eH_bK!)T8vfLtMae;7K4ku4>;jEMD;T-mL*f{zAg zZ_l;Y(4|=oIFD@H+Vt)|{Tbv|6l!GSP!?d9u0`(b#Gt~F`H^~iJ4q^z6aN~V(JZ@X z7aVQH*B1O*-adGw!HSvf$u$7b-^}CPgFmaSwUNpxfd@Ej1AJELeyRaZa&SNY-30xikfTd{ z{~N|{^rpM9{#gHsE>+`N?MDBwVe`66je5X~z$aIihBPPKrL@aaCP7A#(-&I=?^Zd&49o)&&I+g@w|hbw<>@e`|NrF6Z6!&hkWb-4yY=(PS2Q_tVmt>yBM zP>=H7*&emMTC_>u6FDQ?r}ejw5rEpk4fq%N5i)TPGC_D9;c$`1#z8t{fu2(b9HpGE z$TPCsJLTrC$mFtj;K1RBsPfqm@=2YWa$F=0b+N`~1)nOD)3uztgE^47$aj2IW#5XG zjXk^Gmo?294muE0gKgIK-?989brOrVeJM3tA!Y>HWI#+SxQ)Ad*N^Cz7Kbwg6rf~A zf=fzywI`^6zhtaue%+jrqTg+9_5EE6&@@>L(v=V3y^hs?Po$3B{{5Msiq*xEWsYTd zq>J(jZ6=ekQ31OJDuf&#A7y^E?&T{Ltr2`QnC{xPDO+*T8fGAs@#TN9LO(9oD@gq) zrLrgTBmH>~XXR=X_<0;*GuqJO%AaX~O(#)t$JZJZ8GnGG0f1-Fg`-?cJSjDEYgzql zpUx$ZxSYz`1L^;9h#K~DNB;mNFA+MzInS(WqK<(SUgj^5)j9g45!XO<$Bz_%tv_WU zzzg*@gD$gvyV3;f-8{}eJZC$dSYkzc`y1NZk!j>hdD_uI4_vWTPFgNr=<~aV=9XqZ zb|s1Tw*w|A%J6T-AE+k`^FJbEk-rd|J!<%P9{+LqOqaf=oZwGV|IR#Lr5E?{3T4MR zW(|g22Hsa|jTW)6i3ZLr6LbeHhbhQ}9rJ#DGoD^NWV>;;)+)8X?{Tld)wUuNmb;7dAOyo^xK@vWif}F z+PysWw|mov(<_oevuv{V;NA1I@lmPl%4n%=e5r`G6{Fg{^;zhmHL*dlZS+jz^mgq7 zkDIF??xfb?W%A^+J6%hby`yj8DGQ%6H^YR9EMSs~!NbtK`9VT2fe?4cqQaI;xMlaq*P1Q70MdG-?QQhU^&bOc67za0S`=IbK8TuH_7-BK9Z0utT27V z@hR3p%JG*OI$}@lriy&g=Zzpz{@wn)K= zJebTMbUT>WhQXqmtp^E6DXRbZV*Vk~wYC zr!&m5A(ekys00F_-^f=A{r$0rl~Dc&MUGkLv!~Bu{|;X%c7C9eNCH!nDT3*}Q9?GI z3{uv#wy05a-FAszV?9LXANHBA_}_XRPcm!dcL3bsuhfrtsVvSY!MUs*io zLhmdh#B~ln{=@Kk-U@kl8V=FQE51wAgueie&YN1OKL3F^M|3i>WiOD+F%nh#!!`Y< zTv`M>&e+Ky@+nW|mGdCFd7ks|%_H%b1CT#$8bIh4v+hcWvXM%%!Hv?Gi$ioK#%U^Y ze31p13TIcwT@o(00v3hamxW<9S%e5P29Ohp<11J>*q=0%Jf@y{%+llB)KEKb*eNfWyRORS{dl-x z^k+lB{G6O?^VVEsIx3TTRz%WzNHF?_aftEl=^r++J_kdWo>QGjRSxW z#r%G|3x<2070@x?RoZm4*&C7M)N*dcH{C zha+}sm(^9SWETNug2Ae#jro7eocV;W?D&Pz$;IQGY%hIPq=uTO^n~3KjPxfb6h7|b zNfn-G!%Aa(-Cm{Gmz=_jq>lU)wIMDV**U?bhNtsHd4?ttCP@*dY7cSq&(xJv&wpuL ze2Aopn1#IQPE52CvbG^Axbn(5=Gp)dGlSkTxaM>mpK-$-7dP~N=EIja*tUoLpI>GK zpm)LyPQWt<=OQWRmZ5N35C9Z+S%kQ$q@2Mp*!L&M>4eV-AK-qb(@|ZWHQ-yeqboMp z;5PmyL)4}H@r)KA<{5LbM)$shj@iRX@^ExMi%mY5$2T))N%487`2iU{je z{N?M&oPX$7W^H4GZtyrWI4LH}?C^E{tVIVy`oMQdM|5oK{=IoJ!y&O>{c3-E{5KB^ z9>jO<)`crJXHG?rpX^Q6R{$yvyemJgG?;$rUl_uE_XRu1)2#Q*{;)do^bp>5)yAw< zY9p7U*O$Li%>~#CCbmvx%P2kVu%)x4{K;Way2dNA2&G|pxquR(Fw3T^M*Q@I+QiTs zXAis|ixSw|P?MiWu?RU25TEp{f=gF$pkz1IrW?J`rv?VuM>($h}858riqWeH_{;(T+mXUX`FA_ar^Ze)} z#nl)YQdLB4nNvk_`QjVo@{Vj1&*)B~5NGFa+|qq>1(On%)o9x?#d%(D>WY##h1$+( zu{GtP?^F4j$%{qXZ&YGxn^{E~Jp@qy7)e`!aBaTpM6wlNW$B9j{-jj6lmrj&fweqc{xBVtyz6#zG zdy+mB24w0xegQ5^?#X@QwBD2=SOj>Ie zf7p*-><_~B<9GV;7yUuqGh*d`OmNWQ72_W^wa;OFy^1V$nzSo30Luq@s!o0E;r|Ko-j-W0iD3w(JyGO^lKN|m1$aU2B zQ-5=^!ihy{tp9NMxy&9-TJOgZ@KlN`stbs3QWf3w>|7%$TX_c>ZiKvoZD=oOF6AWQ z>FsgP=OkXD7VNHC--{YvIZsE&vegE}GuZ6WQS^C{8tSn8G4r@*V-M80i1%6$%yAj-8LAl;nyni-Sqc=&ZaC0L?-e(p z*Om;=dWY;e!UvKqELZR?gB1=>V~e#!+7(VsXYbTHSPxNK#O+}d&bx23+k8uJY#-?6 z&-=du*E1;0?#d`H*!~sN*&MQgYb^T?4aCu<-)O(oYz`7U$yl}+(*n0O+>qM8KwK{K; z8ZTJSP4_{nx^1rdDWg6&_Q}r7^*tMP)3I$i*EX28ZRgs0)3&wDNi^%k=)bA;yRm+w zFHx_B1F-8gYf8PgOrYt2u(lqqt=F$D+Udu<$lUNRGR-z;=1=B^A5+zK)^&Hi)~zeo z(sSfwI`Tn{Tv9tf73jtMO|9RR^}Dow2i9+UJkR=_S-)%Zx2#X3<(;zW9H~7=tlKs4 zsVqZ(byjB5{%+*L>9U!g7pT@o|Lw}vYtHT*zH;Vy(8I3h4m$GlrfrznhPv8RW}8m# zH*y8-ZzSIIe8FiSrO`()?W3La;n{s;Mjy4dZ$L&xW)1Qx%QjJG4^;90@j*;%g?60X z$)C3~_BL4~uY?nSR<@S+tIgz`xb8lb_ZkS|jQv;d##&abhx)A7($SCCpSz&Jk>g+A zH$PH$+yrUzzi!@-seV?gZ%*kVzgTfc2ftg1#Yswe3ei4xqmxY<==Gia^~g7=u_x4d zsm2s3cYU+OS2n-_a$lR3k<{-opMI)yRE8{Xy_@(bjuoHyJx1P~(I}Gt#{?VlriQ#F zF5-#)ad=7wdkPU_Y~$6~>#lF)sWRuymD?oaqi61Oyx?P+#K7a-*yyvmFGA^K3ZK3i zJ|>m>)yK$_({`7<#yQ?+p2oWq=l85pF+Hw0E&Hyt{ys8GcQoZkwwCs)**nnYr9Za9yFyv??7Abd_tg&fhjKE~cLwt&Vl&(oH^#n814b1^S#O&v zKoe+&7&a}|Sbsn8QnYgwsoZ~hjJz`k>*!DRYSrcR{biEVx8mg_pYMg=r|H(0JfXYy z-SnT@??*p8MPHk=i8y?0Iju$?H}KnuFTGm>>a1gT&a11wdHwEG{9Df(Q2pH1gD)(j z7y%TOK!V<5NF#a24`{@)PB-w7!563MexuGTW1F~nw~g?*t6sOukEzw-!fxdiy>gX1@M@yQC&TPL|cw*S{6(5`_&`S7WYoi0lnii_ zH8N-5A>Apw>oIS}^ZrgEMTbV+7-j#m*2;|iVtj*|ef^ei zU9l+Z#Ej>*D@0q9=8w@TROfof;unOL@T`(CskzEO|Kz7xy1cVh1RQMpjA8c8-S zTQ!pGI9BI=xOLCng@eo+pv+6*jD=&z%1In+C+l%9nmvxr+T*Cx9yjaNt1Y8ZY&*)|mXE8m?H(#y>E z&RoB$qG|N91si4q6|w4!_3ZGOPubMIP{#z>aI~?*VedqV!7`*MJ}GX1Hfu zaRPlV9?@-BV}2CF$jb)!hsx&|&f_W`ZPL>ml4{b{_Cpx{XNSLT&KKya;WygIFrBNC zWfmV`r8RF(Q|MVymlKccC5nt5OAR$ zVK-{A*K_rTrF?^9hp=`F?tNyqUh1m3%(^<27i&lZJvn2odVhC(w;Srq)C|P7I?Adb zYCHSM%$-p`m?c{}XbExsk#}?c@SX-|>o01xd&V3lSuYHx1m`l=B)N7^Fw<&++VS!i z+Rw$DxYuB&78lC4bG5}{pouY0x@u$$IqIR5P4=5@?{fKX^)|MQq1nW2pNl&_s&&Ul zY4<@;=_{ZVeFfx#uYgQ^1;q9h7_yktPGzba)wJ3dqQ>++uFb=h3E%@}nqK+nZWV!9nn=N)6sFgme?>AXYLAJcTcVO>dI z4?yPP^?zgT8PIBgSPof6F+Z+zCFpAFmpCFJpM}gFe@oG3o;E z=Apz79QwlW&X8-0oCH4AWsQk$so8BXMKHoZMXb4?>^3f&jb^XW>2~PTvh9rWbr%Z* zqE*0@{%G5sm~YB8uDj8X?55|=d_xy3z5PJKgf^y0Egkc|b?*A6)9a0z#?HGPh0ljL zySrqlr&d-C`fxJ%lc{rekXfxbMB_eeoV(R_n&)frdly`4FJmqT=H`1HND>zF-nL?} z)wpCCO4ga9>JIZ=26z?wT>apl{7G+z9HL}-kau>KxEBbe? zyXDc}p~nc?x!mLV7EqZ71kgPOfzuvCXXsmlfzI4-@3htQcfZ^5h=8R4eb&JkKWh5y z=(@9^O=IE=e<4*lfj7QG`|M{|tQ-3E4$ra<=x01jTt4x;bo6z%Xu7~_vAiL6&hit| zfd-BEIWnE=t?$rJ`MLLn5{EA|<%i@miKU-dhfC?pm*P{Qt+j(y*!u}NVFh|*Q&CyVf0UEDIxMX#k3qe&Z4KNXeLVKvE+|i@Ih-2X z*XFM|c~6gXy=N<| zH2`7+hKFRjO;)cD-`BO6k0~Xp7KYOohCEm1qL4{0ieVd7G0G*@DW#qI=V#OSkrSDh ze_eZT$vc#rUGYoT>*D@taa~-W->bRI=_Y!P@}z!isp_}(c+qPm+B%ubAL_bSsn~>a z%wuTVr09GsfpPC@d6RAy`kUfH49x%!;$W;NRbwf|dW@Bjda5&?NY`&&eq2r(XIs(A z`AuxR7xeF&Vu#ZE(Pw-yh5}mLlhId-K5H+F+PWO#VhmfJ(iz8lH4OQdI^^5@NT)VI z&5r5NnMS#__f1af(om%{^}5UGs~o@8?vt3rqSysoWr}Z7853z1J2nPTgB#b%r!rklCczjHftc8_T3jYf5x<3Yz_Rc z%_>_rG4HLJwc+XU*&rd=aad|T=ZIy_yM8jm-rDVbJhxZd*OBhC7H!X{%mrrMkkKz7 z$M-rp9OQ=(`KC3O-sf=kbUU!)-VYm2<2zTZ$zAgSd#@c!;IP}$3pU+L@BRh$UheWq zk1}yB>ol}=Bja{-{Y?4RQU99J9mU8i+_K{mnG$W4b9r=HzG{HA@faLba7Ovc?tATA z?rhQV#dmJrH-9!ec;8L2ekh~#V>@LO<$GA|wEF#3!?4bA*|_7#G@pj6uErz}z25m3 zaI*LPnh(0lwS0XgQ{tUl6`nbux(Jk=$e>rDuXy5L9Bk2jot!a_ebf_X;#urFCdo(S z1&lS8wK^R2wzYRx&r@Y5s{H(7EDO@fjnAnbUk7xLazm}^*tzom^fP^qr&JgC&82t1 zr?mQa4RA)#dD|#bbvMVoNc32x?ml%ovCB@{a2hyktV`gfhJ$y6kEXQ8a z`!QzFW1vuC#6u>OtgLD@>2$37p;S9p>{}>0dAyJHaqviYZTa5zV9AiT&0UQ>7fyjzjEciYreZFuW|VA6t9`{6N)LXxv8d%tM1;ICqbqE9YcK{nZrL3%*Fu* zlQ_U&J`T{%mv-25C`f4N5-(YI_o3krX)neuw3D`Tu?sU}S5ezmbtUU|*A80}yL1H_2vLr>?Js;m&~*hW+SiN4BRtiCyQ{K20Cl}>aF_BkG3^anxj|n)9T_2KYdc0G|)9$OW<9sjviG zW6|{RoK@#c_wzVn0EafVj*oGdnIk?&%f-%I`91&czpdK)lJ~BdW;e^Q#GzN&I8ipk zb6yR$1FPopp#Nj6{G4q-y|Zh6+O~sVh!@wLrcBYatYeJv=QTy0Yq%5ihqx@SYIm7l ztRdt&`(3iCrpvkHznk>3nyb14?ge~?e;+zBYjD?l{CT}G~&>cai1)RBgB1n zOHAUB$M~7VAO3rkW!!cEEgn-AEXC@e$Ef2!h`UR+6TDy6?`dhsN6~$)y&nhfrnThe zcE&bqxASg1g9dn3!dYL|c)ut%sdmRE)zjFddJ>zIjrYU0a{sZ&b?2&BLU)cmGo?GH z{xzYyE3ugWV!Ctdgj${NDuM-wP@UFnR_bh)_^6%xaEMEU`8j3(j!)N;PusdfAA|5O znd>coyK+M2;ymYkB4o}dLfk$P^2>c9v$4?~v=@%C-78cV{w`W;KrxpL`$!+l1!K5c*JiVJ-{vzgdW%8t*UXJbjVyG15(pRRj85Qe_a z3LMa(t=p@3%yUys{rjq>Opm9F-??H&KQnh+D5K}+-RJo@Q>~se{oH@L?SAea-nqF-TDdRAd*AW?@c@W7^TvJ53pUWN zy{^S;&XznAuWoz)l{5HHH=V&fyf_~h-%|ILuGszE-S5BM{XYNz0RR6=D@o1CvF37c z1poj5|NoSHYj2~!7?_05;B zAegP)BSU02cF4;t^cQ|X@7Eg+z;(PwN(&1T5SKxZTJ6#@7kZ^&_2kT zG~yxBkz0Rmy_E;C9rm|>$}8|ZyC25ikmrB;oqu*{4I%sH_;#?AW6)GPhLz^o-&iXC z+Nt21)_!MS#XR<1ZZG|OeX^#l9LQm8G7Fwu7S|0AK09A#8}5^o!7ddwQh7R8H_?egT?vu+is!GJ%-A5+%5Oe3|DN_ z(ZGqr7TSaNoqeBnakL$%|Bkp`r>{ciy4D)O8%wXn?@f9wWI^`C10J}zUv!N%@`&(f zd`UV@Nj17Y(pDbf6TkljK7udd)2BM&Q&r6zfd_INa@@9Wp(fVqfhmVExHhUX)!wd2 z|1$e_75Kofco1tY&-QnNUSza07eXF#TSNW0q#qS&CDVaANBx+56ufCc_j-`BFRq*T z=fTL-ZUVG7mwit%`%cilb+k7~TW{ARmi_+cymGi{75EZ?FBwb;AFY9d&9C2527F}3 zo($aP|Bbx)Nv?K>^W4UhDejU%(%1QBFnWMc;6z>Wa0Cx0dIHzgH`(V9@Hn_a->&IR z`w#gF?FZmDJllh~h7GMj-3(N3Aa5N%0L`>9Txp@ls_iCxTiNp=a1cTZLk&7^VJltS zUu1i)+!=xE$UuIUV1a?Mp)FtP zO75J;Z{$K}uR>?9gRV9RLk`QO>;og_mrL&7fPCS1Le|liD0W!-1y3ouu0F>9F7hPi zy4+_VhoFV7&zt$tIz-PUdS^NHX;1ZqoKT-883V4Kq zG+zeC;~iPc{c2y>d%v%1-NEP{a7!)YaD?uc$M;M0FO7aL9>IN#a=!^PyY6Rp-PbpR zF73_JT#{?Nyyx)^gpKhfr+1DKVlCaSSPP2$o)^C7-?W?88hZBuKl!AL&#B-OV_`Uu zJre@6&)){PzX=vW&9}5axDMHQEcYj6-Z9?^19ZS0v+x^z+%PD|S6%K7LXKm3ERwI; zzic$?~suf`9>@FP>Bx}lNfuDtrz_t?%<`v(4&%k1W61X7(W|h>q(wSQ!|}qQ24fP zW~W`W(=M)2qrDPh%*gS7;oAyYXFuH?Ag)A3RzVvTJfYiXwRWS=l}BJ>X@jAKYaIx4 zd+G{X?xO#G6*;*W$2F6W4*wOt()c+(b~k9>LI-3E4s#vxd*fdE1F{DPBckvtu8>n# z$f+yjglUKdSKMTzYg`|{F|Qisq&YA`Uw3u3aUrLvkQ1-TiT_(U@h{~>{<-_A^QxTq zzmXGfl+%=aF@_SoD{PcwF2S)d<)^iLW%I~C@0eFNetXOGr4M2sc)oW1rej~gf^YJJ zZ-YIVF*-}S-9UnYd&2oJo^XC8_Y;?8SZ0iKFs0W?G&%$zNgb@isdX|pYc24AN&cp6sKy8fTz#(kn+zp4K*-@1mi z)tq9f|Ls8zFv;~%^|L_V%50)CeOygKMa${q!?dh5g#|`3^b@1~2#4rtx7*?LT?LM4E6Ni{yQB4cG|cV^JhrG`FZv0G z)qZ?Frf_@$WkU2u!>qO!{rb5dVf$y;D2GLnmtms|*jtbk%YdEsdnoQFoOn1&N4N#W zu!g(eemm(urYIXY9wQVAXz5VY`&f^)pC2v#2uFbE$rwV4ut^nG&tdf%tbPfr=CEoF zR=tFs=dkk{?EDfo$zhWkY;pH^Ax__dIHR=)PXq@VQUT`}Bss z65PB2Zr%WwG{7YdaQz0jegoX50dCU(*KL67Ho#3A;HC|5uMKdo7jUYdUci<5N^p7u z+@(I$27Rav`cND6p_cUlM@y0>uqbQ~{fK12WC2ocdjiOU!^Dt4lA@N8&>ZLf?*6sdxSq4W7 zZr89|?+>?@|GP@_fRomfBMf(k`q~c1<79n+U4_OG<%~1XFDo^@hscwmt`CdRY84ZYj4o-9IY$%*V<+Di%B$ho1|6J1p)a z<@(OY{M$k%g{;c5S9S&{7TSawse5uij+;(};$9wYcY`25;Atdrf-Q&j>Nf^P#xMkmm~}T1kaga;DX<(&|@fZ3GJJta_pyt9z0$82{c5FOE&At`{&~@#RQi*mzhCL^i+=RGi>Ljk z=m*=Unp^butcmow+dq*^@_SQ{j?kf)L;aZC3)>)B45dYpKj8X%Lup5Hk=wSD<V@zUm|X!j;RBYBa@>(Qs&+1f`xdyA`KcJGDcDr~*?3te0EF1_z^TM<5I_qiAL zPjyRvPk{Ew(SYLz?xVG(6yx=K47eYRY#)AD2W4%@w?k38nh=k3y1ht=iwy#Ayb&yAOwuuTJ=*N4w&*!2^5)U!J8(^yA$ zi=lWwy!FF44>L{G1*p#knj_d0vJ?pF+8Q5cK*(UoG=|c!CV}wFIj? z9SHBdh8Od|i&`@8N8b8+Qdu9>jN;j4ihi^#j}$|7zl2*k<<3|uXTDl5Y8i#<7Tha+ zeN)G+3fyW9w~DyCm$>Hz?s*OO9C1(UxD$apso_o#_vZh^a7!`(&PY905K)3f$cEttVh5q4gOeJ!5TzHwgEfhW4JXqOe+KGl@v z=e1>fF;YM!UGh)$q<)e?0jneIrU_O>KD$*|6=A1Muycg1+N?20*wpV{!Y0Em?e{Wl zg0N~6Y#(9gRoFg|e`FPb!oCit^|if< zwOznc%{i^FEd?wbn_!W(`r34a-88|f2z#M7>}-Oaqdn+#y{Wjb&ahaCiTZJ2tR?;A zugIQzry3Fy`UTbpucf`!qZ$=!zcuFTdTEX2{?`*-0lx!f&3nU<3yzHc_id018f#_O zdoB4%>VqAoP`?%!H=}6y*>gZ%$(j}{F?la&^kJfc7qMOmY6D|4fZx&89os4}-(ql+mW=Df`G3&GBZ~YE;ZR6%U<9CGedc`v_s8{SrX@CUJ}Otn~x=$7qa*~7AIjbrfc!m zTrpUNE6h4Hbroz`Xu=9@$6J~z&15T&qp61bVIWRp83Fl&Rwor;4;%jPa$hg>~J$=5Njj6g!{4SGd;Y6 zEw>@?%#d)VwRU-&>gYQbrYP5~30{C($qpTM$91sBTL{26WoA1e+@+W@ZO3Nd(^-oG zhd&O?8MrfAL)y?sa84L_;TT#jtdJnP3sVTjjt3C7R<>&j7z{&h0HFik*baSm7o@NR z%?-W48RtA_FtgD90Y34(gg~3Q$Yc0J%o*!~U(LSbxG2{om;lDUX2PA!?K{(T1Rjn` z(L#UfoOmv`OXEpxJjushGn{eF-x7ZGNUlHAc(`SErVgRzdQ0ZpBrnqoU5(CC=W|R) z3&IfLQd}sCCp3e6Oy*jkIS+xLS8nIyv1S)zbbadg%w_Dek($$6`ms3*)r^;=>$~#I z8RvYu{&F^Uoc z%OeOib9R=0U|yL{F@{0L39s@owCt@Xa3Vp?bGFPhiFRg>bS|Fu1Mc-0gAm%ihI@?v zkiipsk$i!VjAEF+Lm1k}+=<<*I|!L<0{Ik|o;QzzrD${XF;}i5+Jw)skpr3C#X4}; z)k5ZqeHCliazaIhJtLRS<-R}hRiowdikF$!RX|6C(@2lu`E17`M&4%wh1Tw!0@&{u*x`w-{H zY2Ozrc4GuP}@K88Lo25!r~w-xis?R*?<59rM6NlrjA z!$NRNegI{HDa3<-VYW|e)*ehQU~*qV=PhvkknizwTa3?U+H3@L2A$3$WiYkA9PaMa zccO(rfIW91I4$9qW;)aXhZ0O&OSjKVa`|B zrM9v;FfFr5@>l40PQEO#DYrqx8f7^LM_6#dw6$;~@W%eVDdKPpT9Ko12g!$M*Xr31 z)%$WdmEIR{c?GWAM{ss*`e)mYZQ9%w-g%k#Y%l%5eC_17Fu(he?|<9*0S}aeQtUzh zTy0}4#eEZEH1V_CfbMtKZJO#p+ikFKCw<*1z~cR;lRkh3IhzaFc)!g6+&>Q zA=JDwS1r#~FXrmixjMUB>451!#NifvlGiG&we<|vPQa&gu$j$de4-5L>}zIM89fMW zf6N>ay9G@E)gJqSbBfn87AoFYKDEz;~pO8@M6!E z#%vw@GF>v~3T|zLRPGZaPccY?v# zWMV#{t?;6Vmn28brKt82`{hKds9|z`C|2cp3CTIHduTnR?=7(uI3icE)5|`}4`D19 zI*!v?I~#XeZkAV#V@~(~LbCZS>dMCuTC$mKfF)Vkt_Ysis!{gsioGApd`y1C_9#n6 z9z5igKiC@iIHK|3p=;rq@Mq^SULNB#c8|85ua|5gzef3aEB7or@Z3UfUL4vv9Ilo0 zb(Sd>%ygZWt}(a1!ky&XCis+xhgUuoRDtb?_82P@XVyX)xvLyT^!Y6?N$+DUbR8{h zk<1`)Y;?z>#&a+~p_&!Pmt%SAQC@(h1Z?lTJKUe#Y%#b;7`_Txh;sznj8Dw%$M+y| z5)x{E^gFWLG zCO~HtI=3wCjCFzM$$)n{+rkW~lcr;Ouh#=+>|p)#yg16{)V!`hBZojs63y|2jlj^mxg=D}&@Sd&D zpy$cItzCW|6_~Ur(-QZeQ6_t7cba!vbAB%ML`U#odF=T!1&hwobR?2R_AZZjo-gj9 zU-qw+iFig_;)(8;{`}ZiW%taibODo>NK$|)PtE;NwO@h5ZLbHCwUeU7WL@F&Jq2k2 zd)P~hTeg!f=Iwe&-}-&gFZJlC%x#_D;vCox_7nEL=;)<+SEyO-4&9msN2jT7LEKNrh{^@*21lF^Pv~VOX z)=bYsqK~~be+D)}e4ShCtPjf)yf8?R`%%BN?oTj|*2nr|k9d2u|7WB5thAR5>j>-p z#|L!wES-+jdE*%Ro$g4t$~wcXx&Dnjy%-ZAGc?G8pBDwWMK@C3>zUq^zg}Rslb{!o zEXmyRIe#gVfG^v11lXyd-+Cgyr561L9Hbr^T4#6mhw15zma06_*{#B!bDnp!9tGB_ zB1OHYeD0b4&*)&V#chRt`TQX9P==S32nXQ_*I{<_*Ye)5dLJ2nbe$!hBjxad3z91S zk#rQj7z#{!J2bR3_r-e7cZ7U{^3t<*6RD>+_C;ysuKclTT(?&zH6r!!`jpKSgUbg8n@A-H~Z{}UGKI{9a%)7-t71CWJ?^Yi^ zJIbZpj2C+Jc_~x!r*zITmv_ZZlz(X_O5INAxofVM67S0Hi6Sh#oMc+@hilRlSbx>! zfxa9AY417u)w|eRbl#%3TVL!U>i=pjL@ACJm&b{6M?*6A7dk+0HS? zi9Vh%^58#ie8>zDk!@u4)25$}in$L@0yiI}dNdO0>n(vxvuiqtv9Eu%8ekk+gh zU5p)4P}b|nVrvXn@3%RBm;p*Vwx$?s7J1o3ij>`9{p%CZE;8P#^Nia`4;S|`=@>Qtfh#hQR_8_Vt(W=xTrbwW zV@|8Oro-gZP!~@{j-Jnn);+0nxRe1pLS-Ml?CL(6#-iiSV0)_)8!}vzPqvUQ=~$OmRVNWp#g`)Cz;yk7DzFHe{Ou7P~< zOQye~#v}ZVwH&fk@y&?;y`Gm@27E}Ly~v^&l$>=pS_-Df-puuxIZd0#`1h4>WW11BVa&y|Qo4yd{UuKS)mV%l^Y<|6yV! z1dpbv*Z8##hoqbz<+N{mlYOz*Sv&qd_@3(Y@t(g8)Hxq$%m1-i$_A-s^Ceqq+~!PM zw(XnvJNupz9RAZgp))yfYmGJN7E z^nZqZrEjkkuziBnQpVZR_F2;RZ<2odI~U(pQIljaND^d2*XPba7Vp{qk0$uOZ@HYU z6w)+w6h6p0jmWHt$hui*JJrWlj9HW$lr^BSL2phEA*a zHbA@OiGF(jGk*_MZa+Gv2Od1A^c+W=k#-(s@ARyu(wE;~8=AH_S53Hh7<+VYNVVSv z!}Qw!BT2-6KAzt@@@;XB9Qku&$8m>r7y^Hb@A1g6q|@=h;~>DrcfvRp&)w+x5YxhV zW_q&|PY)0{OV@Y8xW&wwHxtjz5GE z?#&?$Z)F$HUSr?963+@WdbiwR;!K%lYaa8ru^V&EbwlTdb%YM*)4{9I;rvzTklvgB zN{2k|%Y_crst)OU3nv|NSPC7A_tJ$9`SRku134TP@5rCNXV6|ga<9}LmfvL{9HnnI z3`^Hy9^C^)JE7;2LMLQ1p3^GE%I__R_j^ih-hNza-ueBaHwfp~gOu#$kNjUe8x9M2 z6Ez^t&%{WMejlA|-KYbbp0)DUP<*?r?X(2%weJSJrSrixo!w9V$o@xv@C%;!zvGEl zc_R4yjOH82P4LCHmU4I#)k;rGzkn~!BoaI#uIucpcS<;zZqeqUcn_=i9)*y%n|-Gs zf3M_~*q(EPe=%J1$E-sU>L{zH7*q5R&Mo9TiN&8y+{4r&+hYM=R6dCr1E`$x%xKvL%$Yu>)(86@*P2Z?{O`YQ_NzQ z?tK%#@fEw*0#AI?f|>L&MOVwebz#TZyKeMs0O#cAKjM{-q7UzU$G2tL`~M#R0RR8u Wa!}v`00030{{sMykP&)2zXAZ>5YS)% diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m.srm b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m.srm deleted file mode 100644 index c431948d3e731f98541a5231f3f6979cb6e4156a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 31486 zcmY(qcTf|~^8l*y5u__9y@;rQbm?8BOA`yd_ue}JM3mmEv`_`5g&KN7?+|(mgie4E z0)!p{&)@gWyqR~if9&4w-p$?K?akiZvc^8T_rF5$>Fd|8oSeh_YMOjulYhC69kL|; z8dFsNAM@_+pySxBSo%c#273;AH}rwg+t2tT&#s8!-ut?Mx=*Q3QHAhe?{uXCZBETk zo@wW}El}tHAvL4M`*ZBAe??p5cXZaVzcfpbEfX5Vfyb9(k1bNH-#xyr@UmI8H{ig_ z;!h=aT?d^-!5I~NSsEFe4j%?t?cbULw2<}!z%!1OoRG5mJ;->!P~A&zr__`~+av86 zbV&|3nqSJxRZ`1Sz}QaMJvUt5lG~JuD#(K=^{ce1RQ=ePQ&}%Tm0FDG9g}mb!vN%3 z9c3++u+EecCRL=(@w$>LT;Tb!W9qo%O3paSS%|&jcVN-vr8vcqIrxKE-ngdUd21H$kbk4aJ$>$wQ-nYZf=>9!%qIp&2@lJ`y zJ+<%cnR}mVF_neS9@u)ZQ9hUpUz-oOrx~WTW^>6cT|-CNolA59?G1nE(h=n){ttma z|HdL94(%q0eK*o~$11J=`5zH}_Pud@doR=9d|O8UrjDsnf|3S09v&o`a+ld+j$aL^ zx%FWYpogeZ{Bgxe?~cn;`wWB!K&7(IU}r%~S3qAma@o|Yw+Nr10y$Rg>-s~b=5LTI z>t&(`IOO#uep;B>UAC0FqAfRxOKl)jgRD+fE>Xv*m3y-TmRw75UAKQgbJL9@muP?4BlL?uJyc=kc-;yI|SvfHA zgWibr`UAvpA@O#XT#%Rsyp$|Jjma#Q5YDmtz7)F2-vhP1bd|;>fItF)fr;l!bfE^2 zdzjp?;N3%_d^e=^i9_v6=!%xjFJ%g^>z_lP7LjUx@29rC;|5u`u-1YsB~e2|nXasc zcRm-vqW%89%$}{VsxiMBQSGTk@5}3ltN4de1FLpjB9J9HC5)Lc&(NH?%?kNsEpYZD zCXH-ZdQlJv7%(dhKmE*=W5S)MaetK6%93YrONgN?{AqT(mNm;*kly7tdRQ&)G>QvG z+f&iT2Tb-pV>T;Xw{(xRbMO;UV3cleGc=ck+FHNWmW#K`bIP?R;s`t;O3ad)kU9)9 zK>i#Ri`{OTL2Z~zws-4=lIaQFVoO;!pF-ozA}asA7d|T|X2tdl)I-q4g&1YvMbFAs`!8iY|S=P_ey?PaLo0o*zhqNnt zbe08nKyyG8)0o_v#=OUlX-EAz*1I*Z3oBUE{%c)6bAO*rISt&^PU`6J7AMSDHcx!G zg(jK^Nowi2&@!+S3NNvodi{zJXX11iPV&y-)22DG? z1qv-n_YvX8q=zD#=tV)N>o=>Ht4`il{`P*bnxa)Z;Bw<2)|Ufd7Hb#)-6T|P~cYU_<6i7 zh_s#Fj{;m@)}W1X|6mKMzin4PI)&U&xNv}C1Hk&7ON)Kyz;>xDNF(~m>t1G?Ny;F_ z8vHv%gP)7jX76kG1*>)9nH~I9q8ldhYL)2iTNAe}r(TT$h=Q{W8@#tSoAONgwm$VS z$Kqk*55oU_I0;QU<-y}(bC9kkbPKqfOo{W$gOE>#aH;|5BmnhEuiCa00(hqzhQ1OJS{=V z1{C(~ZGHO+?Rw?@IR`U|8<;-kR`Vr9B~1R>cO71()G%-A6~+AXUkJ ztFwQMkB-A=GZVO~Hf6E2~7(g7n`jy>}{20p*2YemL%ndIE5}=hp*q8 zubYI)h-#AbFvSnI+AC_*YKXB8syeHm64i#ZbIAR;-W{+H<(ZD+-TVn5t|_e7Qfms2 zlJiV(k)Y&mrq6#!M=ZFC?r=I0tZE;?j3Xi=l(~d3Q3tHSk@keqDR|Wp+|eO5Qt+NE zx>2Xw{R$Jr@h#&!puLB)`DKuo`_^aU^oxZ6KhFgUOS}h3GbgJ`WS20D8iIDfqQOF7 zssvm(Bl;9h*a*JwLYjKj6R--w6vppKZw?SV=nqXo-{P4UYRkOXz(ea+>bZUoW_QK) z5Pc^)zX81iR-}$G;xJL3nT9(_dx?R?6uSvJZHYX|rwbIBvmDHvk)l5<_Z4w6Y}y(F zwdW^N-1EE3kyk|yP-Nf;N2~Iw-%5b_D*mEacIZVChpb=2Xu@S~ty&R5N5rA?mGt`< zw@Q{%oePmo`AWSj!qnM2!8-N`WMuxLID=qdV+U;KhTzyMYx0624_h$9`rlG;^tthB zm)Oa;$NQi)l++QMg^jjo&5LPLNa1jh>0$Oqz1Ub$)nm=d$fsvXMZm&8cAWb^V*(3{ zObhr^H>78GF);o6zSfb8f zLc ziMQJIGn7vwZ0iCN{TWROTlNYQw2q6&YawjiTU#0EweXRK*wYNI*S)+WD z(P|gGS2Vi9CAj8wtxIb*sK@pg8Fs)L<2SIZ3^^Jf?%F8yZQi*&oJ%bDi+#kMaVz!H z23KP?=uCSV;gVBTjMLfBb(RYvZ7UZW=t~q`_)qrt{@CwRcu-9YHT*UUjy<14;A$2x z4Kjik#&bUItR;%+ih6?OF0%Hdd?~O<-?Xb8#LngU>$M3^3hSKlxykeBkr9e&)>j44 zzotWb9+dJWuNJIPg=k4Qy6co(Qnvxn=A*e5(+|%9O9j?l7#%E;LD0@7a3=IhSyk`> z1_-AW6|lj)UIy1R!FP}D69!l3bdFw%J1Z?ma@Mmlu@3|w#4`H&hGBH zBt+u2>2K*!BD_otAV)g8_54w;M$-tbe$ZtGQoow@~%L!Qx$(#LjAL^9Jl=YPOgL%YC!lxd1gl%bIlNDfMa2U1TQ zbGn#>@GsT9;ayteYsbdU2oa`Oq8{$&# zg*aRZpy}^o1}2QMrhe?yDSNU%jV59Zv+Hll`#%+Pn^Usie`4QY zJnqvvuQlO~Qt}BnA+_}nCL`D9gXsdtD2qly9gd-Sy(Ux<(QS zoMUp)I2`bvT>dMwN3VlQ3AX%d0bjUSkG+&9%fj|UD1TXwQ_Iw@Lr4ZfUTD?w|HjR% z=94h%9enQd(;dIqhN6D6Ql3VhQ#5;;MI558gQ|s`Qygr+j58-S?)sP7qFS-$-!`_f zkGLSUfeGMQ@?S!h9m7ovNV|T>_2>d9j~)q$`|b-ZS>dyZ5dHhKQbl!CN`b1JA)H=cp(nd(uHv3ZNvuo+4npn?wTf0b3*O7vK(i7W1F!4SWftP$ajt0UmV*H=TZ z-2hzv*5nKTk`Wz3{_5*3nHu1zqDXIT!_IaA;Df&QpIQXgDxzAM@nI?Se4zx}kl@?LEq#*emRowzu>5MrXq_maVEeg>4M3SN?_p5* zfcqDO)g&m)I$xzyrPJf^PW(3b)XY6V(>x9gMcU;K2n`qW_nw_y003KVru~!!KX5v7QM6oZ@vsb8luVG?#gTYQ z_Uy6`z?h}!e26JPamrYotE8Y+U{}Fn0h`F}@tn|838w$qw71TTAX=7Emryjrf%fLV zU+h>r!W&!U=Kq#C<&Mfxqr%fiA%vON0;A9A(?iHIXSqi3RR%!39)RJ`;YF0vzYP-1 zQcEr^{SuxyHQ>3R{M=7vIV-3pez&mKg|+UH99_Ga?A+VKHm=)oC@u(3j7hCKxMYd_ zXBwRJhY;2FwFX62fkZ^}-6nhYHDjh7M^rP5O8Q0nazz^J>Sl6BqPecDqY+lHFr@s6 zCFFrw(2PaVHsr;A&%JPW?ObVF>%_sZdrzR45&zWx*TNpN|JxorIp)GT`8$HXzpv5y z+NTyD?=)s3?`PMXhIi`H&qpvY*A@QAlukwkU_19OBn9zTun3dVJ^gB3Y5u*a_XZ>y# zekEO3Y_>_+1?mE@C@rdDP1Zg|_SYYZO5!;?_L%E zWjEk7 z4AGZF3HG9`s%|r*;^K)!ja88&ovF+>42p7|jsFy?kG{^^GxgO0^|}hc$rweJ+1eP{ zC?_>?*?3kDZ>p@20-qE~N~O?t60wWzifhD|*VQ@X=rkLq{Jc)FGOdalt@-OL(7?{E zS|m6oB*ue++<$CT?<5oc>_e{fPSM}|pH)*6qD@{5ODFo2Hbx9s3f${g9m{i$yJa$- zn&$nf@Y-1bm9y9@SC-AG8DzJpGhOl9kWy2Yd@dF5c(Fpa_|0DD<%kfkApPjff&WAr zR>J9zCIdcWD{6)quiKhJm!eZlUEyMD)*@I zFT+5`-O-^f@d1Z(I$2b0(1#V?-rL!c&7G5OSFVc%pXEmVUWS{OvWFL4p1x9;Mo6(E zPf1bYl*IS#!S6_CE)Kd$cp=Z;0PW6e9ZttV~Ak&mx%^d=it2 z3k?bWYIl26>3KcSPd!U*_<^)1Hk!1|E$b6kCzVtobyQLZ05dLmcr%92%M*0M`Tp#X z=aux4jSs8)CuGz-aO;1q;5bRBZS zIvAaa+V&1kYE!V~j<8Kl<)ET&{{HNl{)@+~UY=68)Mf)f?04iRpc}GyvIOfcD!+(0B#-GM1`0_EoT7qtWfL)l8aQxe^dkUiE7eBssrc>roDV&ty`y zDrZ^e77<$#MEoUK)+8kE?(=^13!0Bg_5PXPAGpzn|8X>mxB610fb9R!PWgTk5?B0X zZ^u!(^xFB-nfWEctx%Rg(jcLCVJbqXBo$q8TwX3%;*n@>BY_i35zdu~x(MZjjSUVu zXU}h}ywG$>MOK|uD#u{H#;s?0zFbK!GUTZ6=qI7GF|Ld8({}xDq#4vu_+tTS2wnN5 zc%8a4-OT+^D?~favsx@(1RAdVii2agm(FeWa^ljGrm50!Ahy|Kmf=wNN;EJ3Nvw|E zW&LX4=Dpg$cyQ9w}G~re*TrGtB5)rFvDLUE0LCe<=GzN=Cj39yUqIv>#Q9` zLbk#AWEq>8eSlex6+wf-TYqpE1A(p$-N375_)y%6*ek@?EFS!PB=f344pWNlbG`}G z?B4@OD~#L#-)ODwi`D6yq#D>I?6rr+Ig5GSCa|(TsTqpD1;Wn~4_Aw&Z~KFPjH#dc z>0aEkiW9mPqq^mTs0?6wIFVhBb0!6-4OtLj>$E2AzhG{2(I*JL$Fuhkv{-&4mGsm9pAHxubeQZ_TvY zVnZZR!B|rX^l;iJ`C~X#AO` zyH!=kT7S*Nwe5v}D)zC8!I0H1oTo4R*8BZbfCom;_{i^WnU{~23r_eNxZ7k+OHxtDH)AqSg=87U28(VAueMLEGdH&-kQ+^}vr~C2uA6q< zB!E%`X+z|e+ME5&T<3p;BVHF?i0j-vxE%gf`Q#=WfKx^J#YDrYpmb>@}9szRY|Qx^A(>QzR?*oWu`1&iL^=X~E!S>UB8 zY|G%@dWD;f&PVy>e2L~!uPc6<|MWUB&J)ub*?<;2P+4z zic7mP?zyh^)11&;!sN1!?`5X(WmGHu20#WX?SGV0a+z{^S--^pk(&V4Kq zkydx&oFrM}A%sSfWr5a{LF)mKh?Gi(pOp+>A%rigx(o~nl68xdzAB{577Wj?F`0#@ zfRx!PludMb4Rotg>l}yb9G})X&REY%p3F$XKC7q9{;zAP$wZF88A1}16 zzSr~$J{-EeRp|273U}{RvD?1{kxHrEZ*3aAZtK5exP51g)zm1aZ8%we>8%yS(RMu(SoTx zk#ha3DaN^7a@ZtymB>Aq)mtJXkz_=TvKxVMNd)Q9gV$d7>oMwq;S3#J5`hFy4qNHO zBtu5~yL zLUk_n)K$BrX+FZ#!KJ=Ta750|M5~D8TajB#9?-qGZvx zUO^(^bVZQm`@-Oe7mlB*+!PrUJP9erMI*4Zqd-F^?lrANir7tJjtX~=x{U7s0=&j+NKS=fsTzH6}EDQ)@4Vd5_ z9}LXc+-@IZ+HorK!)KnxtX3EGpN$vF@))r*j0Mv=te&|p7-)g?mgGOJyysV6)jA8m zExmVkHFd<6jOmXb0MkC_7VVbrQ>9xxHSbu0Tm=q075S89B%!~-t>sYuEx@y{}2?ra|se?Da*Qs4W; z>?J)p%anbL|HAq~vP7h7#HDt^3e3*_3)gWm&WLsJVrT(L1;E>S#2Wbve{mcD^Tjp< zpe<39M*=xhC%?$!zFbbcx}0zTeB)?}UmwD$V7yg}Wbou<Hmn>DP~OY?TBp zESL8T7E-L+V9FFyZdCv$aW7u$i*V;umz}tC*fV;r^OFhC^DX?fs%&Lc23__~&_ByByestD%JB$Gwm= z1xY`XZ`saj{R3(@zMoWB_nr*cn^bb4@@h&y`X6{obj(c0DdT@6e%pUeBFC1%SH+LY z0l2luj+aqR4BVUSiZxWR$N?tX4(iAFS}-&t2inj z06OVYifg7z`RLpA8i!Xz_KTR7bD+NJKmEnr9-(xfYxhK5f;!Y`GfnF;O3lk|Of*5F zx+YhuarM+bT>UHPJNM`X;M>U3aY$`jTyIdcC%)$pXJI8i!^+^(g5Hu4E^NaOF-uiXCG;`iw?KH3-e9y&_1D?ecW<`p+ZEjHo#KP3Q9jO^-Ad$@ z%-9hIwtPJi<3F$XycuYHs6HCclmQMh%}|-Eb!NB3#w;?+!)H2}1%BupNVoZ;P__7O ztqfaGgoh>#{uUp`dN(9}&1lbk8B#0)r$SiZX>65&jdnWH0>X`Hmg??6t9&?ymT(+_9@{ zKs-8UA!s!h6T(^s9jbplVnvv^c4OlpGG3hmO{ zZSnK0r#GfNSMUjE@SS4dOQZV86R#eYx4$&Dt}DOggY4}36+uT)hi41Zt;8b|*82m3 z6VZs?)VlWfR)fxwEOpJnAI=^^?{olA1`%vF0{$N3oV@eg61R__V7@4AXDQ2s=BH$i zh8DC)Qn7v`Js@7HOT%l}ow;x0UxtQCTl;b_5X(AG{4~AYLh`YG5#9C0wtiWL`>th?XO16(Huh2O}~-0B|FWDriT+|}U6S%@LVts&?W zyBcoPT8GZ9%p$?z_LGYC^EMk> zV|%Qq^;x?BfuH}rnq}{aG`W$pr!37y(J6tQQqCJg++KLC>^7IVmvq^Tpf5o$% zg?U1gV)0Y{maLndr})QjX{1c|TWkHAGF$Bt$!-#L{bBzs_R5bDHUU>&^HSX;AM`n_ z_$b?3Ygp-c(2vpS*9qM*#xAQ8(t|Z~5*UYE zrmEr=+mjkO^L30ey}Aay>Bm9+TkGSer8~`iZrjDEHW1hNEh7?5t z7kwESJZlT~ZD}`{87*;lNZ6X2%)*-m=$(i(r50vD(howo=bf&mm(tHJF-Fr6Nld{5 zaMqMBwYwd2(9532802`pSI&&sUS7>;#@TET5ea2~u@<}j>w^~2W=$1L@bs=l&_+&m z>b58kzI^Xx(Us8isd$e&XSql3-#6I*N0sTltkK^DUhv|$eA9cqFxHIcn4C;wO~MfE znRqea<4J|ojU50wAtBSngwS}`L4@}(KtR&((#_n=!7=Ei=gDgC;mhoq#Cx?J;u>~} zNQw%T<)}rk8%*gHnfQwMix+uq?;-0B%SV!&FZ3_l9857QQHsnju0QQbGVj0ycu?k+ zX`z(SOTdw<&EeYW4wH}_Lc^#G>^v@B!vQm|wgT&-VW< z|7288p3bg<;Q*DtUEX%yD{Qshwl<8)zlqwv42=AMP&;4dOZ32?=fE6C)&IaR#ZSh8 zwYDuf>+a_v$&-45bBxYy4&cUZ)_|rg5qLHMs9_K8uuNPLy1bb%PgKV={XW|5J<;55 z<<5xRoa8=VkZFzR+4A;y3g_clEx+{KEu(ImLOdM>h&Bb*g!3#Fx66ELQb|AefIQsO z@zX*e2I1T{xP}fL2h20{o`exXb`)M=vrNp5_M1qMeKKf+ko_lNnc;vdn35gbroSA3 z8wCU@Nz=OgyF!@f{s&8#lk=EM)1?rOrVA32ErX*`_{GV&ql8aj`nl<(EYHB|2%LMv z+#v`w1<_BH`I2xa=?2wpGSgckYPs?E5WMyH;ePyOSn%hHeC?Xl$o%0=)@DCvru^5N z*LgU`4J^<>Kq zdfKvsk17cfUVDf3_!g#eLBPUO<0Pm8gAIaH#4^M1i?8KiL^h61T`Sz~L0^}3$o*z0 z{AL&~T01pivwKULXcWN^RnLsRY0+iKn=<|(3fmI;D)&#ueN5lbxqX1M$4)rWSC(V?ut*BtK0e@&P?@$o3?f_^dvQ+YPq8)-X}T;C#f{5 zC$$@>EiG94jFoOf-kcv(AsDV8QKh{9SpovN6uNPLnUuBkxI2>a!-68%w%+biW{Hc{ z6HQ5LleB2n`Ck4R$*MAmNZS0krr>f^ICAvxN}|z@{?lRjg4`Y8?q=@t9;H!E*BZ6g zi{9J3{z$$aBL82SoAhE*7B|DoU+@6e@^P=vFqAkQ^v0k+%j5Dpq&9Nv3q_Kamoa>l zs5nXpt3SMW_~&yMUDpeAxlB}nHd^b6RjA`QqXx7_!cFz+eO%@zGwr^Q$aX~+fBKyu z>0iXlDrz{}FSyc#P?~GoT(r^PoC0@fgiI}egtTkfNerEfOFysm%6ATp7RFkB9#G@1 zotLQ-SG`5W70uhw$|lkgairzw``Y{uX5qrN78Qc1XI4AWRy1D*H1deJ;|wNTN82q& z=cax=JozQE^{y}loM9EQAldmI{u5#_3&|Tcvka~`JR2KEG7LT{kIQ*={&g=lxqr-2 z$W>l&{((a^x;*$Za)~4uo`*L2INDesJMVkaJPWJn^?)}rHWmDGl6R>-OYo|a;BU%x z>d^J#<=ip+nCJ9KF1@91>ercJyutsP378f9j65c>+G%7I0?`QtR0o{=3g8$Rb&|2| zGbY_?0au@EdVpVCxU7`B{j1pba^XEc`*QeI))ghXsk`+o(9)F6sD5+Gbw4m*k=)851H)H7fBmSD;LnMCKR@LsT&Vgq>Sy~*4JEKUMwyG2On~x8oC)zg1L@zS4G%2m3WVe)Q_uU zeLUl1d{Po-b+t#kDFpT~3MN_ck{RigWB*(lEMT#|U0p?t7(XVj)#Un`1E(}%bVfWw z9#kFMn0y}n@--HIsJS{ol$!ViU~|#bJ&#}iA{X{$hw(g`_&G(@Zh?pXDLqX~m80ZG zBgqNSl)RT=Of5wMc7g4jZHl6m<+zpxnwLG&>p@{?jY>vP$gQCT7fpL|-}EEyFD49j z&57VU53BzGoSNGneBEC#mzzv?)QjM~JKfN!({VP*w!>=qDNv+cAUwFoTx`>SRjuoX-XQb5i<-MPksB0Q* zNboKtd$v$Db%8~N7;++eYkkv75j)n%`_5j0$VX$M>{;gR2?O&k)xSYZT5KOnp-fqf zJ|FeyVSC&LDDP-@k50s&ipnmp8vpE>Zy^aT^DdEl@aVwwKKYw{-qRCF+l#WkuIy6U z7^inHs_qYEi9C0R9*Lso`*J|=(0G@O&s(rsz`WVg+nDGrHftfs$m;+w9{xTUVb=>r zfA+hXFkCbZH{?a;_8riE=&5cn`#{$Yg7uC%*EK~N${@t+6O;IcQ)6#!#=vDEy0s`biRRF1ZKPmR?eEX#dSD~HLqA%ym zI{A&4ZFa%~CIG#@rq2PTS1|>dWZ9I9wv`TiZ*pLqu%A!h*j4{cj3)B4@ZHn|tG%gj zgE^lBhP2wG{ z!|h1=`SnG#aY4sA#^^X6(FEJ!h)Z{=mDVsB?3vNtw%8w#9>rqi5>W=&WnGg&*bHpP zZl6c4UMW<69A!8hF#EGz6Yq^E1tML5iDUY-Ej1`BzDm9=uYC@zgbxrF5OPQ(QHOe~ zBFdTr6c8m3xc^WaeyKCBbjTB2XG}Wp!Jf_ipZK!H7uXR0^}6p1C^INf`S$cgI+d5M zq1J52)On~-0+f~6RL6Rv&-bfPOa>^l;YRsm2RN1clVI_>ylp1{LBQ)qn^SLlVF)H!{)EnOI(oVGfshkWzQ#>Kvji~nG8 z1Y5(2R#ppA;A1N8(Bye&zxw_BNHs{7zlXXZvdV#yWQjm4=;IVZT5Qo|kJrA5WT}cB zO;Ze$ly95OJ~xraB}_xO9oz=HtQwl?7^_U>gE0TJRf0I|F^#1w-1hw2EC1NQvIE2s zwgE#Duu6Oau|2KQo`A^8OTlol6>58kb=Uc?YW5R!dv zBa_S5aC#PRkRE9{(lpO#G!#l<&g1SUV6@&R68JOl3Yc?uxZ-jJ|G_jbNcoXZfn#`U z8B*%&Ne?mXVxa1wdw$x)*rV!p%^#ZJ5$CpXD<0g%kN3{qxE-1GZ+_6BnWc_m5DOJL zY>OQd?+-;R5BLiLy^ps-COcT`p`^`Mn88{hmuso-ZO|2WhUk2@rE|&tkqxnX4iqnO z9h^XQwTp*$rn8~2$L{mv{daM6V@;RaklB`&DC&O4zEC-sl{%*s!5w2_+fx|st3L%r zw71dpF`MIce@G;i&DKk^ry-gDmsha53RzRXQAh}QLfOJfv3d_o3kV85t+%c&PId{f zYdSD2Nm#dJ!+ z;*K1B8N7HvcA;IbEOdrhFQ=@l;`thUpCr4&4iyhc0^`?^P5SjtC*B*mSC&i+wbYte zv}1lRR_96LCHg@?I)Es2zwtPE_)4aqBwq<7$bFJrj#bD~@ZmC^c|@yrtdrR-!m!Tp zi46AJy+^tE6PbfQ*K)xV*XP~jd_SE;y3;M+SE;WbGOQmeHn*v-pEIm~5CnFpuLs7v z{}xxkd}>DhQ>t9Jrrl98o@FPOc#yfv#Xx<`Ht|TOqQ$(4XX8d1R6>DYAr; zM(n^o&iQ--G(UE`C2gl*@Mn&?Ar1+f*ChZ|F@UPf*3PQK=B2Xa+RWB`Kwpf zh3g!3^7eDZTYqRL%7*|nFJcPfM>amG2r!BE`V9^CW&_2`C8x|cUQU_m6Ocax#Tx=+ zJOn`wJjF5dv%iLK{6d$o*{cQx-|%cJ+|S$1x~T*CJM&?0LgZ*JOlJ^A(^_70g_@dL zF_>4*HOGr44_&r@td10XTk!_<+H4vu|NNNw4C*O1aEzx~atN)EzIZ4bbwSj?tR14A zs48^VZxMBY&)p<|hO4Hv?XRdTzzj#iA9H^ULWvzJh2lh<$-0=QkD%PfBQd z%DTPYh$e+Nad4b1d)< zkzRi}#8k9XZ{%@{qoevejlYGXQ4i_4H$m^nA04-;aW6iz>==DB79+kEYyG!15;V2^ zdi=55S?qP!#YO0nNPuG;c6c+NucvDhTpmmXd&mH3%$VP2fk?{oZc_2n3qb}lcT}?E zSXr^x8!z~AZ~whB(K4?WnSFQqvrf`d*U#TYKI{JTX67h!jnJ|Ay{Y|?x;w9kF&pdj z^{Zwnk>!;qhliPK=QHj>D$N~c-%)?p167(4{9Ps$>(zYb`|ARKHyPl~VP9+I zWxCYcpFzz0g?Z;q<5*7+{|bKISNaNJTGA4D!FLY7vQIn{Qaen*1^1dytWw)^QJyxz zy^&oDmL{p@F?J7TFGPcp9_hLH=fNzI%AwSevPM7|nqv6m8=eX#&m_2N$G)1heAb|!IayaRS;uYf;70?qqI+c+_>i|_#Qyl7 z*m3O4t&8@EVUdl=L>(lK=4It?GS>rkKEJ9tZ8+y9dBsVrf4iPKJbhj4rdYEZ?nTcB z(6#eX4U?-pF^J-Bk5dU7EnXWe*1cV2Ppo{CSec@NcGijrE!&t(xbW~JP7L&6Nf-Q< zc|4dJu*DoOGaMPTHQ72`>@!sC3$~UW#eb zjf$oXSPY3UdPaIB-QN$|J#Cs-HVa4sOaH#o6+d|uqFjyo%rXJsy>6@Gz0%(*A3CWX z%yeE^eKAiKEzRRKJ8_HwJM|?i7b_RDP1qZ2S^X)|8T4^(Nu;tTa}*|g5Z|>eZ1{nJ zH>^Jc6`ho+U+lp#(cG5X4@^;J<4*>%gA0?dQ#()O?4upl25I(6Zp%icUuL@~HeO_$ z&V{HbOfcJpS`q9z{8lN4lD;@s&>@8Tg(?~^?7W24u)>vjt*FrZZq`v&AFr6nBE*KM z&QbJlnEVrHx;XYMGtzd>-YB6$Z4XWJn7r3xPGqqZ743z0dP|u1kckf-Mo$I>*PNq^s7Jg zPZ=-WeU{lg)pmT~^S1Bc@Jvd>i}FQw(9zP~|1S%bLaJ|i@gRLvtw|2OJnulCAVoG0 zsPosX?|VQuJ6Z2c+E4O}_E$pKo|EkER#V(9LlFogk}ocqCMdVng98TFd+c;0?xGJ; zw*pY+V)b%B*}>bSZ^9gKBw6?=2doCycOIE@r;DR5Y8;}Gf-Od(L!LrOwJn&yIvduo zUx(`kCN;gx8F${19I<m=Wwo4hwl^S*UG0=xJm60B3XH-$|JHX+FegoztbJp*VNS(@BK&nm zZ11&UN2L%v=Qd0lxZ}zZXO^ZXjNmA|`5;T@!q(RVCrk%N^?Dlp=mjf(PjKn6`@RJZ zTNV}V1#=1}xWwC8M!Aqv4Z9Hhb;Y(V4pSSWTMS;=i|KVQyrERQ{hkIRo^%AL%?)e%=-JkmZ@c2bK&0GKKGKN6B4} z_60T=P?Xk*!BtlD1f@phULkbV^nedIp!BPUc>e{g zXZzLiy=3xD3m7Mg1Ph!+3P%TiMN{%lw|IGrBL1d7--gbJ0L#JhO{&*= z-POAp3`>BO@v9(Sgbt zHNqH$nPQ2A_c(W=_s@dlf4kO@^2Q=uf;dnWbkl7z4nYG>aZFBmRq&4YXnie1TS64RAe3(1Wr#f4jCG1Y_O~v~eo+x96LO-nSCQ+j5d?Gf6FnVy4^)~d zYE>}5|6--dB2h_;t}C-eIq@LcoTtF%)T?XX9C0HJSfsG!butDLR(<$!7VezGSFiTI6Hb!h~3hL0xgaX|!4&YyYH^V7O~s5S8+FBDf?;v{Qz zotBAg5gF2(7Apt8ymeGX{RiqntM%NG1b(p#^uBnO9y+~B?L9<^tGJ6wSTyR++sp3=}^>zRqe~$wMUeg-%|NJqC4EaeQM9`6X62jb!i8^Y*50> z!=rFtmb7yDMalB)g8(9yZRJvmbn?u~&c!5TKMu+fk1Zz7AP@eZ09rbw#UrS}hz+}{ zGej74|5||&Qa(s90vQICQxOKW%PKGoJFLJ6L%XUgI1Ij4e~phkTF<~fO89Z3WMr^UWYVPoIF&WRv%c{HTkI{_uH}Mz` zcCdoRalVI|4wmypw?9w&WZZehueam*WbnyK@2_X~Q?lH=tjK&hnST1$e`7q4|8t@| zI8$z&Des*r_s*2BPnO63MgRH_j_32&@vSxaG?=B=_se@SC7adDbUs<4Pya>nzwm#? z^Vj*O+lh5ONN42!ez}@%$mC@{{qOP$%J0=Nnx@Ma z0wriE&xz$Ao%UbmR!&v?|Zopw+ z^sl%$bMN;1n@NAx<$5%ZgE$_>aqc_lekSRr3yQYe$Fu90+hvnEnUVRYZaRHgF2`hy zCzCH#Kg^eOT)Gp!+>K}7$@LJk zv&aFx3u$~e`%dpey2D?X?`8PJ{fPj2GQ* zx`OJWPlmu>DcbyVu<529k-pn5x#j+z{`j}?BArj@JM4^qTawk}>QjE_+jO!@DaoA_ z&p_q&i@V@f{b{e4Z@EoislKW#hh@b|AdQN9v-sRdS5}wKjZQH)s9eHS>Q4LQUPTM{ zi@h%9*TZ*qguV&vD{ohDRKBw26!UsB?EEgQt_xXanEH~uycsc1V z@HJH|o6lsq>`umbOo<%#SJ&TRgudbL{l$!s+W-)C@nZ6QcH1XGO8VVypMZK;O`+fO z?|m}+cHL*?3+Qv&ACu{7GMU6>`;@j%0XEd7bbs&9uD^Z96Z-dJeBVz~l6EJ3sXm#| zCX*@YFXu$0r@y!#(~H*d1cnd#dQTQqb|x?}XdCtv+N9+Ac7qqcO;X?rtv@5*uW|p| zBu$U+o&GmAb}y^><{Mcq#vqcYo6A?af`0a=)6N9Hbw(QdHePkp?)8{lZ>D1%cOD|V zw;wROm+=n9Z%Wd9Y&xH@Hj0kEnZ*i-D#kFzVN7LwbtjEqXRk#gJ7IUo-xcFbNxcp~ zW3I!cF^n-X=(*RjNAj3~7d=v*pelA{>ffySlUM@SdO^iM2ZmH0eGMeIGSJ0Ho zH0Az+s!U*H#Xaf=nB2+o1H#g^fVO;&Oz8U()>uZDSNKYF3l(eEs=Mfp7uQ+ZU2H(NuISi&n=j_Dwu1J7={$uV!1N!F)9Wr6bJweJ zAq#zRF8AqNX7fLl=RcE+;pa}dmi3|Q8@dkkyZ^5o&b6zik#2H*hR1<--2< z6~-i$#^lu50*VJa>c^#Xe+lbjf8JdHo)nM43WRZl_2a*EU(o;0{5LQM=LVSS)E@8l zk7dO=t~{3rsKFiQx~)IxZm7KjgItv5{{*|TOI6!!+`lgT`2 z@t3+S@g=wS!G@ZAn$5@5vI9$d9NY)%F!)FC533i9cjzD9?K-&r$HH1%->$DiZ=ByX zo8ti;u}r@$x&b!Ap%)zO;tgytU(=V_id-A`ANjgBfNkw`0{ev5yYI6xwbKJ`7u|tP zIv;NZ2c{zmn$zO>|Rw^OINUKp)$C<#P(%v`~Ro5U>2lf7@qF3 zpZ}ixWYAqvo3N6>dt`-|mUxc1hk^XA&&B2}L*tO#h z=*NPlYp8lVoQyA~OO&&#-S0EGV7#)SdGBS)U zOB*1VtPI4RwI_4Vj!Ix>kIgPqYs`CS#bbK`p5+D$KsafJ9lGiWG3%+ zYoVKSYiv3etBtrE_BwX9cddhEi2d0{=ix3B8#&rgdx+tfdKvFmuB zl(q9|pv3J@qOXMJ!ZJPOlO4wYR!sks`8wDMzSuXFczoqspBCcUF&*$fh3$g=T6cB* z&p*3vowvdCEiZKEV2Vnp$l$Pr8AYuq>P8W-Ux7EEHOpZg zu-y2{bm}@&+p0O3jQyLjIhwrOO#GMW&Gcpfp0Va>Ls~m$msLY=>Z3NM~%ZE9V^Essb-I`@A2S_cM_@cEPm9z777B zVqS-=w(9<(HmzB%`wvk1A>zQ;aZNLh^`h+O_;zc*cwY~E9pc5B{rZ{65~-1T&+2yT zX#BNQ^Fv2Fc7f>JTrtOVmDqAUw))A~&tHs%VaJLsF6-4VhcWNQU7%ji>Eu_;Zp?U$ zwkMiDxsBm}zaqxWn|SQO*!pQP7PVWvoUvaw{v3yCtT@-M!lIvyS0LK25b4;lqoWim zH{ukYX~$YI3TK?#56Xg9yu*zzpaF3_*lyPo>M@LA?# zbKT1I-uie@b;lgLz6sWq?KAhrQCu_jNcLYV)X7iQiQ_)k3GrLF9`Vcd8n-|CD45Tz ztN-8nQ2%>c?kBEU zQ(P$M#9lb>T%XoQXwle5eLG#Y;y$jz_E!I)Kgs=-)f(I4Jt#O2asFf0vcSHd|L!_- z#~GWd&T=^G9&FJL!5+j{%yjv@nZk!AO>YW>o(Lk%>&(=P^-k92NIcNVBnG$F1a6{A{ zV84MI>qM9OEu#~*TA~R$1_NvGFeb^$z1AW`i=we5{x7R9hr3|enKl2ssegoeY|}jX zfnzHiTh4V1p>2NLxYvFh-Y6g8M{M7?@m&9K;=hJNO$tA5ypLGfwBLoj{08lt_mA+( z^SzI?`8Il$+c(C?T1P8fecXI_H;cYI_C7w^(TzQzdGG^g=Ga`jws;eNjMq-z(=jNc z@vDj-AI{CVk2Z**8MaKvhWl3^H|mFU_r)-m=;juZNAGhG(OH=;MnT}}U^?pf<0HQL z;@}9=Ez|e(*yQsrT#5FzYY~nDHjZuc!6JQOjQLTRXK$>V_k;(f9dALBgdlkT|-TW@`us{II7;^foyzzw}mqG^3{29`PZ zT~qnw&%^fOqxJBAO)FGBEp!LWhj9zr8vdK+#`Q<;gZ^~ufBvsz>^q|;JS_c~6|sb8?|ff(9~?y9L>`mH{oeJfUMW0b47gZaXo#yod<=(Cm|q6=c8 z$ln#rQN#r%7Ra-$wi{|=I_*vxe5`;U`u?4Hh2xh^Z`5|X-B`8dWX^C}bHKP94rJ3-06&_s@$3Cojco| zE6l#r=Uv4`{!Yt^6>#i$O?`gH>O|YcxWf63{Wh9*@c&U~DkE>_PIvD@riYGO$5O0Gg$q5G}NMcBzMEwnq_pc-$S3Z^q&LDH$u#+BAP$L0kbKWa*l8@^sLK725)wY?It9o!{$gl&1g}QaYyEtRAt)fE4t@(el#NGGpl^AeXi;=s#WSvx5h@l{f4w&MlUE+ zM^%-pKKx+OXa2VvedhK_<0JNB&%O?0RbiDfBK(2gBpOAX3DN5-3y0|OzyAe2f-lfh zM=H^iWkv4;CfuPP<2>`jS9n_;oD*@l+8CSpk@Dj+^)bbW9>z=8u@~YTo%pCzJ%ET~ z29wFyk4**p$EN;~k=F#r>=gS)kNe&a#cO=-MC{CSmHe^S*Oji9*dx+H<&GvQ66|+k zh^~Ho#xceJ{^zpBkl8oVn{axQ&WPwy8_>w&&p#ptaUcByCj4gn-{?C|^=jg9Mmw{~ z&L-##Q1@*$UUm8p;B%VTm$Bc6hm;?uMLBg0UL1PYHj5Rta*V}&-+X|$8)F+B-Ub?@ zI0JQLrz5U0cLqf_P4E>TlfI+(DtZ(jgJ&Ux;`<8J?c7!xIKIgCUSg{cwj~0^=A;*l ztVD`U#WV8d^_d-v5<9@Lp>`HXh+;07i=xP^1zHZi&Y>or_LW#a)OW?a&t7<+y>uE% z$M<8H&qWs)2|u65ZWqLpxxi<2WsYhGjNmhwqE5Th9(AGy%EWQ)*kvH1qxEf!<0|D* z>cr0`eg{3ZX-u`bp0Gc4G6rlt>B$E@wn%g5`Z23Nj2A8wc4Z(OF*y#8%)@5^%_?caNubQj;I`NN> z=c^UXFQ{g9M6#N?3v=dK`E%E^D&@p{z@3Bcb*)4Ps}Tisqm`<5UPuQfh!)k07V|}n z#WB%8ODn6ddsb#YD;x+Yo2-h{4(CO>!zlS&f0(rXoXRofa!fmuOb)`Ozg1(9`r8uC zf<7i_`=pO4(Tznt(d#+fdaNI0CYxWiRdLes?;VImm+`+fx4JK4xOcNLyF#8Q`)ZQf zsN%RR{d=y>PTf@wyc@*3q56}~wdma|zRURED&E&-;Biugc?I3zK_V zV{$N-3)A)X8vE&~A*~;(KdJ2B_5eMb!k^WMWpVGXG*;&8e}x?7qd@b}rUs3V2DJ%; z14~Eo2Fx<^=dNW|`5+PP*%^pv&}L$njbwZ@aC}U}PK*OARz#Nkt+FjE7RlEZX^x-`0VJt=2yp$sqK*r{vn5IbWnF~iW?}m zz=@34(_Dg~>qUB5J)ci(-Uln*2bjxPozSw;FfEq-6)hK&kx*&j3(%g3Q`s+QBbH?! z=Kco7NSW>|CC2$bzGk9gK_7kX^|`*qw#0S)`k*_?LmDGFF6l1*k8~GT>h2}c-3!-U zT-06sH@b^YbT{IAsG|`k?hUfvK88})vCeo~;(pxKuPetvoazB(TE_#%*M+;*6~Yc^ ze~9wZ0j)Fqlqqi=*yiBh{!fKJN9h{pFUna5>6$j>hgmL|u9FU`#&VVy)1E?WkmR|j zpf$zkrXx~*Iw<6)l{Bi>s_W>c27G;&@0*#XzGhAxgCZQ~Y&|IXx>6g}|F>-{`l|vA ztWBMC@6<({7LV5m+`rY8d#WqKqx?Nxt5;EXZb5f0?ahL-c4=LT+s{9ey4pVydN`N- zbd&wRDfwvIWdr};m?PqIJ{TUE6y)xc;|gxzjAVz6PrLe zj@CHVF8PVLD=JhsUyG*Y*#j$Jb*a7=&>zHqMNx+to4vqh!87|d+`UOdW;`447}fq| z^q!3veNP9W_H1JW!i+7SH*C0*-e&Aot3mYm`mf%srgdZV0V)L+Q;bX6_%Ip$vm;_o z>%|a4s81sHS7#Hk{mc-8J=;kV+aWWyA4)K*HG@ZKx9=fe9)FNshOQl(bC>k?U(s%| zS5Eu&jWu)Hug!C`L&Cj^m^m=RxiV&z>pz+OWq-SX<82)-f9!)K_6-xe3yXFa7VIu8 z+nv)Qzh#1}c;GJnk>n%(Kd}FuVtnE7{mGwBA--@<;|qt*c+hyEc_g(>Zf~N4aT#UX zi_lE#`C94sx-pEjDC$np-^Qd|j0G_UmT|KBrz*FNj<$CqaB*h&Yoaz9=!f~npwG;3 z(VnxtW^5Cl0}p8qnBCVRW6#f17`4Z5-y+aa zw5tPbkd&osf(O{(6jl;$wG9~G`@O`bNQQS6-fuyWupyi0?>*zuAl*do!8<$wXc59v zKJ}v(eSTDpZvgM_frd4&QE&FB9l@$OtXhIqPhjO7RxZKHC$Q5Tc3Og+p1@9W*hvX? zasu1VVcR9x_6h7Nhh3FmS0}KI9JWz{ZJfZ4s4r-3FYAjZ2w95C4v;uBY0XM0D zYgfRvE8tcYaH|TqMg?4>0&Y|RH>!YpsepSa!^s*%Ww@iV1Dsj`chVnnMSsW@{UKNM zhg|9p!GPfmgv|EHj~GJ`peM|@?L}yN#yk3!ogoOI1!Y(Rc0)}7K?q=0q`NZ!DGSDH zkl7#y-w8;)X9P#Ip^46R_AI?V9lelPL7eMkM^ z)UbKo9k*?VNXy|n^ftSap5NaMoKRk+W4*n<50~i2r6PUF02EYs6PD;aq^I0h5S>8U z3QKs?B;is(>PEf+pPX8h&zVKZVwj8h6PbdSjXa>@fB_Y5@9of;@x&&_vGa0AvSfMXYXzYTgFSi)U zP%J`g(lzk&c4T$MHlR)HW?!`1elK6o&g~@nfVhph+ znEE}wPMOCS8L!DPugNj5cFwC^=CvyFS`~RUj(9aPUR$jvMfo~9#aV}P^-!)B%jHA4 zTr8g+%BRKh$)S8wEN>sm+e!IsC~SNCr+j_9=2^U47&bRg+kG!xPv&c5)Q8Q82ljZT?ntUJl<$FjiDfJdVC%H(c*o~%U(AehU>K_X?#8UQBU53FU?u1FPHSYHNJkC zHG`h#U*Ef!TcTdM?=-&7;5Asj_BM$hc-Od(+QW83ww$l`J^D2*8Dno~<;%3rI$3KZ zUS!+G+g8$+yIZrDuLEa10~)vK8dr{w^9ED@8aARyqeCN};gHY9ghsv^=TXcfn!C;L z$Y)m7k}k8GR9YzD(Dh9*63aYDzzJ3XQ?Ii=A) zp|L8{XcTFXk3XW(lmsE2-?br{L>g}<&uZaWE<8^Q&y&J)yYRd!JU0r@qr≻kln9be5*3gxpS--?V)%*tsxis9BB}EcY|L$IspHJ|ess;*H!1 zJgPMeyMtRyu8iI0JI+tbnXC2G8mLEk;u3v3=8vu_1J@7P=w`QX&IDPT_S}rl4pYSq z1`7cqXp^Lz$LA7{&)<#S=DVx5bN@*`{+;qSgnc2NQIZQt-w>MTi|$H2#CH;4^~U|) zYpW3ya|uqPk@L%PgoGd96jO{FQyL@Um*pNAKiO>`$&B$I!M+q=rQH*xbf-sjH@ia~ zS_3DieSn`7;3dEg?4{=@^4vyBbJTXvZ5Q=HxlxR{P2z*qqW&Iv;e zM!Qj>-9Xy%5pBvrA?{y&{t=a6M+iGTf_+I~^Rpy{wF1u9ed(>#Nx=q@P6TQ%JLeI_ z#k^CZyeV2$^l4AUzEdN$1gj$K>J(N+IU8kI8DU4Ku-I>_mon@W$4GPvJ8_|*mSHCd zE1$w*pEags*fzpWPGMIF+b+Yd8kKFs{%V}Gt7W!qj{7@f53WmMHR zIYQXuwox8Cs%o2z{WfZsVbxJp+hpvwQKJkikE+@xW520t8Fo4-bYmEWU#m!*fo4PN#-=${kG1rwe zLF(;ibk1c?XL}ySEI&A^N4XDv)xh>V!&2Bw(oE^)=Y9(MF+f;8OEYQTYajEJZlB(H z&MFDg(v?uJlLH?1!~5{|{T-DqNz$^nI>rr7aN9-P;0U)-;=9=_d&P4_OZHbZ>Wowt z<^Hm{!2r+Q^j_3a?sJ;op}ErlV_rea&l6?+iVFU|-GQcQLht36AJ*(J``-j99zR1= z#M5>l1RFu1H~^x=hA6L*BzRiNhD0_8QDQ3_wN$RkYMMJpJa>qC`571TyA(S}N$#Lh zES#QgDdYmuR?hMitV-vvBu|kjPf5;rc)G4k4|z($Yf|JjDe`I`@oFDcE)81GHu8iJn#p5~yW5ubQ%1pQu z9w!!Y(f`XY2i#R}O0igq+nA>E5$*_aWp`S@MPEFf=C~Nw;`^lVj&e=gg?IFu(^cUe zedDxIc*l8bIx4)QY}1#*JI+6osPK++%tS4`<2*8v3-2i3B$4l35{%*Id#|vknC42D z(rjq_qjONZYa?XYJ`F$9GH!+R+nJ4r%e9c5?BjH28b5c&2EEX`x2J#(whdSauxP^PV3b3 zb!jX$B)R^Z^W<5(zOkb{qBHb^oPNk!WBxm&b(rS>#t=5O@5gOU=UYL$m2$db%K>HW z<-alH`^;f!-?>0Tt)NjM8^!pib?Sw>!`k~*rp|HmfPK}Q8gE*d!&31a0eB0sd~KESld<8$o3X%(z$-A zuFvp`dx~fDDhb;l+b68-pW^;wH+ZVDYhw#i@Fpbtd9|l%Sqgi8QW-}54`8bHD9^R& z^$7&nN+fe-n~HmrT}`NL6ZwGcD<;3Fznbv3Z8~XpMVrp*M%ri~8Q2GM09|2LDUSvl zlm+ubL4GBHEXRCFk zsGN&6x5iLYHK~f$R?@WcJjvG^w5=vpw}p62DtWZ4c?kR2w(P$+k4;n9cK0VfDWbZn zadf6UN@<<+e^X8NRI7M*rIVbM_K=(1U{)?SzI@$Ql^^Ymb~i#*y|&qJPsSPPCENc* zr*JZ!Xn*w$$MMpTc3thZif(Xj>>37nUziOsOUI_~&iGh_k_4LAH$ih)p1;y{8$M^3 zeP>~h^rTJMzGl_9AsqP5w}D#i`rtS;eHjGJ_?wGu}_f7ca)6Pt)C)!mh>rRC|@QXYrmv~Oj z@~m8UD(sJ6CP{kThVbA>{ z&r3EWU$UW#JS%O8_J-*E_e?vNYhS7_;2XjKhvJ0ef+AmAZpLM z^mI$>O%k9iOQ}+p(!PClp4|lGc1!D0!V;m*$+X#2$`}x1lowC$wmfyqs$itb7i3dI~!^2irb{U7drikau(r_T>~- zt>`a0pP&Y6C5>{dL3tYLO|5F5TG2m5yM31SNxvktE82*(%ds7&{Zc_YJ-={L&gX*N z=6H=Jy(7zcWYi*QrXl))x%s{z42*MP7Jo=IgLPp335X4$qF`D$0YOzds-c-A?cvQxPY=^}u{v zUqcMYgT*?~kJwqL1HS`8wCH|RM(5Zcz_)$<3LO1`@iQEYE3lbv>L!Sa6`;KP~GMN*Yv2XqkF3CIxPZ`@NqyIa{8K_)dy7?6wW$Ogv!N65? zxD#XZPPZ*iC&o@ue0OA}G8f+q^^;QlB&)Y|e;h0Bn&|tW+wNG2{WZJORqz$tnKRcU zed&&GEA+ea3`e&V&-W27!iJ)HeBI0H#8~kZ>%rsvitkx{sF-;jJ*VZ4J`Y{imot8I zH`M#SoX9e_U0WPmgG^4_osS2WnBgLO%kdu)c|02pQg^aCec*_??L9cYp42<9q3_$G=U92W2I6(-@ms?Xci`LC zUMMPD7EasK*Zw%uhvzH$_@IAJzt*k1PFHlxzs~B=veyo$i3}CTS~FcI-ibZZcL8WT z0A03 zOy%CfnmdY~*A?D<74!vN>emO{3v|^MwR?J0K$M4#SO}ZbM z;}zetdbB;D--f1o0@9fS;+p&b>O_b5fx|G>0ZVJrc{=}-KAwIF9ZTCxud&5xL+EpFs9%6`D3uikCP3Iql&T&4qc{smH4ofz@E^>o6eJ4n;^>8kUfW_?3-IKEX;&Ha1dMoQWI zklvff<<07@(QTRvxEa1^*&yCs7j;NDJ~Myk^8=l+_C=)s`+>#-PG?1Y3#UCk#$#$l zBAbQ7fxe%S%H;vt0?rG-=qxePrGC*Dwuv-2?tL8U%;kP1*28<(A0*?z0sR3~HJh*a z9i!@ln)hj}=no#~xxJ!Sj}gNYm4tU{H==Jjrr*Y?I_Bf)w5$j>seqeQz)dUQrWJ5< z1)N*~r&hqJ6>w1nTyzR2wo@HM6=g-ZNd?@b0&ZFXH?4q^E8ye`IJE*!t$>Rv;G!cq z@+I8PQ<%d$+Ry_0gHKTU81vh8g|Lz4#gk?e6%_GB#m zj`3RRw=ll@Jsba~^*cOJ3`%nk`sd?!oJ(=s_&A%mNo)~6;H2Ug{DPjvtQ236f2a84 z3U!;s7~?qx#=gB6c);6Jd@t5}rFySazwqep@hg&l4j2Y3ILJaIzlh_($7cl{>LiiV zM@4x4~5g~hW`-yYj8
  • UOj zCa~s&zMe2J6ProoL>+qOAo1ZTKk!VK?)@V^oF{;64qSfu9?iodCMx{CKmHyazmp#} zVeJiKxWyE7X}PxJABX&PTMBKBgO9QEp}32TW|@oCd~P zK89~5-Q$_`V@N@sli4c&4L?SCS?rg>(DxZIo=_I}kk6N-M~tN?_u}Iv<(20!nLIRC zWpN4VIm>&fJ=EW863Mf8tYSu|WfUL6K+O9%j7slGJwMM)Iva$sh%>8CHowNca&ZbR z*v#6)l&ol11W#>MDEhYf*bl{cKz_t@C`v{d9F#SFFctD~#N)xC&n+q3pB?JrVqHvi z_h{Q$d&w5EXVjmwun)Qe$IkWUgua=_rB{%iJr_sow{f=vs<@MLGk|GSMCL9M8h|gmPA_pAW>5LvaD7 z60p8=?r?m@`V|BEW=g#9u8?LQ)`^@L+Yj!6$0Rz!`C)A9^+9q!x3O6=qpt0{5x-y5 zn0?!_Z*?Q0``|Q(<-cW*Sbfk{IgEA-L!fg@^;;Iz2P&uYq`@nlwPTu4kD>wHi{}8- zHn9Bi_I)ccy&g?6ny=r6-?s23)xWby*3}I5cC>HO-guJ$SRPP$E?aOr zG+^_6vt1gS83=4pkJM7#OweG)Wl!3t(fN_@#wPm>1~6e(Gm7Q*7tcwSXzsvh(s|)P7{0-#ndrG#PkS~X42AlvL^HkXWq12^%bLxS zt_0&N-0qs&=8-;{5!_al({FHYp;<|53tuYB1<)#a7!jJG0biTDZL`$Y>@4W*_Uo=$ zZyvYj4LhT$4Y$JW=7`=~1lw7B%{pq+cmNerXmfN>CsBc*`>dVv0I`lh@zG@Wv42S0OJIeH|4Y|(H zAai-1C6pH3NO7zu{U-hK44aJr&46@C=9c07AqgD5Xx9N?p@e?xiTswFmus*SnrLYC z&A}gLXEphf{PO`50HLcuy|#ZYR9eYTjsQhD5wowX$B#>WemAls&7jtJShg z#=bm`in1GQFHzrB%5Jn_UzbkxrajZP@2O77pVE2lOy5U#B3-l-sca{-Kb`eUL3U~L zL^CWrr#e0IhfC@yF#ag(1ARG8q|I-^*XGICqW$~kW_dD(sQg@8hzmMC$#{Yd`GzAO zf4HmUgjey*8qTg|Uz?vD!+79y?s&)Qlx{Qi9mTejE>WyRR(*723rpBF>At%1_}QT@ z(WNwJ!`CBvXai3;rwVj8Tv?7lqMvuZ8TB{PzgpDS(3rtnS@(aS*Lq*R<7|H%FC~6$ z^9Q=hcM^OUNN+_ur{A!?J>krQ|5zZ@Wwq5V_^Q;;+lw zUC`WWrLl`a>(UUmn_+nlLmtCfuos$>&28cf)gwMlRGYA$C9)mkX}7~BHtu;?*Gm2a zTcjbc`ZJeXEy}lF&X&#hjMs6ScEi0U&z|xaJ;Mo>O`*O!)d4y}X&XFm%08Ovg7=Na zw#L!ikkA_L*-SXmTd;heG3k~2<3it1USUjT`S>ZuJv2AZn!)JA7jNNq7HIITDGA@S z<%aT_9B&)J9+24|TjRv#*u&n zN88T0?diw9OBlZ5oU=93_UT77HNdfG9Op*q*x>+1cciTnm!`k;9q4Q$;;(nw`H$yoK~izH#Bqf1G_i%^=RB2 zWSi{j{~|q2Ps?|w<-4IVA$T-RwIr{x+f9n`QO5hWIouwN^)vV4XW#o$K#4=l`7zID z-`I9m&+g#%KwOygAyC8(uW0}6u0AxrYp4evcem)P$le3LC);ddqR+yuBD#y6 zf4i$APbP9_GEqC~KgD*(a;)SYZn~$Pf2a8HeOnPMi?0X6zf{Zgu47karlVM*s4$LK z6P3sG-s=4RYU@#?o1qk|m;4QDkNG!ILZ_EMKRPwKbDrPvFJd{-Yti>j)3Tb=T`OU7 zUpoK3V0e1BeRe-V@tw|eblX!p1H!-Kl-y0v&yl>;Cf#)yvRnq_!OxOR#*DrL5T@VH zpnfTy58--+Yj;koTu9ZUZw`m7&cCNXdteH!U$fAG@tE(CdGKJlFz4%OPN%iQ+}_b==aLseW{6$v`wfK`5)cQN_5iidKB+(>{XO;TE*{^ z(7l%^SLNN2aqW@wIsU#$@1zXdS@@PpFaMSbjf?EtEI3w9zSnYL-0*KMWc0Fcl8`+3 zETSg)-$$hun|=OG>--*ig2}$+6PvyK`O&P!rM`&EeUa)b@5}O?wMjd(@9p%8dZNu6 z`sOq0QY?N`F0mW5biM{E_W1rT{=4VjyjzDceX}6#o5OfibHBi4OTG`p$G4h&r?Ytf z?cqF^%6&q)9}o51XYjtNl}> z&Z*9i_0&%BO5Yqj!YSOpc93Oy)>q#vp2N>%F#Q@0%T}^){&?ZNqbTW^WT8!a5~QmT zCtT{Eg~<09LOYBV8z&l!!acdqA}%o5M~+FG|(`#%5x0RR8ua!}v`00030{{sLq KACQLDr2zmkZb}IN diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m_srm/1.srm b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m_srm/1.srm deleted file mode 100644 index ae1d2553fe18e2ea3b3b69abc171d44c17b1a8bd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 68660 zcmb4pQ+QsDzigu>jnyQLZQHgRI}IA!w#~*)W81cE+fLp%=WW0L+2=fGfBWLByY;Nw zSu^vS8N6_)Pk$dU1!ZMra`F*62^m`7>0*j&(-e+kE%=H*A3r|Y)K{%`s?a>GI&w>> zK#;@y^pTidIcyn=sbr9sC`|$!3|YFQ2jm6!6qr5Usx$0pW`lI#<8-lW&e|U=mnle> z70;2;lFZ}oFU~DEsKRSGP1~Fz9fOX3;G$u${*AKhI2DsM0`2TOJEkr@!RQfL0q){J=>9UGwf!uD{sQF9H>0-y`5kh9J)=rXxU_?iS$ zRL*4QDrZsCAajFU=^3(^_6h)Bc>QFqCx?sqP;)&R_-eB^Gjf zJN3ooZ{%?9)98m}1%ij8WnKsw>~e&duk|!$&BN0G zibqv&`UJVuTRu*0Me}L^&)Fg_4v}bo5QE!!a=(-i|y_vmasWZ#;0Wik58(}`v z2rhmyI4Z#Q^321|ZG(}A72plu@~YP1pL8e3G-S}&WU{iO#s57ZeOYKvrEj#aSl1a0 z_io))utEm%`9hR){6(ediU+!4!PX zz>Gfn@_@@0Jmyvp1$xGU^M+!-xbKlPYk(f=(^GT|N3_)eMyJBoRitJ|GH41Si|opZ zRrIQ|<+=ZIrGDuE_n=Dfu^#(xZ$WrJp$WkeQP>(Ml#pFUN`TNgZJ1{>Go~6fzpd4R zUerq)ADbxGrknN;T!?|5hQQjFzuf`!XHIMOyT#^5E2kM%^(!Jr{Q`Fi4~y=WEFM5~UAfk7Ayw1eZr0 z(ibRK$%!THjyJ24Jdjw^PTw};l>20}HWj!%V2zqv0U2?krNGTIIf&?Zvj(nsup*Et zqvw>TulS4Q8;ua8&t4D(K=S89zjly`A%q2D8V4wM<*jQc-?K@S&WP?jw&kdD>0Z%5 zS#lm?n8%Pnf6wwe?F$z-z}cj!ovhs$O%sg!)4AMelse@renDv9TRR7)jHlEdPf__V z8tw`XzQ)Nio7Ax4{Y5*MuF_v;_p&m}IR2I*W6^JTzGnok<@Z%R6Lzn&MWz=;{1pAQ z>zK_~C?RE*mEWp-quSGGiFr|)6NO)@F|?+JE}@`LOFc8TO)T+u&wq|Z4RT(hHd>h% z-&{p&h3kNYA?8US2cWM+yGlmzSw$!`$r7Q#2mC(48fp>6!Eb>te$yEw?+Lw;aVfN0 zZXb2fPVmUR^Q$37Ww0{$=4~k-@Jc^KLdLg4t>3DyF8xjrLQEd)alkyRSApO)_UR&> zq^{xCe}W(O$ERAZnC%ZTTd*7e-MM6N5m?8830G zBPCiIPq+fXbeaFI5Ccz zB)1OmC!*|c0~*>nzkdwU9tPcNjXIRcHx<-S1xmzh!PqDgK_0d-7Q3LpKu_a(z?}q1wD^=0^Hbp9rvEph%wrli5`Dt;BPBCJ(dg1Wr2D^#o9yCD0 zXER|yeWPX!djIs@wg5nJI##SUiB()9^h;NLRv1&`8h>a|96I`0>yjaEnsEKwV;Pm! z*2}f0bCSS8&${jUb<|0egRx{e@q=zC!sYzql}d?CB9c6TeGGj2iPI*&lSzbUFW#W)8GhwW*G71) zd#Y3ZsF!(%trA^j$e`w_bk3LbUxzf~+VL)j=2=8oFci%bQ!V$DtdwK+brnSQ6^drs z#@?Ajt_lhq={rx1a9PwQ)SqwRAxy6 z0`oERwM&=UBa@7uQ%g3im`{C#&LE-E2c;uvbQPr@!AXT9#r4bdY%git_;E1?a?mB|iK0a5vJS(z#i>8k3yv zOlza*wdlm{K6!5(9%Gg?nPryLUhcu!M@?>xm-VFeyqZ)LKM78t?|WS5E};IDu`FTZ z%T!G&053{aT}oCRrV4bG)j=v5u#FOb>9qhKGxxu7pLZYlCT(p;xfztgkzm1b;&m5Z zude&f+m0~2>Ha(w>@MHwEsD_7Np+7O>iUa@<8v8v52>tR(|5o+e~_fqHo`iE`-$wb zrZQhv@*CSE8T2eI1Jyc3JS}}qvm$BbCJW!@eW^7A=i%_-9ADJrl&r^C>7%-ySK@+~ zbGwop_r~kl`}WYL@nL8WcW|eollAj;HLj~_#h91{{H^P}R`-5;_i1x3{W`M&IJyNMq`+Ko#<)07hn{n^=>y~tveC3;IW6X-R8l6e@oux-d$hYZUm)}|P zl{Y$~HY#oL>f@P?6Wmz12B8L&9b`hES~3*OBQ_fD>zQUBa8G<7zY;}7AT`5NEv~Hd znUh)W4pDw zebdNj&ac2I)lt0XdMa-7=+MQER&L|nv{u?X*KN0yyLEY9Ry~>zgv@d?uDLqVDUQ*UA=914mLfJF{R7t|y=)grrr$ z7FMOxli1Vj=x}OKuIF(zbUhevV<1PwVt|t`*hV#Z2q81L@`L(pc^S4R%}njA;x&;e*Yy+Nq{yrS&PMx3*dPK;zrVuKZ}PW-DQMK0gKu zzKA6K4}(0BVw+b=sja!}Gc(*aD&gv$qA>4Sq@JK#d`@FdK=b3r(2ARBUg zTXV@~#6&l-SCleT#GuVr>`^=&oL0Aegw0(1>^t<*G93BzDFy2=ojpA*UJIhT9J@yx z6gP7Q-xV{4la;tjS;~o#}2|>U<@|)rsZ*W7y4*gF5yo9Qkctk1e0B;HsEOY4CV1#C+Zv{W$ z@NhiMXP;dA(`c8)#@T>V_4a*BRpx}*Fq{OeH43iNHvQ#bT6AjC<*-f#AQwrrCj#KO zXv$iSDCg*7`)#X{B8Th1DJ1Q^^kO~lp(jFxW){0rQZl#WMXO*=rA&7oH_jMIZ`9?` zP5sKhb$888(KRsPotR)0REM^nLqD-qU z)5``TECxLF4w^6;#?WTsbm9PKUTYO~|FeFu9x(Xcx=mOJX{*i81OjXwT()_6>9+al zptpWiWz96oJq@nvnjOj(g*O}W2)Nt(di=?(FF8=n%BP4|%;EA`Kdgugv``a9p{o-a z(S3tS^jFX9=v3hD&x=cguRN2_rxft?3UD!b9CCQSM-UjJ&MDLGU2-C)Et2e-tzOzC ze(TmrRkV)1fn*ofaSef&{=TSW9Qi|em|$<=B;fe$z~Le3!e%CI0PEX+Ira9qjC-o% zo|HlN9dxf&Q9*6cp?yc0D()8iC2>!ID@1gmR|Ur=zw8?>Dh2_-IzGJa`$F2y7zwXU zC>KS=qz+35fo?Jg?+LdK_vP-~gYG&AA+49|JchH&S>_Rmt`Ut+o0a671XUJ1J=bl& zamV8r+w2|_s}ZNapF|63cT#t-h4aER;c%?NcokN^rT4LOIh@I{Ap6X&B;=8ZoF~Uz z)Rny;i&@EZOFdzCbFJetm@cj@ZAk;Cj-8@;55V&pwo!V9XQ3-mo2x=bsbz)M9e#&> z&_KCD-_XM$y&iiupPOCOM)ZC$QE*FM(_BvHlWaf&~j->I; z4qoiLo}=OUy|(m*u8@`)g0o7G^S7PNh_~LOCxn0b!L$^+t@7v*c@}l6!|a!Y;z@e^ z$e~QDO-~Z_Q(-BCwW!mXHR1Ao+Q>S*EN86dMFhPtli6;KG6g_%Z>ocZ4&<3{Rj>Yd_;kMxT}K zhR8IQ3erVPtU4i55O~NKl-n3j6ps;}?ZLJC+BVqLqNU)Ey~UNRVd!Bqm@&?_X8fqB zMRjkV7Cbqx&qkjXzf&#tBmjM6ZO(P5yl&R(i{@4iK} z@bVyp;73}LSl?a{56ehqj`%76BBC|02e8nVm4k8CcmS*Ue))k|Yw>@g~}^gECJHc-4)OmOmn z)6*ibVqraHuU-8Q+v=~zy5`oAEEDJ@!IK7P_^ng1 z&F0$bysnF(wezsL1J5w`W8Ca!it07=&3vQ%3~)>6(`mC6K*l%BCio8eZ&(D1f7(Cd z-_LOumq5Po8A6?sb=0mJ1y=*USq&qh4A&6sCbF_pMnicuUhJ3g#u%1AX!X}ysGpd! zz6TK1OrJG{=}jP2iH&ph+6znA%%%!e7r#FWG=y$s`KH!mO3UE2k6Kzli?eEiKDVhl zSdu$FD2rXGO?RUPdT^-k#v1fazVWY1lI=7<=zhzQLDq_C`I(2Cz$G3|lK{me?;(!Y+vgUy*&aTs+uaJZ#qvLPhKxeQf${ z)6?vv^;l(IW}d#HEx?7dVos)zPD;cU;)bW5NaKq4*J{j4#)a=8>@%?koZ1Q}j~YpX zHCn=f(rSZyMxSR{Ke}R=xJTHc`kK1jt#fzUL4ugtuUT@5YlV z>`FrZ(++|72)K%y)i3>+0^u&bA95O2YK9+H6kE|)KN?zsS^VT20W_zUKt_MGv> z@+isk#f^w01MS<|_}XIyQ4$5*2?4|14iAs>WBRSr1Wp&cGiXj7-j>bqt@16rz85pT z0K@c}G0Im-v%;D}v=_PXbMO~VuEvRH@9S_6vOTtc^@2cZ`bYb}Cv)t{oBvD>iTIE9 zON<(*0k!}8Ixz;-FYt%_%FUeh0&xUzJn@O$Ha4ao{G@icdb$JJxu=NJ9QknA&%8%% zMraocYv~!s=NA;OTQ-w%YZc~O7ZZ0C+r1nXZv&=7NngM;E8#lr(;+_$6*17-oHa^= zGn@nbjy}`@+|(8mX7H!2Bkbj4g`eDNm)64#Wo!|=?HbpZ>9|&D`bWbBJ-XI= zqlq;4F!e@!kK^?E7_Eqe6z`*WxfhKSMPYLH5SUAq`WHd=I=7N6q1 zQ?+tHWs*Tv)c=nB3$8J7WGSZza}*&gLx}-n1`#n6Pb|Q7GwNr=IcKoXqDJ2>tz1Zd4 zVhq*bZKa|$sE4!46@{-8HnRTEF_|fZ^9jcOKa~3k23SwRj;+1lUd+8ao@MrBBB51O zt_}`A8emzRo=6HDzhO$dU*c|s&ntL=K;onKtoP1*kD~NRsWqe z`DB3PeFvF}g7>}szFSc@8R`AuX}kTf*Ucc<`T~{$z0)12`(7u`4#V-U?>8H#1v6Q7 z&}m3$Y#sNJ)}FhXCMQg+`<%gX5}5HzN!19;#|K0#)hT8+@dd@Rv>ol4nw)s7`4Fm&36u+CVa-(`?di94q#{|=5`Uj$z!Pf2-U@C>#3%$mm>ouQ_)MKrh&|g)4`d;K0nC1WqjuZODNrDhZxr@j@F6FdKAR49^z z-*ZAb2gpql{(PC|wM;4hFBgp;vmY-LcK+PCR|C8TPfc^*W73q%$<1C0* z_sw0P-fD~fIIxy+F*YYY#R0P18_W)@fno%t$v`t4Qx(Od*E7=L05%z;9&hZc8mbsE zw*AGm(eCx~zEhGqF&#YOg)R2s0X-UhT7jjaaLmHJsugpGQL2ZGuj@8LWif4k5cX}& z!X5dL`kwy{CU(#0TL?W~YBf~7sX5{mhYQlPL7#Lcg>hgd2?Up2W^I=c>zT=9vjNws zBUj}K?<-y#j%Fp1%SqQrHNso9J~Ru;ZHe8$=F8Gi8|{~`gWKuh(hN8Hl`Hz&oJaa( z*nK!iI`x0foZNgwGjrSicMEr(m+H%k+lPk%N%nCm7bg4N_9*RQ<|2U~SN#BowC(fs zL9@e83rz=5kT1~im2SLt9Uxi3pMi>-*0|iK@a0^|6{xs2)hxz%lN;*Bp0smN9}`cD zn`5nprNPz({>e3b>dGSy2yYwS`QLwa7;7iq#G_hq_3R8Jtv$wJZ3;f8$5O<9Lk)N_ zN4EQBCqB{0@r+2N<9odcD+v~``QRR4<#MO7H5M6*(f!GA_c9Zl(&1;3lC7y34jk&z z&(NgV;`&aea(OXMhnD`5vxF6P z1gaD$4C)l{GF!=C<+;|&clEg4K!hCZ`SH>H)=8DPJ6pQg70hgl@lS^RGS-3)88lQ z<>i7*Mb*&O4$Q{kO?A|~hm($wu z*4ohtBw!T=Xrf_ZF=%}UvuqTTe`4bubADht$=aV~ zjVDZDQ{NSnl#&#+z2+#&WTr^4#oy+)%cHrc_d*@HL_q9~!`r}cwQXsm?ysLy)R!W& zpf|iKwj;~Q)){7A%;pecZo~*l3^qUXKmOg5$XKs(B625n=Kg`b)6bBQe_*e^72B{F z0^fo7Y=T_&RT0#Ert)&eN^uVd9PZcJ^71+XMvN2(oDKPoZfZtl>b!iW8IR z)m7{?7#o=!P0feakCf7c%*0U4t6P1=kfY2>8NafpKXYzyz3?xn)he=k*v7}vhkw13-IFp49 z)|@|q#QS)&i8jnqhdXaR)~R(b>>3|;Nh{`zUb+#hoSV#L;QH;}aP z2$Zye0cm4-dWlKmXU6B43@dwLlr&oetGT*iX|1 zm`yeAgY^=Us>{C*@oDYbxOwWwvj7G;l*bEnF4`X>I*WMRMBXEhKeLwE9F2{eRER%aQu& ziMW?0taZ*U5Dh+8+RCkuIJs3 z{Ej`>>m(~xB9Nv)?EiCw$$}bnPO5i(WVw5MzIKxSGPctWz3C8a=nQ_q?d2hou2=Tx z5@eR1HegqVb>#;1CnGwfjC!oGXFX34pBP9Y+A4Unn8T%D0bb zrie=C&s=O8x=b=1K?VanXe2Dn(nBPpQbKfbT0dD$F1rcZc%z_hn=xIBGBCq^EP;e> z&?lQoVX(G{JV7gHNPQI(pnYO~uvGofk>Pxg@s6+@6$$V~X@EClc@V(cRV+$Lc1~ot z78BF2VI))12{I>D93L|G1fWSQ3ZQcwl{S)uB;A6jB%Q^u%`U`V!{{e#%zvnJvcdia4x`mn!Uh+o%y`&JN%P_p@~gMO90EF zl2W@49X8e8O-r&>o$h$%sxR$RM6W6Kt4lmvch$GFyvf_G=lHp2fC@9q*24FG-5JZ3 zt-*>@El-6R98onIkLwLmc-I%Rq$TRShd(uka6X=ir%hl837lo zuUSR0US4$(7P8}2+P#rq)z-vo=brW#U%`J-eP|>?^d#BuP6mV3(ZF`a({0l#Z^VZl zOGuQ`M2--!-8BR{c2|j8j}MM*9r=BJfGFsDQQ2s$COa0&Ur2cRVNb2U!Y&kc-daLm3$HeljKUz!1)3@6bOu!jkjr4D@5uhwxKm`)SPw5 zAZo7=?d_ckYFp7a?OY7Q`vxFv06#cYZ{E)u?}7g10OoT;2%NV~`pa|we{$tg?QGwMmN}X!vU(t8|rvV953q7Qu5HzDP&K+xrf)>6P`DpmF3# zdeSb30bsCv#rfmjX@fH@}BY6i(%wtJD466FE63=A4N5K2=nwT-TrRiGqZ5) z$`|xCNVBoOgQ>aj^|t|EHrm0t+_hm~cxDi9scTY)@Y@=dH{ zn0_2;G;UR^e3@We>hFEIf_2hO)W(AVHQRw%Bj0L<4cefGCh#{|Rx(r?Wwec9{OTKA z-k-z!TgSruWK~gha{G;bH7AF+N1A8O?Z}TeHZsQum@HH7HAdCTjHcT<9?2C;M*Oh8 zuWuB8VoE2fCsa$chpPYGqR-jdk@>cgQRy}7LX_8*$l=jaoU1Oz%Z4Uh zNk0bUaqhN^TMY}IF61O+JzZ~~L36%NJ1@WVXJ{agmrf3gtdO6|cma8Q8(E6Ni^pB_ zCDl&v^W($Q+sUoVWLq>_!^dm}!Ojj<=|I!1RAXLnn+)QmI$QI5PU0pked~2UTgT%7 z+iU_8D=DXMgv7J7AgvHQ@WeC-wz<%iU`l`1;ZN&IZF1DIDIMa|5v4tB(B74OkEcv5pso4nE0B@&g+1Afx zzJ*s2Hzo$ad$Y&ZGIuS}Y1$q!NvsV!TITKcg`lCwcTR8GTgdBjH4@))DtwwpB7^-^ z*VA*~>}^s^&DoQ{8~Od0-dk+dCWiLM{}ii5Lrw;T)HmxtH|WJ;O`cV*VohFE z`oTzo!kdkJ1?fHXuAAegl@Z|aj&*fxrx%2+bosuHGku@CW6@5E)HIYnpMNCcM;GB1 z@s9^UCd8}bB~L9s#0SPf!yNGNk)=DQ5|7E!>_Z!An+eww*r!flMfO(vA-N^MqR zpAr*xSYMDxEH;ccVr&DI>p(zAsfzGx1xUvZNZKe?nuAh#+v9H28^88?lCGyXq_=>5^KX) z?&bRzk<1i6@S20u*hBkjj*CrWSA>W{i5!K%wznA5Tk^v+>9 z!T0~s*Z%3*I`#DqwuH(l5s|(jftQK*Z-Yv$;0p0R3W{6p{>VQ9JCo?_5OQD7cAx2$ zzkNIyC&Gw{O&422-=><@Ml82?5rBbDn>e)?q~<1GtDA6edfDl;SF0hf9fogmr_bQJ z$+=H6I%hU44e?zk3F2d)@VwPG0ETV$8l{DnyFfPdl;XTVXEor09~LqNvSB!6yAJis zNsaRATQd)#9# z(;~X5`A)9JabtO`_+5G?twWe192qWha1ZUTvQvES9Ec|B|AcKG`cFCJ@I%B0aW<*Q zyiaY*hHy1(2vC#kQTFY4Fv;4U9nvA$Sex3-z*~;_yPU79fEq5aXB&Zcb*+2NUXs}N z&-upPvw9mse|cIB)t*!<)J1Xj=a!S{O9=OKQzw8|H~`*qO4zB27ySh}%{(&q*zX9f zIFrnf=MFbhN7XRT!VH0#5>raeF z+=Ob%c2MnNj{OeK_U$kA=0G}hGXT;diAPg&f%aerGul`-{n=kST)%Bub@37NNPv1d zz~R<8e2TvX+vA?g?t)VH9;00lRkt3&N_xaga!J^kY-NkICPz04kju*A_3SX(AT#HB z-PH(bZZe+7SnP1nd=NedM+ZoU zK?3{<-NI{}%eFu|^or0PK1ZaLRH}VIou1;9yQ&Tl5e0FJ(3F9)S2?4e-x>sxcIlvYvnw}GHSyQ7djfRBHc8b%L0Hb;%|&bbWvAmbJxPf z$$S}u^k~ALpm%&y5@H+oT=R8Ae2qYv$S}pW9m3g`$z&4;w?n$JLcdzJ*EB*K2A0Yo zg{BuL6v4|5o+pSoHC`Sjd0(&(LCM52A*nS=V48{G=rm-oBqriEm4~*FXoJ7#RkTt> zuhp?Z9qFS_;}H!&kWAckk#Lv;mj)*>IOb<5?kX!WtHDaakGxJ?_XJ``bFNkM%%a}Z z&at~{;(zJ}gF;tLM9Q?6lu>C0yXt6*&^s{L&?MYA-=P@JM+>AnYI0#KDm?bFhUn$V z-K!<%BDU`{=HI*K$Fz?)uLEbYFOUuBx@QOB`3|_VBoV5*Bl(NYxm-NQ(!L|MU%(z`miiZX@WQz5&>T{`alHf7_l^5!TEtEnCpC?uqPm^@j-< z-Xlv=Z{FP3=ltK(6Do#C`JdOQCY-{SuvXvw1-Kp=d6405;gs9I`}4NFPz6G$|8}zn zH#;z+hx5GasIo2yaA+pXj>Zx>3{tS(bOeq5h9j*$a^9Wl!;R%-@%hAAOXlNEyazZin^C6@>T zt&UBEz*)?k8#qQc+_a{8nA=ckozGc_s4snJ7W z>DAZFFfUBHhqA4lssbd4ZH_3OESyGH{a7s={4-!qUx2NcEHit-iN2hj&I@k z4%;&AApbA~`8gK5$KBI~DGb=;W_|5w|?Ui`% ze`JXNQ&1yIqK23GQGZSQ$x)VZCC{;^uP5(xkN;(e4Y*EoDm8Pz8M+xub2X61C#;s1 z{|Q{DA@^-yNXr8$qJ@zM3GNg}+5dYUFK=sR4+8RUH)l|@1v6&2c7%#5IUq%#AWao! zY%X>dxsNHjFi&fSj9HWR~B*LhIa>4s&oYn?t0=?GB2S>BD>`k$XwSf6C zvD*t_>svft0KH;*+yifcurbNLJ(KE2%5;-*#W`yBXPoBJ33xnfqEQIn+xfKZGh0_T zpU|zpLVJvqQ)dN~jO%pQhjduigKCqaiT%tuZfoXp5)LUZ)j#7jY|?<=W`)!?@e}x4 z*ne$v?SE{u#vj|fT)UFlY!GH?AL-bPAiZjod3?# z41)3Obz}qo&eN2D^EBQYkNGw~wF?>`BI*|V`+frv@hTMlR@mR*=b53cX8hLqDAIv) z1Nr|U8SqP8;jF;9V*1xI9YK`QMh{O*6QG5_RnK%~vWA83lawY(uXTZD)&y$C1`rUd zFMj|5)QpVp?Yte&2+%M!lJ^JTb7RWZW}FTkRdoMohTK1zq3a0R8}U#;z5ie$!=HK2 z$I5fhlo5v#IL@tFkZ_U~eDkxwn`Y(N<_F$ri&gaKd5z^J!cCQ7c%oscCJn-)tdVKx zmID4yQF?u(Yg&EaAtmy9$h*Z9GD%26AL@=W=@4nC{pl9uvN8lELWVUn=UXA`MHeR& zu*@qQP>N#Ti@Ks5r33;3%B2zJai{qYAWlH^qIcO@4jKH1na>vp1Wi3ZrbJQLCm>{l zxM9@hln(90C^HvRRpPXW@sxlbqT&TfkCV*+t+{alKRaAo*gFCPJ%N1`G|8|G*{`-p z$f>Lb{X$(&$O=#4eD`JPd?^S!NAa-xmjT{e^_WPM0l`<}ovi4L14r4SvLKkGV*Ncc zyk+ELt|MeR9%7tW0>>+LB9j!wkTnfnn`BG8+Rf*S>Pva+-26qt;MSDXDaRfA-vV%d zJ%l}Q1!G;<16F2BgE;Yk!u=Ny{>edq=ZY_eaS9UW%I|afAEwpyKXi@J7gn$6yy7kc zUO)c}9xN2K&3EY$Ju19JLZ7F2iIaZB24M86+i8E5m24yMfmvCodk7HM}em;BzPFPQWwh&-eAeTgm*1LJ0;!$YDOO(XJ z$=mnb#u`UlB}q4rGnom z<^my`vCqM2y~ip$b))-ls<3LW?Vc>h`&@3C-h*2A9eH%+hR744w4sF$z=;l!=m?EE zfyT1)0<6NPGl9Z4Gb`1lfQh&kIT^v_K4Qt=+)h#Z3CB<%WNF0EKe&|TRB2#q;?rU_mEZFwUB`UuD! z-D{1jPvOaD9jcpOX5Z{Qt;^(m;nW-A2B@n(_7hv*8C^{?|skDQjEru%mhobb|Ja z(_kjDNP>SS%%^14Q7y4_BQP<_PYm?!34jIp##uUX*I60wB(K_v&^ps$k=X_41!US^ zu!uLA+d?8cGqXY$9`UzaoqJ*3X<{z=`Ax5j1ciNNe&tMZcyk*T+#T zwG^}v#TtbmK3$T(R4EVz5d*8jIjR7WKt6tI+*Q5MFhQb3@(5fFZRH1Q+p{9Ktya}Z zVJDIcVUa;ssrYY`9s&o=?-b;w@{2ly*I(F)C=l9zBffd4el3Gb}mer=s+DVm#r%xCL#sZ$hcGXIDT7Eq$Lsls$+_ zfDY<@GC>=xIHp_3+to`JoVw%ZQBt{p?eB}rMCy3Rg$gI63F}XJd4FSO^ zpW`scF`@_~00xvmF|>rQe}q)UeyTjXFEP942+!#UoPn%+h2O8HM~wAc<_dmr_`~4#H%RMsyN4V6VN-|}2Q{}>Z{s3hX!WPXt9!t6QY}ds1e&rNb z={M?BM^oglb$Lm(USQHR3^N7H;r%?NuE&L8mM$IZL65HWOp~k3_#Az?0C;mw=9gk- zJrk}ioRWH07|7qOyA44NdeZaQF^@_J|D{UV^$JZw|?qtUHh} z?n#te&2jQIDEDrkL`3Gb(7=0jT$+o$J9pjF3b-|w2V6D}L|xWp)k|%v>cH&a9YMsj zu5XG;;JOkJi{u4+ToDj-JP)a1a37RLE{avJ2|2k~^*UXb^F^r8zq)vx@OGtT9Xgmy zKYDSFbZFh={kr-HUZUSdB%O6}njTpMXe`Sb=QVNVn^ZMSpQ@T=z8M7OPKcL|*bawYPza(^XmLA%?HE;K>_gE%e#=n`x z5QBXXjqnjKyEKPzE+yEIR8YIvFA zedH(9T?MS!Pqc%8Typ(}@O6hi)d&ZZm&FhzPO1hia~G@%Ly;*bj+icP*-q|&R0?)k z)~LYv={NG(4P9+c(!gO`U-3r*Of@Y*7U3861Gi{xLJ^ddJW~i;3d`Oi6=}w4VbrRV zFF5m$jvon%qgp{#Swa!TnJaO%tij;E=>u(bmbLMyLUZ8((1)+7Q`kwus@97?#{w+y zXq?z*kFN8R3OjIV*`|*%b_xd_midmijf&;&uOph#4Fu|l8z`};zXa==-Z^bPvwzz| zgrJV%lOZlnof!$~+GXU)2x{r%J|0IRHQh6pM|979STbSE7G(>V#W=ZovFCmg^4R|X zB}LvHIPLvnY6e}608UdNQ2N=R^h-h8gjc1nIjKeP4Y_~!4=x1<`lgn$cnimR%%S=D z{rMyMxKm0+yah8)b;vRd{ld15O=d~I{$P*#M;$UN%JYCt`@C8Ztw3((24xk-3K1^P z$>PtM<+_*Ef{H4MDMA-kL~OrR)CKi?R6rU5F?=Z8!gLduoi0Z~;$tGco#qt)tse#I zVw7sur{`%a)wU;~&7qiUb|dvOMssSxtnUQfv6goHYq5FK9mW^5xL9Kz7qCr{lC%#T zp*<}uB*VnJu@ITzP$|d}8%&pz>8%>-5s`qDarTgqr0L9ndq&n@NZ&4q_IYGe~71{unJ_xtl=tOEm!Dvw|f7p7nVOCBW8~H%rm?G0pa29nP z2dGzEM`SmrB)5ajfaP4APOgqx@@y=Wf{jgEkdYm_JG(^%)dqVpETdXRNy8WK_Msld zmrjC5i|?oAC{o7g#+rh#rP^~U9Hfyp7UU&YG-c1Noc%ti9GD~=Ee7DZO=RJa6+o&b zteC4vm|UNvn_t+EPtztX@lQf&t1PgTSP<})d7Kg8J!H@h?9%7gDUTBCbQ8Gv^!-xT zwp$KTKUyN-Wb{Ym0#wcEOjhDtt$ef=Tq$e7W7ErI+9wL zLUEX^LF;x7NvfKk12Ua@@xm)E_^1g^#N^hiCIOv;zFZg8jm&}Jf_(GoP#)V&+g?Gg zUaLo0&5=rjPis1O74chn*G;l$7u6W;SemjpBC{k3jl3FL?S>ZNuqPe%^*UfUT^NUAeYn%&%TAeAmrew|GAhi9Bdk z|IlNnU=^{7nJs_ZyN70ylX}lkEwmoRs(@3@TeTKu%HzC9Q&cxA9`OR8JNwU$H+Qw) zPUw1UALw$i*-Wr(zLm3ijOmSx!vH!CfA+6{1tlJ?3Q9{Bly8*>1c}nsKW^-MJxD^H z&5!DIE-pWgM}W$~m#4KQj#GP{(rteD#Jw)NA9CmMp8XywJ2yS4z~?W|y7Yi5#JjIJ z5&{soX*{%g{rUmVLjsCWx%nDiSXcDs%t40U9sFUyx{P@-IEb0)B;x$UWO4C)<7J-m=Ptar%yB0;Wkdm0f@azS_5^-t(C|)G^j zcSG$v;&iUT81|hHney?8DaTi(x?(ePGyrCK zUE|~gTddKYXA|Mh#Ov$1b9YB4&LpHePfO~lXRUilbCx`k`M1>;v*HMl55wtI_tAAj zHGNbw+s7ld0Y^>sZ~ZP@c$WYop5)8>d3dtjAwV|$HNR!i^mWX)^?@#AiylB8&s(tP zwzr^+t)ON!U!UzNy>y!|Gl+G`OYZNvlVnDdn@;ynxYaV`u zq-m*@>tYt{@CY>sG-|7`Q*91M%Tm(Vr|2b64`^u*YLlBn zm#=V@qqHyzM<8}T&%hj{9U%KI#q}dQkyYJXyMCCCBGN*W5Ttip)SaevrE7kmy!cbg z8mK5?1#5>hy+9g6BS&@!eu<>tkDJtm7G3E56Q4f$i_-}t3nkyB1Y6Vz?Kbu#Y{GEm zx=>Hq5{{*~fsaK5NhBn&AsRqaF)qj=5q^1-Es5jygs2ijXisx!#(@vt|03%v!=ikm zw+RLLQ7TG@fgsY|3ra~VC=E-8lyoe+fGCKR(%s!iN-f=uH0;t#FR%;C!Y=#zf8*2p zVZO|Dp1JOGo@?fsIp>~xF4iYxol9{yo_%HQ>y7O4#Jy`K*IHtHksa)H4$;&B0M9_pIjUejr#)tvS*DFSim zHa!r)ZoG}Z|66m+sr~w?7`QNhX5hRfd2!k`l~_@-;WdL5nJxM&LKF(f`QY{)l=LP* zH&$V; zMW9SqSjL_kK}oq4F^;+bgnZNJdityvir=WW7P8@@xwa0h+aT=z#7=>a=aqfZSn? zSa)&iW26kOWdYgT_G5I`FNwH@4b%6LnvAiDrwMqzb@HV3q@ll7bPruq;c9WXW`+sR zKlU@Kntj~6r(`-6x_30|`=Q_AGN20n7;@Y`f9SnZ9X#UnjTXiRJ)B$4DqSd&lw;uy zTsT?tZf$>~OFoG7$8a5JuY@^6^sCIHENaHXA==(Dy}ptsvYTrbNL94T__2Xt3Vf%* zT-@eD_TLYK2ob&cNPB{Gs5*1;4IXvxKe}|pxyWtKDx8#D5a_qUu=RD0Y>F%R`uVrG zg&Z%GX%;-L4>8HnkGs5_t?XCBTugE(L}61*g;}?Ee@tEp__aNk?`P8Yw4iD4-KzAqQd&f5%UV-~sRPqE-@`W$_DOH(*AMy+Q-Lx1Um+Wq9M04kDKu~LMDfwU4 zz?lP|R^8#grr-CuS!&Pd@tAS2+c19ReQT_7>@du4E>{7dNDnsH&6(?iB*WtS>$el0 zT-Ga>;_5{(m7O1>9QL{MjvSJnU>%Zv=Opdd>z};{s7Yl90tVY{rbkcj);W6K*rgX3 z&qs`5tft4&ulbib!L|*f*Y6spBE~NA_?cgTZN4bl7Sw`?_0glu-XjJW>S z&OxS#x#^{8T+?->8(Qb^7J^2I3M*U*3L~~0KB~sXxbckO%Kp3FIFqoN1xP6nOr$k%8nZ3r**8pHP1pb6iR(x0iGvh2#QNK#9auE}&%};tMDYC!F?PAUWb5=uNyS-jp8o zewb(SzT}PPWX1hd4bbn;HB^sno=&)00G%u-HWBGr?je<x315fdxqhp6O|mW4g5-Kv?DPlb;eQx|o+9_>@pMhA z5qyVA`u&fWPe}N!0QYzp!M z*smXX>fr_>Ju@zEI|Ci$e0x8#7z#Z}8m!LZ?}R5;#kk$l;;_Cd2+ z{qt!#=7eX-+uHHNkd()%^YQ0?Jw|JFRcx+$re8yJr%*y9Hc*bm9iuGIS zH4haL`D(`mqbm`3F}<9flm-obG zsn>^yYr+Edv*Y~)RtrX8#*dLa0f-_HJ+i&4uytF~dTRk!pZ_+7)`~o^2=de45um zB}c4-{Dvi}VE5QKn)fw#78|E0O*Sfp(Ehj}cz$rv?eM3lX#~;dNu0iavzEhObDck5k zQ{cjGRubhJ1zr-HxYlb}OyRpM;+I*zwki{R^8>tuo(NjA21< zkAITCyem7{dpVbjY|Edg2Y9S>IEQo(hH|Cn1qa|_Y00yEfo527xa(~8=Ng|3jtI-w zjj`a;le1cd(m(j2$HxcMP-%CJ>>!A*Rc_zhxBv8dgaL0=(uTR#L3Q4OwcA#wCL|hU zS(ZDfkGq$B!D>Llgby@$7T}Q8v1Q@yDc%0a+~XMNbCdOSt*zi%oY1ib-A88mEe?D9 zrAtXsf`a&E4DlyxpW{5wP2f0~yWcee^gtHy=g9oWq16I8iQi>wZ`;E9RV9f050-7Q z-0wTIuALAoc#7Y?cIfq)lsU}dF9Dys)SfJfd+R!?E?jiP@0wff70t(Vgu{jx1G3wh zeQ&CH!&NMRI2}WrjsdRP04HFG>pTGWJci2hLNEq6_QYF@%mO#D_J>n%A_CGF+11Q3 z<$@=xRvs4N_>&~rM$5DH&WIvjA&tZ`|9>yhtf%#Cws8+*XE7OL65>$Q*4eHq^2Z)uf z_w}?zo1y$hx8>)3bBk<3RTXRCxui7J7brEMnDues)Z+rBq6ntj!?5@OFL~C}QdPUI zb~NU&v!%T(atLWb;a>x_k|XMTanK|vi%)=Q>$W>%AavX%t9{SnKx=!&_-f3GPw*6E z5SZGSGMm9r7l$XyoP+r&m`Cli0V$@Df5Wr7v$x08H-*FL8%?%*k1x}sLxNS%*96(l zYLz*d(>F>?6;$#l^D?@s94qDPJ+p=XRAbuVIgVVjQ8;J`qk~12&so56;rOtvqbMEF zcTc(dou=Sk;=$M{&$LcZW9;XG<*@7BBzE*tWVm9+YzKRgCUUXH=QDVCb@uq8MrW-Y z%XaS|n@XnRQy-`V|EV9@Gq#z&{JDm_0ZDu;`&qZf&+FlZeH5?ruB^M7BXr+eHH;)l=$jL(qTV242_q*pV9$oV^iV2a`9>LTaG&; zh3K|{J$pBloX=#82}bBo_Ad3RezQ3w)gl<$SZCyQ*H(&f`JWdqZt#qsxKXqPSN(u zh@PRrbYY!uB4YlAEDbBUav`3if%;odt%NSAQ}pZh*%k-nd-xM{Oe?qWP1I1b!NPde>BPj)<_D^vMy-ONB&O5E# zZP-&En*8+26_|a-Qw@c*6Hu0YvXH?=WSJBr_&>sf^_G42)2eLya$@*HE_nLzi&&>= zH>a@>k%Ns?E!5d@iYY)QW1QBc`Fzxo!#lo26BO;eRD)`!o(q1% z+u|H`U9;sI>NMLf7MV;p1+xK`l~3hgoUP>>%r5dZ9Cq7o6Bo&q6?U#CUDrCfOtGcZ zaI;T6fN47MP_so44HV?9OzYhfe)o6k;Y&6#IkIq`o9cRRcGD8ci~h_n^P7fUDTZ#r zi(6}uBxpG_aBg!F;efNd*wIv?)Mncs4!52$&A)5&<0*f2bpX7iCrC**(`-ib>1D*P z`(jgXQ+`Y_7^3Wf@4f|++Z=>*v#xzk<-}3#?+$t^L8c43)K^TVe0OfxYB|BdFYis! z>~j6o>Fq8e%XMBuURicJ-zdEb(&2v2Pg?VoE#drrEU+2XZHl6qh&~8UWY;1c zJ-b=j*XG6h$?sA&(7BNh{P}9^4Z<6?U*iI@Cb3rd$HA~bn2hYUV9q4)i;k+XM`5xQ z6vn>=RNY#sCj~fz)8MgbcYra%1m;TOyDp({>Vw|(k5?hzPofm>x!Ovqi85E_GwpQy z^BV*kW19ucT`_L zZ0Tj^OSv$d#BQJh@Y2C^GFy|hIn7t?PjbEnOg!J7oi2m@cHFJZPeZXe+D~%lOo%|d zzGJknV*ELS?m+7vEPIV`3Y=p%v1!CDPfZ5q2RV200^F+SJ}J7nh3m z=fjRy+S{V&m!Pjf<(@3%2D4gV!SphB9nr4+^9N!Dyxz>}JEBAqO*;uj=V^^qKXrA< z4!8A53@o^FIFodjPsLK;Zvl-#UxQ{uvQ!PHqah0E(?~_p@xnl%aj_?>OWhyL_D0&_ z?fGnWI1!oYJ<)DHG1j|M6ksk6FxLUh30=aPyPRK@G|W-Att&kvELOE5R>Y*uR`#=) zQ12)-@IXqY&S0{|VlRn(m6XrJKNr6eHh79vv`ecS= zio~MR2GP>J!Ofe&3ukZ+Ap`$@*^{J}BTTqk^D-hAb2xd3Qi6$`2h5XPcgTT_#f6_H zVsbb9*AZ(l2veNB18%)ZobYVmVShXZx7RwE;vf7nA6znKuaoH+C=HLan84&QY@oZ* zuP%t+XmX|H2c%JnrhSP1og_lhP;6OYIP#COY|5Kp#h0MC67t|$+cutT`+>-xXu^_A zU>#Cf@bY-SsN?hj`qkhq9n&c1Yra2T$JtWH#S8n)f@zcw8z zTp%jS<={&wY6;FC*)Qs(>-g)J%iy>{go}@usd$8<)8u+geJ-Ldl2*v~j@K@J^QLr8 zgh}c{Rg!xAFsJ{AZpmN6T@>t36N>zU#XI)=@)_{H=>NK69N#g0&9=y2aCrT_hPvbT zze5p2LD+suayKQ4cdo=js%nRBb&h6gD34k{WUQuc6k)GlSTwq4V%wb|v#VVgaJ?Fj^x_WO1CGnR>QEeT zc8%M}p)V~H&1E>HK7W6BbUOER;NO}T9Crn05i8USeG($?9zy$9>dr6Eh~8M1v(Il{ zilsIVK8us5o6N>eQpFWvSm4vHs!E1b&tbplM4t7@aRhG}FH7u)oRp*&H@wC=gI)46 z!Icdr470S_8xO`?rV>6k`A1lr*{ksF9_?(b4&B4wz?J-oYf;pS&--LugqGb?g9InI zMwIq-JFCPY5<6%w{4MlDR@@2g?VYt~%!X_lPM}ZuKQx8ryy-87bTy3nNy`0&MncO& zcXIi^Y@z>o_pBu8GVs^m1~4^7bWB(j(FAj2X{RtC6wWqo(po_#_i7Bi38q8McM%}L z!d19wIhiZ}Ws|WBc?A(MDOd0pX^gf`1S5K^HTW9=nY)YnC++GO6$IOAl)yu0NA{g| z2&dTeMdXc}q=@rciP@$U(j${6n0BjAnk`j7)OpP+M$<)hGnh3*8=cV6{eG~6^)$oe z`;B%ih}FMm+aB$KbccR&G}iVM6yj)2*?x@^oB*bKp#*d6q}hXN9F{-5uZj6Xl|+!m zD+JwUa`@?1Uz64*OnK@@tx62yxf|Kx9GBm^>4zZ*2KqJNdcB=A{k%NWB$17yHVd`3 z{13TVr@u1QrPL>@O@V7ur674t0X>+sMnFk#{mn)Hp$40`#gxr=N!8FMY{>=B4Q#}M z_O^OKxrE{4rxO)wBci23`Oh%|)1tM}k?rj@W?Hi2{!}en9oevs+X7Dy^jYrGZy&_u z2#1tm3i$n@c~rtrLmo!cn1)yk=Vh$y14fLScUXXqxP|uqDJRZrIL=wCQKDdr7Hg(Q zR+uGdC5Zl-o{mWo9@u4B>h7T+7cJo^dGhEWX#GJ5zeVnBW~>ZB>rm|gDpXT&$vt_M z{{{%uI@EqjGPuXaY%9%@f!2}W+EpP-NUI~r2nAk)UDMC9TR;j$UA>wzdvxw;f8tP^ zWZ@Eown1uWBA7t9)MD9vW91q`P`Z6}9$WdM6_4K^2j%D*o=%Q)02InuGoNuFZ-|Zs zpEIERubjT$>;?|iq~!a?1Oz@kPbw)R6Nqx{_xKyB+$`A=>C92+Efiqdg*`p8Toeub zN_*Qp)3#C6+S70$lvgtzlSvf3fs(4;M{<1{6u%!>O!j8-cp+NgsC{VvVL{3%%dec* z@C>s)2G{Jfv#1V>cy5QQ4wHeyk1Y{~`Ot{qk}f@s{9O@=EH()tj=N`6*1`?K>g+#; zwXfxNeN^JQ_R?^i4O`z`ag-)y1y!97A%j=eU2!XWm3!dXySSjcwmnjQN4>-x83>qe z{ViNy7@vgxIGz1xE>$9gHb8Y`69Y{Ep^0VeA{=m?zd_>rt&V3I)< zO)y6JxTmVU85f#%Oj{Tf>WY+wc2;*GrH=a+?f1bTXIQgg5YT32tls>6+b1=pTJCvK z!Lh51^MnE@3aZci1v=PZAatlDN%MSJvCZ!MSD7)lPcq^bka2Tl@t5Jr7AXhdVP$b- zmJ~7QVOA(3RnR8QwHhO@ZA^$&&K`%c&FzbzLKE>GS41ijKfClu^|f?J?9>k?HV^iW z2OV%SHz3v8Ko*^iLG6W%w^p_#1+*P|%=*Y`k_~oYzD3vJq>3OtGo}4XYS}CVpkWIY zc$Rh|_2VQ%b?~$_%!A$@{i9@wtHT--^QVJ{z8llanAH8ueInS4Ts{Fs#b}sfwF-=h zfPG+qXPtbzioA#!r=z)^3w$W`ba(U<_c$*h2w3uCfiSwAyb?qXp&YRHM7?#kKUVzp z#8&rW!f(#0>GNxtCAly!+kj#C*!$P&em+o}q3(rw z-$QfGMhv?3yK6zzq7DPzr1d4=oC=qrPGIT|F0u9Hst&_9S#xy7{xb)42I)uv>kohq z0ndNqPW&?_GEdy4d`d`No&Madpmz6G{X{!}d-R3Ixzi>XkrbtywfiMv0~uIiOltLg z71NTl#w?mT7V=!ISh>I=kV8Ae{S(3iG7CC)9!zndHXUUtSwRLEL`xU$`qA0e}B?sl9e z=yvBh0_c_){3nBu<8_-RPvDDs`js|4xXI&Ib1Sh8OE|k$Xh0|gZQ~+XE`nSBw-p=c zc9zll?NHb*d`XH>RM)+RBV1uIM_3HIGyyh%#rUEKUK7EVlfgg76y|e4LpX94gu>!D zVN#mVkPieZU$j`vW|h7lV|dX*zh7JBIX;_SRl{=v&#*d@{_6o|H=`B8>-2+M;AdTv zBcu&or05Ifm_iqS+8yH7P;y_7uAF4@x1r=iHUS|n>!Id+rzJbYAJ>U50-verNq&hq z4_WRaZS!B(9VPwUMS5N*_eAovOMvTY_MC|Klt@%=T@0-h5aRmpOS4nc(-3ZIT1yYJ zZQ?eA2d5z=pL2vLKl0ETU8H{itKhj-B()~=c&@+w5tiR3PMUiih}30hgnX^hz%aS# zlXu$@0;6siL7;pN^QPz1fZ_8nb5RoQ+gFEkIC zyLOZY?>kKgzxOiSVNISvc)QnINz9kdDhCYTE zpN|H+oYgW4yyN;lr3{wYpVpuwF%F&nMRnH*SpS}Ob zs0cee%w)nChd!J)HfP?tAJuC4U4BhhyMR*g@&||mhmukNM5&Oa|MI@o&H-k`UDfZC z6`n~jqC+pYuFlIjD01Jl1)pCg9=hA>&!0v2m}I*6$hSz!Wx3ZotjY0SpLDNztJZ~g z7XEOxG5n2M+4GcdNi3NHc1!I8?|>MM7wvP#l!RWbZ&Z{9Q^-f&t|YYREJ*fL4GA(= zQBqR5X;0#vb32E|Z)D7s=v&DqQO`x|Z|`2J9=LvX9;qfWzdsteCxUE|D zyXC!>bT6;tbE)UBPRiz6dG6I8cM~>xuXIj!h^fQ^vO~b6t9CG3yAnr&%AJ$9L)hKM4o>TroD>g9?YdJ z9lV&6dsk@+nmMh5`7|+%lrxwbj7|1IGMdhKRn~A9TnH%}K=HhBKKpU6rolM5~{L^FBXJXH(0hdn4}u z<4x~ocq@x!mubd;%3fycD{XdsPzU2{%2nD1!U?G@Wl-}~bY5!XJi~YK&wypIP52m; ze=B91>T4TWo^h4&U5x=49mF?hDY3G+qq7}kE-0-@JV_}b+@R9oQ=hYtHgFMJR7lO{ zzsr|yf0!`coHPMbK|$(tkp~?<0$){O=Og~3(U?b#tq@g!W+$?3sgfjl8csP%#Zt#U zay6OxNA+VhSNHpDf*zD&;ky(+d+>SRvC)Y)BKY(@i<^paRP!o58rG zyfg>J&zI;54?sx7p5HEm7Z*v#^8t4amw$CVE32HF`bRf~h_F?2i*#_<3&cnfqLOt3 z>l;$xbieNsS?cK#=kOu=+~LC`T*ZwUH37{>EwJ}>e9SmmQ;t4I`29%+2NrkBfRIFi zb&uVv$}b3{c+41k{HSdD0A;~!Co!4|6v|KRfvCRbVj@!1HcfM+i5WDTg z$6}1O7c8TYxYnlEMka3hgp!dz*kWo7Kp?Y;T53TG8lLzCz2%S2;kQTx!}oU*2BRnP z{xMcxbGogME{jXb?38nosdt)Ei7d@(%(n8W0LFH-t}X#4aF|*JE7zQaN8u{_a-SQw z^xs?kqdfeQ_577K{K@5_8k9A9_hVa}oHCRb%IPbN5Z#pigiSwnXqt5N2I*~m-bwQ} z`4NP2O3bDi&W^yW;xw4qs`Dea2*6_UJk zA>saU5r#-X(GC(K%~88fUYf;m>Wn2G#{SB{5&apUeK$ax8}#UvO7;Nl&oYkMKASX- zCw`Ewgd5`Xn`>eoj!)l8II1lMHNCSM>J0UI6$A2M%%CN4(EYoSA0XUu`_ggrKIbS=<|i zSl{vusr^3_PT61UM-#3Z&pC-`ZR$3)10GKNkc)i!_!p^uVBt4asIG>fe|!)HcZIXr zcAXfoXK6=ME}-)(s-QN-_pj>F4!@NkZ5@ML8AnJ*I~C~Li1C00j0S}FTpXp4v=MZt zzVsA1dQ5%@eFzGmzkGH6FGfl8+sjrpH9ZzE+9(hYz$(-XW@>1!87AH&R_nrJbMEBg zbbm!pi%)a%-pj=qO9#!I)nqb-k>s997FVZQTg|Y=WHK~ylbBKF>-fJZLrec-5^Qgp zUX8jll4n|pntBu4QT7oL9Wu9fSvOOtv!;=aO_%XeusOolw9ljNXQ&Ax(dmr`Ps}UG zk}Y-X460NNDBU9`^{9%8RZr$Y*}feSojY(@1RzB=?;~R|u6~%iEAV^8JV#kB6Ah&j zo>MA%mM_lacNgz?j?w!94+KNdH=v?4{=M_hY-`&sX3o3vwl>1Jl}yY@U6t3%Qjpqg zOJ$$@9SeYfW{F>0#}nv~OrbcE_e^%oPXLiNe=^qTSS)uQV$@kCnqFxxxX-z&@bwQ~ zmWqI~_LpU+*GY8y`r3oKR16c&DO18n_8N0n`z+N}7fKfQfHE%NcMzOxX`{|Pbgyp$aM%hsm(?|Ct= z)`gSSg&$8vtP3}mYHkU!^KI0b>)cMp40gVW8`cht22`;pnC{ihupVTw-~1=f73?X` zMH#3C(1{zS4%9k9FX~neia_VCdU*pa7 zL#+K6r_ltfv2}9&FP|03gVV6bCdqIXL0+t2li)oywhDjPDJcW_f)d#&CHLygt8amd zadRnXqm~%cFv;{kj~JxFSdq z)x~bRQz|ld-H>e0@Qdbyf38!BKxeGt`EHWhR`-DeHE6C}7ql96f5Ez}BxiS|Ry`CNV&)dU&VX&ISq42eL zpcdut_i-Dt$LPh`1L5;96t?WYy?MwBo=F5lzUrpT-@u2_kHBx)4)S632k-Rtb;oR| zE8`6fbfYVW{=kkaaOL2nZMEYBn}>b|ZmZmCwe+2hy0Pm_)ZUy+N)tXr7CM;WyTs!Y zQ)WjA&x>x9A;xT&`lE#2vl?eop!f1_XXxqL0Sl}=nAxew=i8k`8sg2ip43sUr%C7xgyQi*ZDP=mUjn_$3(^pm=KC$I144hmL!Lr<)G%{;<$n9Vg-Nd$8M5qcZaOAHzVv@_0ZS91D(P!{jH7 zZWQhPaOQw6WKRFv1i6?uNMuFP=N&U&uQ`XcS->B7akUJ9vt!R6$};4|kQKL|T%DzL z7QVwcX-4PBIvj!abM{(RZjM#au*{Zrz6l?_@!2OEIg*>UTk=qnxPaVnG4{Pt$E{jb z7PhY|$HY=!%g@r8wUk7&#F*jPY^TPDqc~rj)_>z^mo>y%n@azZW9$vYev>B_lf*1{ z^*5MYXeAQGNy25y13c^`Wx4r%)du~-+Qarzqc#fTfw_D!TuEHX~||JFtTo%m-tkyudzQ=C6?8KU|j5Gjx! zfEss4oKDO8y(PBk529ZVN|q-L^!$3EL+{Furg(O?i<9)55=Hdra8AYkezBaT=B|uv z4vlh%@$BYu`D!A)HBL(p|3R@KsyhDXSKRLF?Y3U*=(X2vc@nPe8#?H}fQxq)^6u&u z`)@459tN1Jsddq?IkGhX;ZFf<0Zpry8HQz*&KdUWC~|pnY(R3yVcG86i~y|+(J&r9 z)|;mTcs7iN*(FG++4MN97El9C&pAuM)n+2)RG9ygc0SL}=S*I3-1D`prg*`Lk6l?2 z+?qa$=2&go1@;*QnmEHy14iuHGCz-7_+8?IS;NxTtiL-CqB^DK67B~QUkA#3-`|ti z!fdfgKNWp5mUg7L^`AmVT8U?%y^A}&^UeAopksyjLCc-O!@Bd>_;rF*f=0RDO16n%>vH^N_-J4U{)0Y(JJ)$ynIIIE>ss9nm)9& zd6WI4xF6-#7Q;ArHv|=bW%!GOZGg2m&K$<1Hx>N<>H$h>wPytlu>nu02QZWAOW5kS zv_dKSOsy~L|A>02sb}HQOLyoXiev6^;=K@hq|ql9gQ*QHiarO`J73X@aG2~at}ax8 zJ~*VjtCZ#ilJDp<%=wmhi%6|$#oGlYW)pa|J67t8L?LQXqL5#4GKSzS!XmVQ%@OSK zbi#-k>Fju3?&B|knDn~Vcr~Z^tM|}qsB}fKmpU-TgG8%E12{gpi_!va;EiNkW3Wi&T2U^>J8#%gOoc^|?3lNR!7nb-HV?ytOLthmz~yvrH4apU@) zF5gevE}GJX&1OZqaHB9x>@3;wS+xvc;O0Sv;PQc;|I@AKeRqvAXt3g0y@Q+nN(I=_ z-qaMDNegy*p)!Oe|8v^0uVr?3kVyyZgO;fe*z9@@w?vLxX-@aaw}3ShQCf>K&c?T?-5O@wbxN z1lGFC53FPHMoO2lw7=-qN&6;Q&rn`sJrM!sQU6VkDUie}rH=!*bn@byY}WHTpVcF{L`dC&?fqt(Oe)fb`3557HOY-791kLioZ^R3 zN>1mv)1+VDGJ%?Mv_kB}k}R8wDEa^YH=(}_`y_=bpK`Rj?I@wH_F12fP)*B(^WW5z16zlI$ngTc- z=(bp_u}3lfi&Lsaa&rG@7WXh|wnhM%+h_cEh zJIOB9Sw3leuHw^PkepO!k71wT{MDZ(!G@|F-a9Wm-8TBfMO+TqOI=l{3mDa&i1e5YwkQd-LRxv^?~QUnPo3~C5m5;d|oy{8*VP5_@d+$ zBn$>~n%9H5$bJr%gZmv5dD=`<6oL>EnUC|M)xP&s7OZgn`0q^ zKis=m$!Ee|w}fGzH~@lDB4h4v*o>)0t2jxkq3!$A_<6F+v?q!uUsz z%m4sTa?|vVPeMEV*Wj8r-HCNgq6a103X%pZ4S$<`W=C9SDOZvk=fwbMVvIJ1oh z2N@q$kK6E@HObH*?L4lwZ&1NYVL#xhm((q!(P4uI z)rX1JG4-s3hY!URnbn^^*%R#d*=A}+(I%hX#ijJ~FJ(_-jgLG;wx4VJSl0Lz{rS)< z|KJz+^`AZMp|^EpR!cHZAsIWgMM&X$ij~UXBO+;n4{M56(!jH24qr4cC5+-l8JY|MDOH-ERx6xi`81>0(=dd&axNZrj_` zlaG3FHsZuPBsH48KQ`TLJ2ks4dL|x_hb6d~JaYUBQIadg<|t*C{u-n&7D*j@kLrtFa^WsMN9H zAkw#?MJ>Z?rV8SdNxQ_fs*EAkO8+NeGJEzG#lseZRZyLEXb`8r@;l_197y~xA>-a8 zO?5$cO9ygcBA^j7@%Z>;N=0+0sb4k(YtBBm%8rEp4Rrgf&>S*8U5uJpEwaQvP{_p(P?uEdnhLh>&(EX0m zjKjTrar4c?_pvpmTT3b4?t7;K%Yy)iL?Z9I5qIH-j%O1s&G!S$q_ymC-tYJS^0BA1 z?qOW ze@zVE86Ul~+vwv)2L2hc*J`pgR1!F%_8d^mqp%4lFe*4tmw(5w^b$?`f9?RHR@x2C zPdh*`x?Vrm6u;-aH;pVOmjy8Gk*bp9tuQM=c<-vEk;I3cw;2$?HTXV4~w*gP?^5?GHZCY8Z=28RW9 zNNj#A@QUHj6;>ee9YbI6#9VydrX{;su*qq5{uqUvBzEucTIqG;{}BM+Y1}JbX!cLD zZ_hk$n?SYw5*Ki6l*wWW==CF(4IN86pxk~t$dl5Q$BxG^V+j)-9I%JP|Jmt@C7C$*Zo(A7?gdk|hg5qt>!22A& zPl^p_eMj^oeF{+yGn1YUGaN<#SW2Ia7F@!~f0lssts&Wn)4`?RS`Epcbxc7{W_ZVqSBo` zCn+{o=6&bbwK$)U&4{S|NO&NnUp3EQ?XQqb#2??-A3cfg6mpQ2M92(6*E#!e75ca1 z^Te}F^J-bA?m=0)PBfZ#$3$;s$7>T)taxV0WU{!7M$9&l?^_L>y5R+^!Gc(#MFf>4 z&3A>>1Qc<+SGmKb^jwqR`U|OH86{r?B~A$Vq*piY^6twMC9-=3B-nSrCoiu_EVabC z5bc8Z+jVT*i(L~0bA@4*o}D4aARctxYqEADvWH6o3B+KCLYv+O)Me$Gv9 zTNc;onVZ189WNsIcDww0#3?J|U&K5H5k!*Su!68zf(RSC!I#2-i|jumd&IXw_D{R( z@_v}~D06kX*yQseiSQLHuN3s zcxJ5ctoTW6EHqK9bA_?fY@f@V24>t(T)dNDy7Snjrw3@D70BZHW;vq6*B(3S#Nq7v z=9%n>KJfvFc3~k(g7^@er}OU>JO{cjMu?S*(|_m#VE-50)NuZQ-ZU4Ln7vA__B-i} zC(6_~JH1UoQkw2683|hMTM@3<{n{XErWyyzY}h&_UGJ6zVOcH~DM42yXzOzDeMtsT z%_BZKmpJ0xj^*H zEDH!TAQ_mjQXp|?S2lL5G1tX;-rI27!=}{1cV_lUEyE}4i$(IicZJ{P3gTC@d0j6J zUbMz+vQy}AJukCcJk1Q@bZ*~IjanUQaAj&1ocrD-zWmM_(79!$Q{FA`O>RuZ)EK54 zicpizjuQ?_oV=OVc*cJInVNs%Ci1AABa#9uz50;<9I|a2en`{Sp2IzQ^DFLOGu^@G znpvLkj-?HQwK4oJ97Z*0NjX|#Z4vk(KBSsSfWovMY?9ghdIPFe?px@lTm1_J+U{6<~p-G?CxJ@#iP+ZaW}74RuxD=;jJ?$MI; z>yQ|s(EtP-kQB_zu>&7*#hE5jrjCRcYYg1FX4b^I)ttFAP4669id?!IZWc;$J3a#-+z6`}1#%r;5+- z^wlQ{a_a97oqx-Z56Vk2`flCHtZDuFEOo*fsk7Xasnlu!dy~BVP{ml_f#weN@<`CG zqjbv4!5d8g`DNtUd%kF266sT7UBGQ92CcK3>vDkesdTUci$_r zR)_rs?S=do?N0Kuw~jaLp*tX3SK3o~PiLo5P`hDuk;-U*YMRc=ggDO0mwlV>IdeDS z@%KKD8>gE;dU4s?p0L*0YY3I-d`2^;9*uu}^=e$yBqCx)yzT=*ufm`=-KWt<#Co2@ zQ{)XF(^+PWNc`@T>HEhN9PbtZWd3LQ`2}2zl}ZgO^j`l} zl=(MCxRR6H>4h$0e)Ydb@A;}fRSB}&vMaPae|68APG@7xq*HU0y;~>DqHWS}&m5X^ zwTYe6kU#gL$a!5N$C{BY!-B4wL=4hpou*AMjLgHGKGwbvfBxJhLD0HtP$kOjMdGg- z!WjmIAQ+pXapeA~>o1TK0<=Q)+VUd=9+ zn=?E$FL=^Y61@{-+Xl(%_GiALpFHioDqmA;RaGlpt(wN4u0|eT!kzzVbIGuh!576J zG}>6JYmlzw>9+L4>YwR``mxriEjB*n7RY{|CqlTWoN`-;G2i-MY~5v0TVLEZYNSA0 zycCx}(U#)wZ7EQ^looe)cL{FA+Tt20?(S~E3GNWwAwY@-lEeRb&vWK{c=Ksb)~ubG zeiR2GWNR<&0YBr3f zliBJ*vfD*;TzQAs-m~a@-q)dGfX#@}Nsl`@-C?4$fB4043d(O8W<##YW7Zg zq}&taUp#rma1F$)3?;9v@8`GTj^Qk|nw-+pL%$;2>t<-?%_+ZKhzhB(n}4ye-EH$a zHT)9Z9pCLk*zX&IS98T{_Hg(YZ>a&D40++fo496}nCs*^VH<^)CK^HK#ULAQvc#T} zOXN?$O#QTcoO6gM+I%R1-JDIy?2C;ft8@S{H=MLYqS9upH`5p3JO^r^lEFeB@cw?1-vh@^Qa~{mr2qEMP zDPYOP2A*-M&nK=a_v`OAXA0oOJO+VRJbjc?_;2gdJ)7sP;^n!*YAmfT-pNs2c~~2I zb<&f{iFNwF>g;&15UDLZE44GCq)*Od{hcvdPoVYX6aPY?L2?$Mu+;#9yqrm6<<8v(N4CH4^z84rF`Lth*+b14 zm(FafgOJc^zp{~k_b#=hUYr|e12Wwk)r1+0+0n^(8ng}kQ9TQf_D?8rh|vIT|`8hNoHr&-}r5PG0K>9{r889hnvjQXfucO{>R~fsHe(2 z_SR{O!fCL+E7wGn&Tne-rF?W!$K93^ZHpsPU4a`DP@Y+A%&Xf+PE{&$`?jq(Zf=R22@r8tX)MjiGq zzpW&mmiZLh_rhPM+<&;XCjx6wrT+}xS+S@a7YmKN9%4UB6G{KN3ZS9Fv1yV! zmcO<#Up!^-t+&(GYm&3=TT%#~Y?h76X2a^$)EIRlQk?xOJ3J@Ab@k$zK6QLfGxX&n zU}M*S%IY|QW&3G!o$Ch)Q@Yt#aw5&9((nj7}m`@M#kk? z3D0koBJWVyT7)Hw@x1P{Sy_N9&C9%Mi&nfZ-s{WBz}J`!(Z`?6fxa;-wauXszLGaV&TCWhTMFqN$d&qrb@)y+sQ|yQ6ptF4{0G$p>$z zfGOqK$Le=(K=L2Y(9|=JFRi-RwT?ZpN+4!13ExPl%mjKS`Mp;nboYW-{W~S1e#o7D z*VlfcJB#>fZUkR%-xDht8fI;;d#nHP$dhOHFbjIMaM_08#4+9Q7z{1Ies4%!I|h3r z@O$sOnE)%fuM?T?%rsx@aCVe^YU>y6PCa%@9L&J7$SU5|RHH5&(_Sr3S&}}&NQc#o55;_ z(ed$MguLtI-_u+hpTW$N%j$wA2FMnb@#S}KY z_bdQZ*#YAibttJaxGm@@^J>wZ3bPutcCk-#VVQ#yzoFU~Tc!G8>R0vVCMmz@N58~u zW|i))1yMUIRV+oMzmZE?NYE~{2r_V-#~+= z=+2P}E+Z}eNx>5NSw-XUjcWau5Pjgm6FM5y!xSP{KKjfSp3a8~d=`s+F?Kb{z_K1D zJ{sYh@aw<}5S1WBo!nruW`7C7!3A|s2fWjt(L1l~U486F=?`wZB!Dsxp-iav3F_1# zS}>E#2^w0HaooWXvC(_Ava9Ch!wc3v%J}K+kgA34?<7^Z;_{jY&W$?pb${tBGyXNZ zESB;aaL^Gp?K!7idL8hi^&gOm)1^OM9R#SI3q#p9^`Hmj=#@;s_tXZt)&|I!8F$p6+i- z^sj`)&pHbe<3oP<(VX1glJA=;yrz3Y#rqC0GU##kr)JGfb&}(Xr-S}@+e;8+ouJD9 z#t1+)W@>{~nM2ZRd3{5(YcF#@QP7^b!!V^Ujwst+q9g!*5no9Ta=$nU{4#d7f{vY>Enl1$IFZ7KVFM}j5m=q zXfa485zzHhO)~&{&Tp~l*VPOz-UWAca0m-*j4nJuj(8XOlyJM<>Bjr1D@l40{`>|oYXQwC5uLfrk5F7 za>{t%f_K#3UGemUeC5OA;t<_|zJr-f7<0R#2EzBVl27XB=P!Xpgf;q{Gw~zlwZ|jn z8{1Lyv9I*YlHE>|UOmeADNrMoY;5fFOs_=Sxw8bZ&OO6l+;6>0z%o5HZTFu$P1)Cb z4YiNJ1acEBnsCu)=RNb9)FaIDikA_Me+^&Y25g~wlV_CyEi_ZMyJu%(R^C{eaHxEs zTjvbO6W37NIQ|A4)YAQvkb9o>Z7pNpIXzl+5t3)He&?pTIfE4sKMc0_7p*83)MOn7 zDDVG1md|v|oBP(zp+)RC>XxT)G`7U^GNvi%)Vo{UrqJ0lIAP~=`SqRIAHyb2pz%|g z;$u0l>!%BSpMd>=@J|`1n|_;s*$8x%(RQIGDZn%5zLER<>Lm)>qs-oaDZA=;ftD)+ zDO{giJ9`vbx~0!};!VVWDI^j)L#GNr!n^mwCA_v9yAKl@a0I8tjp-F)o~Lc@L4`Gx zzg@V?c=ReU2AahS^laSmbgYZF+GJbxv~by*_IqP1!R!lw?68b00ZI3=7kRA8s!Ucn zZzS5Z2DyljC$+9AtL%u6x#5w{R$~!H`0>sS`ZS_NGcRk}j8yHYBMgo;S)30rs^VPQ z)4>vUkJMGs5GBbUt(*qEGd=IJ2|UCfQ#P!XwOW%OjIznxL{I_xcwAj)%7T@?E2hSk z#IyqicQSB`5&hxbB9m3j_; z`WkgCYuxkR>0?4Us|+1#p2AIJ${(1H!d#L%lWRIP(x=0>!|cL31sk+ZQr&CpBgz88 z8qVjMMaR{91@Y8HnXTChS+Ysw3Rw| z1tL1mEx#mB(0SYDb*tLR*~v+lz4w082i_>6D6+Qqz9QB!1F8x*;4@hR@jDJ1zZ=`- z*gb>aDiN2A`3I>};W)o+QDn9ki+PMTwHv#+m~?zErfJRyCP?P-sAdMU+y_ytjJl*| z-k$t)iBYZw3qG%z&EBv1LE&2fOKm*mzOIU`!IZI1M^(qvaJjoUe0>WB)BI$PQ9clj zp)~~bLWYX+Xh6xAe0zU4mS)Je%_UiE{bcO;+)0C8mJ?U&XB7jyJ4uaxjS5io$MZZF z4GXO32rnFh2uvN$>UmB&tiCNDE%cU#&aS(y5mdSBsZp=C*oO%?9DMiMf)=__J!ISp z{VnS53J3dm+)y1hKx~a}AB(pQ2yT@*%7M{K4*}AsNI=_KT;zQRb!weQgZgnKy5Fv~SRtWgL_pKZ zRO}}0Ek3H#A^Ica1~ErX5E_V@`R8~K-Msgex(h*x?cRH?N5}>`qb5+`fFdg7)^}U4 zEk~3J;-4p+AmyQX&mfw!s%7amf4ofcfD8r;aQq^_5rF^6Jfu{5x!ZRVFzq+pJoON} zQsE2*$Sz1s(Pl>qVQ6vYMH?uS-v}Rl{<}iG`d?tznVfXj0_g&)WB+9P0=^WuQ-4F* zVW(hl#Sv-xTy(f=>+u@8(G&)deVZHC@{Wo|aNwJ#}yYM3>d7txR$91;3-E#UH!Ef7g$gj?>M;KAeKEfuBuz8 zN7~&%5PtZ;s#$--|^gl|M*>nC^L@~16M2N&1EY!eko@cU|}E5=**oR z9p5x(u{Nf--;7N{y!M%u{(8=!$!FK%HVVz*6YsWwRdHXW;3`$IMKzgKikInM_Y@S_ z+_f;WP}&HQIG>|rrz6)(dp}+l*P>A=O0L-n{iuWJD)TXVNd2(cxzPAWc~}}WwDM38 z*4Cf`k=VTReH@WdSg=r_-mnG0f4yqWXs~2tOo~>SC22@s;nz%zO=QjR?GO*Z=<;}A zhwJrBnEN~O;hY=&e=#GEG;r>yn6qa8>jHsC;XPD4-~vg8Q90wVt9le0>mI%6dJ9K(_f`o(+SD|<+__-t>oJA`%k%0e+0^M@? zy76QPKBeJdqLq%dWUJd?paG(vKfUwx!gO(Z3d(zG>(_b8lou!KbO2)6yqi)`OMFN6 zF(D&1!C23lG*W9VqjIQ@7ahK}|(@`hLA_>a&Fyg65$Vt~9XM~*q zDV{Kd@7VRVR`X~Q0*F@C5Z~0hXt2eF$Ou@5)*(QHRa#95ttv<~CgLas;S*>vNUF)Q z$v0hKY}5F8HdmhquOw^o06VPLeT&;AvIP~BazvT67s6eor(zLWXxv2lBH^b4YyIJ` z_0RGLFJ~EZBhzU+%W!-wB2e+G>+7y~p<7tpN6z)n&o)S_E(eAt4roriMM?T$lrNq) zWu4=QVhw(da{Q;{#AC47pqqOcW|K7Ji=%$A+J_O+>?XdF;1pO_QfkHzA;*N_)}d zXdHK6E+tfJ6OV~4Pm#9Kp*;IXH2>8FwGu6{i5J*r;8KKLD<*h=abuy)uU^YMk~jGc zkz`tupyKkDu|i9T5}UpMcKSskxGo=||1^2A&+I^`qZ@2qSw zlI(2_{jfw%ZD0+Z_zIClS5q9pq+Q{$Zu4U+2-RWAdCjNjzrOs2ifK>xd4dojOStCJ zxG}vEl_Vw@A_>OsuhuG;jjuvq<#6i!n}@g5h|fgIH_#y19Lz$vnko9HOjoym?eL^6 z?RoX-{JcY`Y)$jaVRzq89>cLYTeO_^yLYsJaB$UkmosWbdIwj@@EWYe zm4lTaKrd&D$-Zf{qx%W5b#7p(3?{a&w^-D!p12cSy{g^ZNJH zqVqi>sYc1r64FFuU88A4bORx5@mNWPJA=nw#q3%yg5;%o3dysrY@9@|cPh6Vrz16q z+X``>yFrOKN#cv!^IvtChbl%KcceOe9>UGjI%Dq}E6f?Aynn_b&7$>2;0oCRH8OO5 zi(B8M-dd^U<4Z{Wu1$^ueNXiPzR6L)?D`BEtKlzh%_4ou)bFBhG=m_ zk%aiIW6*^xJP>fz&V7*-dHyWFKQ#$qClwhg>SuF5Js>3FNv7JiBjtrrjyYUKM z6stLe}DkeqX z4T)JTg7r#9>rDMj>IVWg`Tg?*J-UO1-iTjwimyDWs(KM4wzz^4HMj}cZg}>)7@5TM z{of~Zg#EFc&G-kTb?CT%0FpGS=vBi)p>rsbc@t#6XLh zHY46I^N9#P2n(_p-j?K!b zMn)^D9{-+T`#Biq=Z)!`4h=TkH~gQj-j)vfE>va@Rk?dyM=%ug-do_QT0^mC4ob5l zFv2#?ko2S40mOeQdg4LBIGeXiG%>^D2zWBLljqNN?~bs7R&cxf*O#vZV@i(HgmLbl z`WZ+$G8eKqmfe$F&;&JHK$JUzZ;qSmCeE4jxXv%X+2VbB`bJ7fLkM;g0eFIb_{B+v zV?WB9e;)6a_PW*3Y0jnDpB?z&2Bgu#H(V;;B$~S~s4(xz>os^A^?6kO6_BexZgDi- zzK5+a?NQ#?1MXb*JI4_Lt4%!1FvUO}81Xx$wY%AC;17@I^TU?q7QCaxuT{%#ILR(KegLqRYeDtY{^L#XEcI*Nb$w+97vqbk|2su(qIOHe)mZU4+G^^uSe z7i=%po3~@Yp+1^Y=su%Xw@v7-H!w=se-6rX>JxC1x6Pwv+^STy4lTL)-X*0ezToZo z;jWR@e`V#i@#aPn@dcp0caep->G1t|<+um8ku|l?cCR$7pKZfVyMA9-;0pa}obA$; zd_j+CyWkLEvirN`hqr^5D|vz;9UreK2xLTEk>(NRJ)(p-Zlf9j&AU?7BRRjqH4gzV zPQ&`6l+*Fv5EM#SHWh=e_CZ@mt#Q3Ru+2<~K8ls{Gep)ac<9qAEbjwHm;_sf53i)I zpWX}}j`gt=j@V4bCcXWmYSw1rWoD@iJfx1+QEcItfyO8;z3IKD(K3y3(OQuGUg99M zq*U0&NYcjvn)>ngA*5+iugGz1^(Fiy+w{qe1f8KDoxIfs*FQ=(6}{(Cy@>C+l;}?W z;fyrV|J_-+4A*n}TWNXMp8k7h1Zw;9X@Lw%PuIji7}{iKOwMNBi-P%_KQKC=?y{n!_Pef5 z3Jna0UfL6^+RURdTX4{P5dYP1=^*7?PMDj>oKSOuQ+JAx)^Tq<3S+Y@jZf3!-!_LL zrOF|?#dFi~A5AOn>-)=xZ$_3NtZfTZmSvPXK4c9YW#SQ|_}hlyfd88ZqNm>Duq})y z5#MP-boHx`>6reL=`443@@fnjMac_H#II;0u@$ly>ZQrdhbu+q8M3vIT$~X~o%u3Oq(-ybo=vbv^_gdDW{`jMa-O8-> z5Sb#jN0Z>ggfrc;Tt*=1V%@sp45TB+7KyBKhxCnE*bN%{Yf=>@-I0ALs?%xz?y9zu zGH}>gMt;~^_(MmZ433+f&B?|3;ND_cr^FcZpJ5!MCEFgQ)jlZGAFvgALvPqb86w{) za51Jaq@ha`bl%6e^-NkF&(|uvB$}%J`K+qc#%y!nknEUTGT`S{pppsa3rE4wcm~HN z?dTH`v|dbtzS)xq43-5qwJ($Q2UV*FY?d@{i@MwC-`hGUHW){=mvcz5d3g<$Ia_j{LGNK6d>0kF)#vpq{yG_5QV}?%!U@3Hh(+fB2pV<11~( zC&DcNbj`pPbpp}sult~qzB)Tunedeg7t9{xo15)GwSM%lYLD6dQuCED zwDVK~~q^G-io6{C}iwMG^e! z(O%7$MMPn)#i3{s?)&cFxN&cIgev&5{Jk$(30xbM?DWt)Zwg(RVY*!vLMqa=TD;XV zcDEhds|%`v!9cKBQG<_H3GLG#`mU`wM4GZz4?`y;c4ov6o+DJJUb5%4u9qJ6ZQS^x zx_c%buy5hq%ul9zwEN(sVV7(b%7b3W7mhv}xPTrV?@D|QbL|!T<+u{s!vrlmSN@+W z&pzK~f2#5%e6iy265=xgTlD-QYNygwpc!K!qvDNrqZ|uQQs{zhuN-K8C13XX2z`?{ z!no8!8atsZU5tUq?X-(D>b`t}x(QRXm)+}IIO z$k3?DU!F82t?c? z?tjAz3heUZ!!>*VJKCW4=VScxe>Cq^RWWx&$A^$=G-7R=6Fb3(Wkr@ZPwpnovod)t zle=U?Lu#ELD+yBCX+p3&tk;nRsj|hjUO&sGB9|#vHz@Izdr}UAtWv8=j$*0)A~*TXN&y;&#suvGX$u8478t>Natc6I%O)d@7!lUT6Yu1hjhw>jvO11wZ` z$*IoXA4&Y?5C3{*A+|)zEGFpVe9~%BqgS1BTy^XawCD${B@egkV63bE?DRhntM=!0 z+5hP79jTijb|Qd`g3+rc!R*7A!=-3@m@uv^FYl z_!Es2DiOCmt6_?rU@f*^`Mlt&Pnude)tPh5Y^d&ktY+Q+*J@_E)Bn$EuKhn&vvAuX z9!9q5|JvQP+={#!xINml47wUCBsb;N3{8Y3*BAe}n_)CH>V%Cn5eM<+oD;%i-<(;H zcZ)XbJE0@@z%AY%F?uJAx^Mgm{kIAt@>zNF2_&hXak##8Zv0J1&~|Eivoa~Q{=ZhU z#s6B(p8sPt--SaY93!6GO($lecL;R4r-5Rd^$$unWK3)<%PaqXcQeVz(DOfc)9`@_ z`r;1%|56Yq9rlhZUup#(MD9tWtc)lqiU6W#_2!h=8m}1Hg_HShBETPi;lxJjP0chO zEUwy-ElHprD{X3r_cEAP()m1c&{2#e3Cr5Fzl!+l)C6i48JxkI1b3|Z3JrfOTZ8swC!izgU zGn~VPSL7ip{=Fhz(Za<>=nNU?0Z3s;;Mm`v)jPc1vl9+&tf1zh!e4Zw!yU~c2(KXm zz3}TX@cXpl6~}xHcD1#N#on*%i*Wr371)ZCt9|nQ+4CS?uCQ8vT;YFXbA{0g%08dW+|iMY0vz= z4ss3j6Nga5;T1?qsX&^x7Skf*^Wx(u{11fvwtzG}MO>zq^yjH}-nXyV_c)#6#7IpC z+d;z{(@_zf2h#%@5Ib^l{#O1xEaQ| zI@|jaJ^ZhaLU>r=&Q>yW@LaI)Ova_Caeuqtj&^jNQihUWJQf~DyjVl_AXOIzdAo# zmu>J(ZdJ%XoHLKz$z6^vr$>q^uD5!i+apnPI$?&po5J>l%x!t^H;Ol}%X}|Y|L)xM zH9M)+laj$+cn7zU)iKBZk~Bx6(**gN4vS%Wr0bYuu908OdS(vNI(zU11@K+LDAwuL zRj;#{a%+Ya|6#HY`$}Zuy)PpP04bpntt`MV#&LME@W7^?4i_S;SWY*;FoXN)5B|FH z(d4&pyf#}BiT1YSNwZqzN*Z;3`~8nd=Q&QA%(Le zj}K!Psg?AjGqJIrb~I}RDZYFN-GA+c4f)t2=@|;Z9jv9vl{CGCl)%xe)c3Ns8n$+H zYN&P3Rn9x!de1KiIp^JGIU}>2;ht|CGg~!wJtG;sI$lW?9~ZReARR70`kZ1AJ_D!> zztH3j5N^EQxS!Y^=gzKw$`YXf`h53dh3C<9sfzi^kszn?QEKvF)wR4Wgj4*>T76`b zaIFgZkB?o=cXfx5R9LStmr7NL)VQT2@9v93;4`y#T{z-|Zb43krZOpcghgRDID@~i z3m@9%Cx6^%197}KrbN0#vNCB!RwWP=`+h+SAJ7C~sxtsDZ(bZKjI=!hVn!g}uPKJ4 zZ`0qUR0c^#DMQ5Zmz!?sDh?kP87@-;M5x7FcXGFVdj~J0O=;A9{6+|;4w@VHdUHcs znw@1sqzO{4{nJcVHe+|$d|Aa<{2!$KZ`N}K8vF5y6!AnSA{i?SYfn05bH?#m&=|9JmxcA;LTI-)fH8M-;0MXU$Z$QO}hs|E)x zT;PvUs8%^jY9&xCF5eJ!%|M}%ipSTYbQ5A9Y7Z5_zel9V7s#0;wBDmwtxR%)5t_Cb_T(ss?rAKgQgy@)Q}ZAA-1FS zWzW{>%GCImF&uT4{@5$jfDpfAy~9SQoaOn?^1JW!QjLX9U5o_p`QpX$R@A83oFwQ( zkM3jxYv^5_x-OnqbBio^Pq&n;%OT8OLU=Kj+X6?=Ploq1Hw7*So>v2$7M(YH{Z{E3 z!@a?aF;e9v^y%JU4=uQ?v((naGtW&Ng? zFxP2lCwiE$R&@O>gsI991JJzn6D2)AL3as)DxC2_&At+=SUNB!C#VgR*swFbH7}w) z;9-rf=|e~GxG+wg$C1i@RsS=)$m?>{AaSr7GA>CHNF*WqR$?Q|!Oy^#Usk6-gzrk6 zP?Rtr!sis6j>dsAQzGUkKYv{@V|1yqHBFJSH2rrar8}Q{z8?@>X*L{ExdIFx!!)bz3c|V~=q|agf12FDD5NNil=%IW4^I;=(4@ikc10VplMI5y=Gt zElxP4>8$@vVx5MYi?6`mrvXy%DwPtDe8P*KCFP8xiS70?F>rq_>iI0+qk}Rwrqg?U zXOY|{viRpAzRkPHA1+)8(#M{{v||j_Z&;fWonIB)bkDC#*PqdyfPFVAyckL#pO)|o z02ftIAaJ{G{qoEnm4Skn(KG!tk&IXvz&X2pm}9v6Ye&;Owt^@tXcu-^%gO>Ps95-Y zOw0e{E)DL1h;|%U`+nh!yNd}M6P{lfw7OcHg6cjZWghPS;n_EL6W{33aXm06>9h2) z{p%4HZRyB>Cx}c|&xy619(M&`gKYY%g5nk?yhOM9oZC=HeAp(+6Y;Q?(e`-bkKC&q zgl4tdHj(i(cQYgz3;o+{>+(n3xi_9%G(qn@r3N$&zrDM&qJ!cE{;HbLcR}oO=SrUs z#BxO#cCLm`;I!xp4ko)!c7B)(}`o=yQ#kguf8C^j_ECf zfc#zViD_Sw;$URIWHzN7-;)Eo2eIzNC~U7n&fea3SAIs~#lhZAhblw(%h8{tN6o()TS$ zKhe07X7ITUPWB7a&QD)k`(Z=7Qr_2X2`kx!(x{zlz6aV z#7Smm$z*3heFj%)BeIGa}iSe}Kryo^d0Mhbv_70)dUbR5ph5ExeB`cfbSFPq2e zljgLU1o#?FC+cpV=O zu7LcP;D_=&yVfRJXXcboQ?e`T$Mb(-ng0V-Qycos*emdj$8KNz)W$yU_+VJl zmM^~9Z}Q?)*4B_keUs6gfo@53efDq-OXmqo;w0kLJToS=GmU$2);At(q;C2R=p02m z@(G5nmFxBvD%bgi`)WcGm+DJ(CpGRrHo74@K9bn*ieDE(&xm`;++t zW3$O5eh2qA$jin>Ev4&kbZAkRXl3o(y9uJ=*MGS`Q_;n$R$1HO#=I3(-=uHENS%CG zHX7XGiNWH;_M^mBQ1w!hB`jP}Lp(|zY(Dzarxg-wKLai}{GB>pfG@~Ujc@bKqCdN+ zXU^XOIK5_G2ds8|Km-#Lp5lV0`VBJNDt|=!66xj?y;?=L%DLwY$A5F1`36Mnt}6M1 z|DCA{ry=NL$*Y0|v5Splf>5oP+iAkcM=dG_RrL8Y%yu@3*_D9!>z}>)!LT(8eM%oS z{Ikya=4ijqLGjoTFZKds15WW0GKW{R^z`5Bdfu+nR{dMwT;~5b=j-`XT!tdBBS_+1 z98>p4L!um^p_ak|<84O!NdmI0(H;&(Yg3Z=id^Lijxrwd!w;n4d2DL+YFVZp118PBY9sU;-ZUd9+m^fb(8uQ5OaqCs__sd?zW7Q9$eIsBY&LXSHbyr8!AFJX?O9pmF$L0aUP$E zJ3RLC`fz*B`WmnI4~Vl;=P7mC3vrVG55K1zKE-+Q;f#KK70WqlD~>im&R zP70w~z9ozT4qVh-7?~N5?F681^05}an7pOH>01nOENF$z44)Q>bOqn=4Bqa3HOf45 z>2<6q-UY29O(zI-997SKulG!O+GA*A{Ld4HG@S*=iD&$YzhCt>p4nJE+#f{ z`tzrDJYob$StORN{oEaS$7|Qh#c)oLWMfbVxSYjJYJR!JstlD3Qx++2>NjEXlEPuj@OX>xAJ+Lgr$NKL5u-`@YO9kD$c@G+4U9hH z`>j0z*ktA3+UkXf$DDN>7LyQdkw}HZhNJ?{JAH0aN2#NtMqKkB)S{$aZ|1r#>L>u< zTh7V50NdMM)qlOLJ5#C^W!US@S*Y!ujf#7^@>7@anx8endFi*05j9bKKRxV6OZswp zYmQuFY(S>p{Rxs_9}P%mG&RKAvys>fD&BbTM#eE()Ay^z#0XWedJYr?MimNUzwfIA z1id&t9j$Y)%gugq7aWv)l`l7Ca1!9}KX4eI7lL{bcYA_Z39ymE-A< z2>NaA6i~kPJ||2)pz{jnfaUSAn^A85Ek#H-F6a{ey*-XYdXIri?S%pb6Fo1=Y{-? z8!@>v!lXO&^x?uFT@ixg9-lLoq~vVeaPZN|__A~=xS)e*oUsOVamZ0#D^ibblwGGy z$iV$9)&`{+wLk2ifX%xCycqXv*>K_}?APuD)6HWzta`?MtPgSQ$dY98^F?&j;0ktD z=sz47{Q|q?=?>+wo64cqorr8Kgy)^q;KBK&4-KxcFZWp{b3-I!d-hgXZgnNjMIz|2 z@NPrMeWElI;5ZNvjg)O8e(5-gwcpf62yBTnb!|My2zOCd5Ok@sVhn-gY)z7XzL2L& z$li6{853l|*e1L<&Ef0T!oA-Wyl^is2o!-Trsnytnjh_xBri*XDr*-JRk_i#b+cP> zg*{}q*6i)3eB2S3Mhs$`w4|e;1T0($N;mr#enyL2IHU-yZQRdeNsoUr70K=PuriEr z!GOTOLxc=o^7=1iWA|{9G}97vGOi2G(IusQRc!2E!50nf6VPx!2d({R4-|}A`2h=i ziWYdLO=q2?9GuGCmGe@n)+d5ruJtY8Yb&voRB^0Cww9WEL$+QycG0V%((7$wN!)1V7HX((^$ z{b?Rcm;d+k6?c8|%fzd9hjmeRjz3d?m=fFy$KippPceAKT7r)&&^KMUj=9vIDNIuk z^<^-Coz)J0qD#itT4F~fh%0KKIZhaq85Oou7s=g)F4URgjOoo#qsk1XXZDfmFO-p( z7Ln_^OK5MELdqR)ZNMB=5f+js%>A+^>l0Q*wyUdzSxJMSe!hr>lo_u#qDI)Gd`P>y z$occBb$+rxFM9}gdb830sukKV0v`rTTKAI?M_d08zei@8-tWIby6H5tfE7Qz-|F|% zr?Tbi+D6Vi?L*_zVa?U6AH3p8xV$JjD6|C%XX}AKG^j-oP8^V#z6GMKG6j_>`6q$Z z#m7n{LsNzn4F1M%G5=gR$=`mOTme*{ho_n-SDyG?y%6;C%Nwp$lF)FvM$OSI$iH>HMTu^$hJKs!@csj zV^%DvE@X zy~KYaTHCQThW*c9IO^@bc%Q_2E+I8?`3%ZMpmHS=QZphYGYuCU_AC<@oySwG*w2rZ zkxR>-8BCz4DUT)w)OU8V#e8$Ksm=HYcaUCqAxv%Rx4qfWhx4Qmv4|ZlqdTQpuB5H~ z7ZHlW}vURNoTQNyzEl0I3qxE)B87A~k$UabwJ@_O?13#08Ta@{ zZ<30^TY)1G5NOZY)@b&-UU}ugS6@#J!<3m2g}bP+t3iW8Wy%eDm*0dQh# zMNoTZ>Z5CV*9N<)0Y ztHYd4mPdYqM~ox{a|Wkq74M3a&R?4CQwX+!NsezNH|%(DwU(>?%$u6+J6TpoS_Bpv zd^^^@z(vS(aqsV=!AsI;^6zF(yb?H2(=Nv5{#uP43gWl<52ayeqN`P|5_FSZzMY$* z8Bfqb#YLQhA)H+3&Lja@Cap``VzdpVm<aPyrr>_7e)4zuTE6jChV(YZ$V?JP>CyO05v17rT@^?$Y~j24Z)qkTVJG^ z`GQ|a9A-@kbs(G>!cLX-NWC88;n3lpzXF6?f5b1udRur$ydqeLMQx;0-B-UKZ;kC} z7iSH`FT5L!wn~PdUUQE_(PljE0{7#r1@|x)`?zE0jHncOVwYB8=P(Lu@VV_%NW9Qf zEzZGvsbq6TI&SUNmLmUs+~5D2$p35d%!@Ei*AKDw_-XySV=a}#D7^!{@YDqp@@!_r zfBdWuk*>eCa3Wb)wNwGK^#};+wD6QMtV66K($^xc1=_TqcosA-8)+>IWqy6~ZKP%l_B0t(JHrd{{)!J^2 z1+^1u_%Y|eSS3T{Ysl1~k(^I}k zbCpIZnnfta_cC7w1S?t)UhcKOe(gQ%68h^SuWe5TmW6$fj_#Km`Gu>&HrW8b^DV^o zn!w}58E6^+u&a@h{P4LKc}qX!LtpKv#hLi1n~nRgqf==8bff(#q1vf3Cmo-uZ=_v4 z1jpfa$BuZ+s`z?j_G)SNoP1*R>h1MH;vPI{SQv|T_sM|xkZ$ytbbPWXL{_kuv;*RaVQ}x%L4?y_Z`CX$ z1~L<>eCX>lUnBVe@R=2okk*mEE{3@hPMkhIV>pBPMO}OvDIwsS_5#0OhRo! zUSdKnf3G;KQ4?K0SZSE&ahL+;j29BYXino6&O;q`R(Bl_H$~0Im;Q+H^UmE_3daq9$&f3ckz0piPtcA z$ox3@Nz}$Gx%SFK=D6l~p;+erM~xzc1`6$u4hvf|&x|n>gNr8M-S9wsnS{G#takGH73U^FDA+~zjgtcHI zo3*mXJYvkfAcMXj}#`%H9YFhEeZK;oSgWM1xQ8-ou1sM~? z-qOlIwGl`7>DD!brcaz~i4e@)6>JRrLq1Z~fR6_k>aEs4L8h6ej{CtrQh;rEVi>~B z7nZ5QYZ@pTqoGo+c<{7S35veIFT-WzfkTxi6kbn9)W(aP;ixelJZ@$`qVS~#3Jb>n z(`(6==j8tZi$HY0m*^w@l`%{*FMKeDc@+#xWBK{Dwt->ZMi};M%oly>7{)wgvTo$D z1j9OKRy-Gz`J$8LiYTC)nJelYR4^=!>j#HceAb!7V&0l}kk8Iyt0U%AyvuCV})t|LNs53IqpnoA z1d0c7>8u;W(|r_tFkVm+=K5#_E)5P<9y^G?9G-oQg)bdgV>7WjSVj3(^>Ahp47)z^i;nnTdT}Z<+>dGywBO5S9%lBEiCEHZ1%Cee*V<$m&1hbf-l)K z>%Gwi#^}C|jEA-FsL#O~uy6)%dm&QpTcRSjS9)RMOKSeWIgZ|jvuSH>H@{-Lsr-@2!&|c5{B^dQzq8$5g6(EA zx>5YK&URyE+l}e{h^0BTPqp3HMz$N<*>2C=c2o0^!^hcfQLXJ3CAmu)ZrOH=*4u8; z&USn5wwuRn`FPvSuG((4nvLw0_HsVmcC**oZofjF>6f?Nr0qT4cJnH>o0ru7KD zl}S8xLqEWcFNa^g+{{8I+M}F74u=sl^645e){F@po6|BrM_ROuN=ZR;NCIE5n$=j zk*dR_Q9;+bb64OqkK9*fp3J_FyK=#nA;bDg$r61#InAG83;Gsl?5cOgUyc4MjLe?5 z?aI11N#*z|ES$6dxUnk~AN+f?8UM}sZ`nB~qk8>nUiV~_*>rxF5U}Zdf;OE4mIH@eM*YK7j&McK{x;{D`G(Lf_C?O!>?sUfDk&NC&_<#ak*CLhMcXN_`i% z9K}Y9)If@cq{8c_{e>^w4t7vRd7%qf>w# zInw-L?gv@JA6X493z_u0Aw|AI-!Z-ZlkBl7P5czL+;49PNN-fd?t&pf>}h-D(Xg5#aHJj+p)#jLg@vYd9*RMN>zNznWMhI zxIOveu;F4<&Wk7Cr+7=1N!m2$tvSZko*d75Cj6zI zvw^?NV^89*Kb@DRV@?<8`(3@KlRDu4P3K}P(^?*SB`6o8oIlc@w{`rH)Muzz0#iF3 z{ltfJz=y-@uh{vqQSQ1#)4wD(mD0A}cQcNyiAfb~w7Ph~H9s6rE@NPkQHKxCWJ3%g zyUuT~Moqb$>@#inOcrZbj#)QKW9=xzDz_7Xmz3>hHTQYe;E%EIL!A%E^7j5}srG#o zuG6~s!#&MqyByn0>2|MYgu!_}qq>(Z`5sl;n z{!p}0wSa&j!7RVdI=!XOHSK1wz_e|n@2zp4&`a^T$~;oSAN`zL(OsL?T|L&`LF`fX z4kgKa=oACe+2cM7J+>kLkP82h$MFv_>#6*Z)$kA5@y{>EKS70m0>wYJuBYFWe}eV= zQ`;xDl-S_Ku3Rhyyx!tSw{$x0zd%cY7 ztcy8kylBh@WGtlVT&?8ZR`hbc7~j3bBc=KBMf>`xapz6yGXD%8%x8Y3w`P?O7FB() zbS|KAosE64yg!Swst*?Je6VNlgE4@PntJk8HjrJhfo!z{BfHL~HjusE2dnM3+Sx$Q z$_8RgZj}4VZ6L2~19{2p54lv`RNbfp-}TsdJi=`w2N0i8y;db0LzDJ9+KH^c#74|o*%Kbb!X{0s>cxR z>h#}21}f)4Lh5hYYpD8{b?r0-yBfI&)S++aGnwVhYUXmiFnuOh@sV)lpHhD>|BU+k z20ro@s!tub$Y1N@(nkTXL+FR!Lpnd%G+AqHMu*@el z{+ZmOUG%5+A2QuD#%SiBVQ--Bn@1ejz_w2DBa2zfM5hHRX6+LiKBSKWzdx&^PVb=> zi(Y=r`Y*vEvVANHx}g_@-N4gW~(`ot~w2P2e(f8nUvXC>%Htjz| z-U7n8ftc$UQPzKmF(UmP4f7RVj6J03)1C*l7$nq_y7QA@D>l*A{IDSEh5^nl!m;m( zAEw?Hf%gUW55DIp4A3bwaUZD#%gDY={H3c49=HFf774qxw3R%r7QA|TYR?MLku?csDzEx|tcbmpDvY1VV&aQ`cvk-*&lTH=}SrC#{#9?G*C^|7Y; zpD!g>fpc0?TGhq~EvGQY4Bz*w`5>`lpVRCF+GRNB7<527=h#X1i7v)GU;)`+9w

    iL1;EW84b2mcdC03lJSN~bWD&TIm@r;fYJ7Jxz z?Sy>}zSvnid7k!Q+|W-Se;hbs{M=@Bz{|81zY*Kyw=9;(x>3aKhw+<^2RXI-VWn4` zKkF3cxZ}|6&1aV-bG4;WryoVk&ga0Gy8~+He7wLpX3ewQod?cx|EqH$4Chq=_t`9) zS7q!G00mm@y)VxXrP=&Y^2ITCxT=}%j=2Mha(^*{D|+S*D979ZMQ{hy1F_AV6B1!9 zNn{uBZB?)SOU1axT`A7yyHcJ*T?z6X3%bO!^~{-UN00A$;RZW;8nBO4u#Y5jM7+{| z#iwH**$Df{4*OmZ_Js?dZLt5Y7W;x)>DX_W2uOpTEPt7leHh`&{JXu#eSZAHy19$%x!O_OZ3tS6lzN!@g&W zeN0O7c%fdcygWY+x*k{*bpPgWzN4JlC_FC+F@-EsP z_Wj>tAC;TzrES1IuY!H)URp`FjeXum*jHPty2HL_ihUG&dC23iFRaDB5V4OkpKKrd z!nN2J?y&D=VIQG&bIvxyzGEuWnbY|W_;g;wpTd85?X;#SvRRbPG}l;=)LHNH9WM$0 z2+p~x&Ue@}-=X()n0u3H(oaN!ZR=lik8PSCO!nA1+udWk!@%uhpoewTSS9Ht7-;Ua zwS8H$S7;>$mUh|_)u+|D9NdzD+PUYWjb`9q;WNQNh{cG{(z+Nh71!6wb(~~hE!MK6 zH3eoZi@qPx`gHZAz1`2Hre*a$TjwGBY}fYSZdca^sB42%*T&*{=)`BD!}sEaO~B94 z?|a2g8C?eze>uE%(!IDBaqCF$JiCPVGw9q3%F_LgHf!WHmhxKRyVuoa;*MJ<-*Id1 zfk<}TI@{WD`y6y__Kwn|xE&DeeKPP=?K~=d#>0B5 zXhu*wZ@lvevG0D~QTy0%m$$i(P2ba}&aYGNqIa=2?LXSauG}r{^~2|}=93$DI!J1> z;O;*3dHWAJJCbclA2{=Zpq?h_%nP+IP1R(9veflcU%&nQ#%I0d-P`^*|L0e6cNax5 zpTlDn_qnBeaB!yFo!;q|>_wU-dyyE!y1$;@`8d=4E+JD`dfji_L&+qIIPyQ}S+D^< zUr9j`XP4%ECVX*+@4lD(UD<=5lf6Q&b1g2%qp34&kNJE4rVs!3?)ZN1&HmlH{yTn` z)v5(u-v`RTpFwv&kaFC;rUPN@j7s*+b#dO^Dmq2|et!j>=q7YZcMajOq_-nE)^DU? z^Da+C!_PoNy-!i?IHt!7vIK7-?Kf%hliRzr#=6y0GMw2ppXW&*jpKsKyS$zbozeI` zZ@+ne1eEOa{r7$T=B~&0-}Lz1{=0Wa?|ARQ^`_r`ew{6Q{Oz~?-B0n=mp^_GKAOij zO@0a1I^y2IyJVkIhLu1UK)N8?1vu~J{S9hQoXj!p^r8JGl#-?CZDQTfXf7 zy!-a`hcABcAFt;(@rY|ZJURRba3Ln=?nunuUj5;{{Z5h3tT@?ONI@ngWnJ3~3BLc2 zz0-*pIn&X^J=grFkHz5P(zIt8{-8>4dmiX*&*ntUvX3paA>+aAVgU9djfkpawuzG2 zAy9NmlX#AeyhI<(OGo|v_xtV` zD!}f#(|tDB52pBu0a8X`sPLp$kL3~4g@uYPsqcW8HNaN}{F>0#x3lZyw8>_GEJdm- z_Few$c7W}~pg&~*DrLW`9OB+mg){J6EoXWW->G?Doy9qy`S4BJN9-juvd+QfRs0i0xjq7WD)xl3 zSF-)6EHd=oQ4>z9Ya{NM_raZMVk_8B(qsL1`ygHLGnKJ-Y24CN2&AG$$Q%3A>c${r zBiv~o*v2mE5+B_K!CirmK7KjOa9G<0$VVBC{M=rOdq`JH(KW(;$W%x54b0VhW4#&i z3>)kSeIBII=;Oqh2#~DN`CERq%xSdBp89+;aYy|6(gAHYo{so%-0p)O1LLV+i%MlT zMGOEKX@arbJT66|P{$a`I{n9wAFoTcXU1E@o6A^!x&Lx_{3Y{ylHJRwyYJ8z%6h=m zYz<=aOfTz+TM#~DfaaQCG#VN+ z3Z$X7C1ZTv)J`Xq5tEMatU)|E6YfXw?@$FGkFgo0s@F2<;qH%5uW4)xm48TZWJXX& z820Fy3kK&vd~_Esp9R$O%kn$vT%)fmKtaTDM_9y3=xRQ1gAO=qvb)~2-&gDiu-ulGVKUlVDBSPRAz+TA+tGV_TqO`KG55g?}260FET3k#~{}AK-D83#5LV^NTJ_FLaC8o+3h>7S}!~Fbf z(U|~Bh!H{iW$7U!qFq&^dm8QCqc+mK{~mCnKzG(9A^HFwm?`^|X&-=Ie>oh_Nx_B) zC}3%WzxBiOeiZzz^7$ak^*(Dtk9wcg;AM=bq79PjBSOIjHuRCw)kwS2J!?OI6|dG~ zK!3jnc)F2}F>+KDy1ahpA`O!q7z zhJrme5#zm>Th78qox`Mujryj}(fOdkIQ5-E_F!J>w-fm_Z8?HX#X%6^hGgj#?HO0Z z!%HiPg@e2(o!msPC@Rp4ARoobmbET#2c1Dn(A1_W=-#qTqh=gPlsSWs(1sH(E&2jp z?uTnzFX6YsJug!~_%VEx#WqZONn|Iq|00`6TBVn^P0@Dpjqk3}FRx4Zhk7`(rBPm1 z*u$CpO-^(Fi_0j%tEfo3I(xZJ2JwAqdmMF-;xq6ow!vpB^Mf>Kmct*-a;UHIwj-`C zmi4|>rZ;=1mgQEkS>wJ_gZsY0gNXaaIiKtDjY~7%Xz&&hS)I^v2h zRoyC}L!^D?+Su383K|{({g95}K$$Z5j_)7!3_b*d(+dKGbFUi#9~ShL@@I%=+hFE` z4_A>v=_PUJ_=cN$M)6%)Pf36hcxEOkd}Tzul=lkfQ7TZM(EyxMPVpdsR2k& z(6s|FKmZclZqcSxv;_$ZBw0n1E#V7dp*Hr%S13P1wT(~PM^QI~*5>f+8YX@iLZ{G#n(_b?uITyc&x z>fQ%fPe6PYHO2Bm_%G8*Y0g!}g)#OyJs0B%AhkRfSzbzX&JnlO%nu2et>bqY-zITC z#Y^$O21B%U)SOS-Z`9f5Ip>ozv57k zx3aq`)?0|{0v!n;s`7`*bnN@W<9)9{M_nU#^X2gJ%P_t_mS55wM>5x|HSZ|9N7oT% z`FGEgi2Z2yq;s>x!Uwv6<>Pfd%4HU71OH7-jT}}aqx^i+r`O+!F-3yDMVP#!hx!(% z6Z-G=dApbUS^@f{a77z5Zyi1Ld@s_$d(3B})#h|w7xk}E&#Gskk-MZGLtWE{YnJLf zdQI`gxS!M^n8%PGeQMX`*^4vXmic`bX!d}7C{y`RoXHHnjA5hbdA5Ng?!gEfOxwy${WeqMwF5RpWo4dh7zIE9|d(-tIk7xNpodujEW+P^^bBW}^!d+#e%9 z$^KF#Hg3e~XvLin{jj7SFs&!j^QzGYQgakS&-6dcnaAZ=!RO)}ZIa+z`p%ncIht(@ z{zlowS*?rCi@o7WR}^9+3^1lk0@e+fZ_-uc6~-L}^;C=&?NWt%ssCEWJrL%Jr#*f9Dx@KR+!Gwn%CvRLpv`*AK8&Z+)$Y7x|&CPwP0U25tJe zoJ&}$T!M}H2BgUbbuhI#J`BADUD6FaaQT4(XWcl9htWlVzMAcms^4VjM9h1R<2_Yx ze0P1C#GWh+KgAt&uWUxJ#wqfXzO$4*ae+P|Wi;uH^c8(f{iyAQv(xmYcg6~88 zP3t{W{%F5oa|Hy@CV;O6Db8&KBVP$}YQw!|a>@vy37_~CKJmOt?OpA4umNq)(^E_}+S1QO}PKSdaA@{ zH0r66d}Rpk>OO!W*4SdcMEi;Z@vm48?~f_gpHUneX?wMJEfc)1^Yw1Txtb5DYiH0e zGVKJ4&!KAXdZsT)wU1~fQTcdKJpQ@C7sdO-8scaP?-1nD zv&q)t8=KNs*=OM6c^wdCm$=?utIM zx+eIaXldFgSY}PF&Y`Qk6KnS9N1`9c~ue|}Q;`F<=FO%wYC z>|%7D65ROQ%qwV{`O?E2R4UWoPJVt>egu4f+M6ns-pOmd0wuF7!oCA9tK-gd=ZW0h zIAXFm<3QEMdIgV4*^qzFuW@mf#4EKNCHs&Pelzl<**Vm}PP0zNz)qrlhfrv8tQ(f$Jfgz_8j&i)MF%?yn#xm)zjPB0==y&y{&chwpP;HT0?JZ z1A1G30llMCC)wZ(S89}Ko>Rs=#F{vt$A1*#Q%Su=9-E_6<$LJ7o=oUq);aXDoS&K( z9m-NaNPWJozQ&~Ry-$ks@lu_HZ%5rO#rhIIl73!aV%FJXod;N$S^Z2!H%aD~Y|Jz+ zqVic$ZGCK>-&OKIKUcZ6BwwkI^^5g89_q$4wo+5SqjSEWD>W+1yhh~-yr*IVI$mJL zUVwxE=H0G`iAZn1tLvF*ko0g#oXOGD!xi~{nxj;8)EL*o9B+&{UaLOG8~09ik685> z=6J0n$4iqs?z*0sY$q9AEPaeuJm* za4WIiN{uCvzTZ?|5J*zQ#H>~)_~OQw!!KX%<#CFWK$DKDUC^;)q;DE8PVJ<8p9IDY zL=1kd>wa_xF7m90byzx9oY1(F#ykDOxkuWM#zN9*9#^0&G~&?-Mt07u80!(z`#`yd zhm{Hg9+^9PqvKk9zyIc4uaJ-QFdylud}O5Zk!G%0;UPdY=Dy2#NYM|Xi62zXW4CCa z{2!eskCHv)$qru@k#Zj0t~=f@=Gj9k@?3oSnVS9zb>El=R(P1+`vdW?5=RX%_z=OX z?grSWX~yU)d1FdwsPouWOr)|@A63bPU-+tqOy#tFqh3Sr&z>jPh&qI69in&If(|iu zFnc<#ulKhfmGk9heS^Nfiffhf<;!Be{82V~+Qak4%;74!+KR3=(lwUdLcf~kKX~1& zrq79LKiuq@z*>uhhDi<@b*-9V5Avus2m7I}^;G8oUJ^~msLOmFX7(l3dx-Tr@L8ne zU4{EJ>6m@ybMZ62!IStrPmyKBRMW-*H3HToz8%@!kNnK0G_bEy_fPpk-EUnkPGXOp zEcV!Gd{)>Qh^+pfxJk{{*=cO{@2mRb9NT)aQEOQ`mejoC?1jZ%IY(#Ig_gb(>uNE^ zr0tJ~=1j2Ou~7eFvmjty+h)pNj74Xd#XNOvQ}RW(oKO0J`J^9y%7@iDf2>`{IO0fj zEO&A~(DCPF?ohw-9R;0ixs~Hh@j|pijOXzI+5;a9U$8-f^m!_EahSK$vEFJdIkQ1J zIG@DK`PDs>>hF#%ou^@78M3rzybw<>Jzup}Z>ehaqR{t>@!}d>!MfG5eG&S&@&%sX z4sDE`<2w;fu6OSRMg9criIUi3SgrT<{iNQv!;?8EI{ph-sAGFp2RpBe8=pzwgO40YT7pj+pMRrt) zmHQYg_mfz8!}+SV1KwL_GP2HF?m*d{rftcv?v8m$PeEMB8)Whf*obpK%6a4^_!9v` zdp?RDLj$kN2&M;^4VJ&Afsx-AlB(D)Q~j5q32J=2f-IZ%8uAUsead`4Px`Wnu00^k z(|*c|cVEaUEX|z4Bc2D zj@lS+Nif*7M*@{0C+g3A->$VsY|K%|SwE7Q3#!Dqq-^x*3fHld_)A8^ppJ$;lZJko zMtzfpY6gB{H{$7vM~(MqSKr^~^8Fz1ruD;*_TMVCk6Y(qa7;GjVXwr)bQKSiwLBcH z;bFO+hrOrqF!q$b0Vdu?orlsb5!SgTc`XxPeIswU?m8_Isk*kDP8l8aOw?XsX0Mm< zsh-)}H+uEp9fi4{n9Q>@=eefyE=$Kh7ivf%&By7pJ&BLs6!J7kTY>>`pLGj0U1m?4 z*$cuJs!m1K6Kqm{-f$gpVXI!G;;tWqhP@&U{VI+6h20#p(#&piUO3LGFTKCdr5+c| znS%|;Y+c*&SS-dk&!5G^Qt>d|kcYhz57X5=Ojh!6w1$V}Iv)16z{6N0))>d|R2&1$ z&m@jv#;(9uRr)PLj3hNZQK^1&c`=UF5@8{ZX3&sD(k{;;$!Mr-a62l@u+e!Lq!EzV zHu7YuPsNNi!3826!>GpKmRSt$ub^StV^gM~FB@nm1=V%?mQl0W7>kr^Vv&B`@uU1W zt2_R=(laEN#h#%@=e^513yIhb@CA8(;{O(B7y*8&+8yjKs^Vu>Q&;IsD4{H!iEZsKG$ zHcm=1%`%xLkyn-JXeAwOBX;TOnO^$cd3C&A8lUSIcfwcnCtK9kB*ZOhh-GTjsT zGRSRBT_>UQP8vJ0N3$L~>+39xK2P1Fk?$yO;7!xVOzG7(=q0Q4Ix=!-qCqiOTe}GkV&Jp5@tK_zrbWtI4OwKIc-dO4~!U;>{Skn}MF&vhKDMY_yXcQfY3V`MnjNM$+6KH~WRP{X=yeSgy>?R&%d? z<6Kfa_o#TTy#f8mQ|b5E+_uKRhTOK~enW0MDCM?;mGoQ5e{6Mb8}KhG^JlrYJLwT$ z<~`z%ozGYk8}h)F$G#8nVrpZ^s#Zq#MUn*#oBJYPzb^Jg5)~H;R9~d3FH$|C7ewW; zvw!QJ(Yz?0EPu~kcY!na zbbV3NoEfYi(>e1CH?O-@b?=zCz@D+R7s1NsEl1r*dL+T~KK6l`Ia~7{yd@SqNKbmz z8oCW<%J|(NB0l^H_E(hKU*ym$%>a!kqfNDj9{g9GW98|Zwsd}6T%I4N?mLM?#pe7t z^H}-$3)fBZw)uT+(tF+m+sT~eO5x$VNCBU!_?({G!*2%*_*LVqCEri-^K;Nox(99D z458XS^RySU^qg5g-D1F(=6!7Xj3CQK->z-@U80IB_I;*&={T8>#-jzbu``bVgq7u% z2twv0iAU%;)fa=jo>|WTM}sr1uhliibDSwcsKgq)0Q1Q;a*B1NG13in0Euip7rUwh zYGf7lWnm_-&$`KEBs;*ki=MM!_S>RginD&Ag{t*ev@bui2i!dOioS%=-<-_*A;-#> zkOdv8dOAEACht0}cU5`RtRB=0W!+4Lw0C!D_U=B4mrJ#sD`sDExriEThs`-zP4g^4 zcPl$=dL}wJz{zs)nzOtfvv+zn#8GkpS6o<&?rfDzliGTpbQV;E+Or||ywSX7?1$z$7}mj z)cs+fyV=jQO~+{HcjSG;Qa7HUoO_%;C2eu^#Sw>b;0KR2#VHuYC~4$ zh`+wOYnrVPHt2H?L;I;d&-9A!HSyFO0I6$%&AgGC>6)H<)KJIcN#9HSbaQUQGN0S< zlwJv-otme}_mA!hwQF8LZSXT$QoEMVkum0uCbdgG&XB6qE@9ys_x(b>D$X`Cc0ZW2 zo0M&Uc6|VhoX$)r?;DBWma>^xh^JJ~^CUL9#-7>tsC!YcnT}DfkgLdMrY`dx0*|Dj zl1HH3`~5f7ni~R!huU>RY;)I*n%ODAi}%tPOj*}5%2?CPc72U-?5MpFbu%b2m#X(h zG|ZGxxq3aXt(5bgnK|#H74t1r|96ttHFw)C&1ZWI*5Mo|PMkQ9Kfh0ORh!JYti&tvy1+fIK8sL4ql%A z{F>cEET(&?!+hLJkv^;1Ies7upMhsRkn;Zc!1&%|7qvQ

    {L-gQCsdlrux0CVr$t1pMTYUEQY9_DvY{tLR+3TzS?u)M!|NY-z zU-xNSB)iby3*xVnn>}kj;G_1rGZ4Q|V`s>5f7|r;fy2QA8aeUUy5-I|9^K*&xY>wb z(b-on+nzj;YA3L9{q|CSrvKlszv7;#W&29)#!T)-wvi|GuHeDsceP8$6_*ooYw;Gz z=D~St7eVByIcItXA>xGRM@+>O2<1QfeS)?T{`C3+O(ThU4)~+8KSjs2_NK9*QuUlm z%_C~B+YIb7z&;u#z0la(oW=0c*u9PUG@^1HGPTdu&pU(PYOh7B@j&BRG`*Jk3ICqO zSEuIvroGp=kPc+oR&^=;ugjmOrVNuk)u-+=wb#cZm?w}I2ia$ECpJDuu*BuZ$)01l z&Vg}nf>`Prj0S|6^HERk%5u@md5ro_p8oII)oa@SD)8Sa|0>>vb0;_P zZk_Y?=yyj9h5$Jup36*ZIjGo`yqw%7ase_3nzjv0pX@-?*Kfo1PnHGQp{DG} zqI@0xZcPR_iywS@+~Y`2ILYI0o(#a)mHS277k0zsuAWMxMbYVN$F5cbWL{>CZcZ-)gQ!jcfVU zYti&t$3uE3wYf?N=V^%c1|%4CZsa#6Vktz3hauR#mf0B`ZC zd=B76SuSKjE^s#~&2>(qAKdZe_IqqiZunJO;kV*xtw+FS%=kCO^f~IE`PaPx=%8~k za(;IP)8RQ-9$ID`ZpF8wv67ee_*Q)n%3vF;Twq77EA;i-?W<)`c4#g;sN+oenjBic zj|O54ewW&5N(j&ARbi+eD~@Egi%Y#rAA2G&x8Q|A#eRY#8{1Dz*-sU_NyV=N#Nu9t z#bzz05Av&czn|J(N9oTy+ITH$Tq~-*7EP~}`~=)A=n8*No#RKgkGewD z1o!%B{ym`yrpF*DdpBR_d|O*=~#?W|OGXeK*KzGN<6 z7TmA+eK2yymcZTV93&;LDFY9&i0?DNZQd*AbLhF=KAH0zVT^3m{TKef4(q=3v; zd|ZvaBHok7_cq8v)o1Xq&O@sH9BKFrS$-5;Z~Wek{14+=w60D-U#rglDCr87-7Kgw(xg$@SHWjNd5I#jLS6{UO9bcdyu5IEp^OrotId4xDe}Ua@4U!wmCIUS71k zNalswM{Sgs$y#}_o8%=5X{h33+7@JANo)jY}kh&(30 zuK6_08)p7^nSVp9k)f<03+9ZL{CItww=6qmjy>;J&U)hX@uv7yK?Y_e8PGWq^VyOd z<1&(rWI4t()|<-%JzkI{^MHzlJiETmy|74 zROitilo!#x`e-u+M!YxuJ=#nW>Y!4t-Ad~0jlOw(yxwNg_c;rLh^o4iWEKJ~^zi#e zT}sl!Ut5<_m4#VB7Ie(lcs6xyd+B5Um8wV3F<(O-0+o|zL0Ha@=psf?g=+-!r{n8+k?PH|7<~I!k)gH)^^n zvtF{}4YevIS(ur!Q0K#Vy8aO)JFQVD`!E*H5m54A({f!`Zo7G^Ud7fmk~?4KdDp9~ z@?}Ebiyo7QFZnWIlP^QjPhb^aCN%0y(&HQXGKE}x-K?(&dzd$q85IKye2=N;Pvo{D zGjvbQ7Fn8fD(e}Yk0&f>)H5=TeHowG*=8@-HVY-d9|>vn6x$5*(oOzMDJO5GvzTc) zkG`qgM5G!6AhkLEwQ{5K@*d_HqiSBh@HwLDSeWWhPx6svpGM7>V@nW;pfL`hu48^? zr*B@az5xTtdrWS=96M_TjW(Lv0a&)tj%ikRulDL~>erO>^VwWOKePFgor4?10#bSN zZIBx5bVm8Di=X*ApD1xoTByFiY^UiQy&thgo#R(Ov(q^*ROc|h0GP&{7>LRfbPijg zb4q!7t#ep8x4x;)@dCf1bE4Y3{{}iI$<z}(F`N5F;b6>?L`*K4`4%Q^Nsxk-NZ(x2;NV@Vy! zEW?7jc(SyrIe2}HTI#-*CQZt5WIX@=fYKBDcoz$Max9F=li*RYu*sU-J5jybb@AcG z+`^?Si(GG1DFSJV?H)u@B4a<)4|o{Fp;2%!kkSG0HSfRk53{ zu1>`TOYmLKE=ciR`Qp+i)QtHW*H_PS08))L1!xZ$=j$GKi3>eX~~H$R>SPB|cZOL}tFa$F%pbPG(ddJ@N_Bajvq=&?J84dtO-ZC5p16a{3+% zce3-B%gzRQl2x)3EPUJ@r(!qTk)5DXc67Y9C_6#5cWN`)QL*BZ?D*wa@)2OW#<2H2nPnGcF|yMGnZ+nn4NG{upg41d_=vZ%w-_!Yci03j2Yiq>P*qi46 zwepbGz4#GYP^bgEB%kPf%|C~+>!s-bq+iuNADH*7^X~*i#mv8(a)9Skae#Y>ce%qS z<_?+49R`of9Zs5ahl`klHGzF0s>ZfdU$6LD?YA!1*QYx_gZRr~fv@gCksyDkxQ_L~ z+3`h)vwoyB;Vfs=!?A;WuCz*4@7v3< z-Q0n^svg+X6KS4gX5vVl&hhusT3?B?Cd2ez3pz*7MvWNr3N`cv-QyoGq9tRx|8b{# z{xaR;Z{l05(mfuNLEU_=N9!Ketb4LL-Ur5n8*~rBd#>o7$f#{3(T2LmPwG-q9BI@& zNqv@wv16kq*wj5NnYUtxO}Z!2eU3KW>7JLbd#Ln%70=m*?y*w=5A$4=%9d9qpec&fJ1>&l((dFi@G zGQ#R+cRgD7gw47qtLJ@ST)08^sJL%g_n324v+LE&r6|kAtRxp{U4129rsk%SJYH6n zpD>v}dX(Y??LpPi2mF^r$f3DXjG^q{4P3py2UFQ9QZpGhW zY_}o@dS)sKi?g~)=O0k`VC#6NKc1{VK=(gJf3P+BBf?rQDm`HEa^4WK084C`|mO`q)<$7EtNd6EU)(6+-Sn<=;w3fJdf)n3LzO)&9Q?^lG^ zJ}~Z4&!oFQP%-U;InB=1^}xQ|)inO~`SA_vfiYqk5L~lN)7cLhZ}}wh^h~Oa<_qb4 zz6Ouzn6KvjbRHw^Ms~7`_OzEBGxuGoJ#HKFzOnNyIY!J_mHwW(ekl0nQk*x!dkei} z-+R&nl0T2$b!E^c=^;$7qhq|31zxcaB)Nw26NuV{MRzf(my!PlYZRm-@Vk^GJy7Yp zRg7bL55UKZbp~1MkKpVkj>Jvi~Y5^{P;;9RX&evH=pi#&!M=sz1Pam=h12TPHZQZ%n9-fai;7VQ8s&PH;e9t^q!;M2vCP$jCL-&8O}$( z$8^8lhBF(~{%g&1g`HL<%rESI%P`+wJA+Q!@rEAC=Gp0EW{vLZ`E$A56s0pxeNPtl zzLk6qFX^eu>0fFms`VLL`NMo%&^P;TgszyHY9ad3ZV^Y)~VY;7+)anmv zC3CkT#&-KdU$}i0V$YQQ;C{n`eWhz|Yy6L_$I$RUvU=OqyWVPK1U_4m5wb~tp(!Kj z%(xlx!>CkCQn0ab=M?q@O3%)6=B5t5_C8b>#1K$;;Ly6!UfAgL??ex`Z|965Tgg&?T%g>unQVqUX?=x+L19 zXRwCk`!`OI0O&+8b5UB`lF6tk`YRC3;8IZfEFA*CmqLy2jxNx}@G`&*#A9 zy2RdWpIb$j;u%t!Y$Q$n0X8@xuz-aWq;hL^9UWX;SvBWv@+Ccm(mO~Xg0&%og+CSp- zis<^+^8GDwuc5Tdb8)S6(J|^(glHW|Rkv3@ANe`u!yPX_g_px*KH;Cy=jV4L+U-W5 zfT`Wxm)Br9oAYe-yS@iQ_=XCF<@9;O zwB`%KXShVu+;wZx1@qtL{gh`?!!C_OL930Cm@$f zH?5P)|Ht0dwI&Wk(P#53is{3amQZ0xV?@|BLHD5vI5K@GEQ4b-GLo#Awk-boy)$tp zlNc-AF7(B>K;k$b_sltWKJHCGfy{WBTSVEK&g(|pTI^jDi)NgKb6iwuwU5Q+J;KMd zh7T2=y4DtOz%pw;m-!G4%)=Ye8$G~cru$8uJ#T)8Xz#L@{zb7E(r$b%7K5oz+G8;Q zcPigoaE+Po&4jBsnI0hhua+tYbfWZBbNlLTK7N zU-7Kco)ssACcj8d=r!u8+IO+#&)ae;Z8`pjb0Io5^?T<+a!~cTxX=44;kQ<9+8`$)lvA}aD(&_E2jJS!66_Og_g5cB?q52FH6{q7I+%dQxg`_>Lx z825;z=3*gr6gL>_P}=rY9^He#qV$o*lIDuAoh!_ERwBl{Lz!5sA~5gkwW?C5mGyND zuBxvPzA`YZ^=CI%xJaU=%fU+Xa`O z#ASfHAjr^%af!MCS6cA@;gUFQ@vq_$O`GwrPT^9-zJhObK2hy&B>kG^YQv(j-gS

    fK-2*IUX1_H#OnrA*Px!L6 zu{cgo`z`F?x8yz;pq~(c3IV^R@FsS_Xv`KK#e60A^@xkW3F-vw>2U+Kn|N_S8D-vL z0_dh*5qpf$Vu2@x?NJsp56ZshSpW9Uc$WPs)>{W$=FgadCX;oJ>}$%RaS?1HF;=nt z6JK{C8sLDT_BJ5k6p{}(vA(mz8O_v5((;U^h!1J2dZu_K_e(fIZh$+%hCMz_aP_|J zdB8jivwOK74gbWkd#9f*cknx7c+cm%zOb_$P^Q%{>l{UNykSKI6P#|B=sP)gAJ17R z!B8N{iSQ%+73X`r9nG&`RF}W-^!4U#gu$tze71ZZ0-DRcEt30r0;A@_11Gn>IVK#h z{4K}(_2_E8#mnplzfND?@Ot)OJ+Fr^57xl4{sI61|Nr80P~ZXp009600|0v%*>qBt F0ssgfzw!V8 diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m_srm/fileinfo.srm b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m_srm/fileinfo.srm deleted file mode 100644 index 0c85cba903e1e97281b99abf13ab5d6a12110613..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 425 zcmV;a0apGWiwFP!000001I*RSQtTiQ2H?FnQTJBqWcR8Ka0hJh1e4)`WQir##p#$Si!sA0mr@}ZY7aXz_pRC_nNQD zYAIf}YmNsWYKg$DsrkOs97&gHEOzX2tizTUvSF+=DAKHD)$Z+Cb;fF84H7G^s^Wb% z$OxXkO@mkQ5E6|ocRz>ufTEM>5}wXvyx#+q>fU!DeyqiDcNga*!yfwrr)*Douz=h-mp7QZ2 z&` z>$72k34kT;y9u-TioyMVV}2`&#Q0XJ-FS3Q!tH67%UjiZq*6X-|&mYhHGjr$OnS1BXojWt<+{+Vx`^NtYx$iq07lg#Uu*pg} z1~QJv2Fr4iBeQRwmeRXuBa}JJzylqlsVNhWqeIUMX6jtI$T?s$D_GPgg@TtXN~LQk zo1ZXpGfrI%#!j{N2W)!b+0)^`L(#s#FRm1@eKBQ@PIE_#>QHt|r;&T~tD(~7-r}r| zZ%;nTx7iRhzbN@Vxch05=ziPRl@+kBqSn5$h4)P_4rYmwi?ncJK3skqjEdZ*51zTH z+QZ)3R&0M9-TFFaDG&S#nya<&E@j~#*`r;F8^q*c>JlbJ?5)&n;`4+bQSxy@{N zLMRb`^E0)?+c}&3+ZOMRJSg8~(_L|d-^#M3iC6jIQpGE1UWQBJC(uuJ5Pgt@l+&=hkK)+HHYkMm$}bZ3gSJA%4LOQ!CY>%K{v3AVnvz$W}2piG-0UR~%d zmD&b5Dsd-63)wV@*isx4yGLNw6%%}Py>BTOBD!0K;xDom?-BP^;98825#vf`Db;$j z!}C!oH%!lCc+)vwPv8=+hbbp2aOv(u02ajiFp~TJv)8^O4uRAABw3%$1tbF|ys-RxhS!!L)umtLY$|B8= zzMwR{3bYBf@^@Gza@_f1yr=tc7#-9)3kIoZg&ZvH1iWO~;o9rxuOAfla2blQ8A?8Q@W%c z;+~(AeV}q>ygoc~#DfJ%+LG!k=$(@Hb$DKwxFueQ@S=;fipf~f>9OgnmCT_RbFV-; z5QO`>chOB{Zsw|1e(wUl%I5Lz-Rd){d(#A zP{8Pxk?6lZnTOX{HG!i8&WqFf=|qh4L^MANc)a3SsC3~E>BWRu^!JbQh<*C-sc`qL zfaLbjo2sod?kKF7Zei-mkS=cxNG(Q;5f$R?tgbBTo$0%+(nYL5YoAqfo|vk^)n8L_%jp46c9SsOH6p1CDzvLYA;fa2Tva;XNgodt(}0O zz9v_?Nnzsl>}<)oVVbnj7%#R@e-CL1dLsBiDIQpJHoLF0S#D-0M;O~9K&0bg)MxjS z^J(ui!kRAP)BVKMmG9GK)3R@XDKZKE)TJshX-aOE(e~MF%!eqyqS0o1D_)3;7af7t ztWg`ed>fo{A*)gW`~oDnH{$$ulyRtoDh;5zO^qjQP)$^9CJIMGDr|8zfwzW}P(>EiD40`Jz&mxiH^&kaS{Z1Hq17JiW_c zt;vXZiAoAsVI5djp4m5qXRIfc=7gV9 zRUPPNvnaY{OIThJCunaxxecGvUdRUbDDr@Bl?UJWxyi7w4ZcYT4iGp}Jcf$eG7g|4 z#vWRF>*KFF#UghM7}xr>_3~WaxT!U{nYwTcyOCqB?ioT?X2%aM3(T=S0n*;K0kQT#J0y_5R5*d0hX@hfZywrqEEoY9P}$S8F;~-;uyCE zHt9mg6(!bHRV+gPDB=RcOA70%oU~6kyYXGF#N;H^5GRvSzTtSa%w!4upI>)_vm?JI z3l2LnhXA8ZDI@w_87eRdTo${k3|Mcg+w0W+cl@5qR2FlwGBHD4Oh>l9&35)T4+M?D zQWYDeMJ`NNd+epFiHbJZ#(ix4-&5qZT}Jm!1j^#U*n^^7DoS#UvV6NB>=y&*tDCx3 zo{whMMoRkeBmb$ZDSFdGyFN|pFYaF7nHw{_6;6ZDS4r!NmG&Ncg27#yLGf!#P~T*? z7nS@v@ZSn80&yNcxocc=o%OqrOS8&J;~_6sDrXLsu$Lj>vt-Iz4^VfwB`*#B-C8Ty z5ki5Mr^aSToLS_hIm&+)m`HfGYbh96-k%`*5PXZGvHL5Ste9+-=7M5%FXqTAM&XWe zo+X5%81+Jb)Bl?n%NpwcJi>LMvoB<^RJtEZ`TPRQ;R1nwVdhV6)N{#0?N}o$0I!c2 z*80swLwu0RWtf5kQwSaqX%|cY+k58`7Nug{3v5<&7Q6+5%Z*wuho*1#rJM$Taw;22|U?W1+4LfgOsCK)8)N zY52cYbzzYGH6PFO$~k`b``J=lbMf>1iMV{(?)&tR#yQH*S5uWWQ*GoFAy-e_5`Zo9 zd;V%Cpq9&l*e`vn9{v;b4-+;{{FAVc50dQ{^LoT#mZ-%Z571)rxCFkgpx-Vc#6g|{ z@}r)DNR_zurSD5mlRvDi%z^EkY6>;-y@LXv8OvMXTBXLb1P` zf-A(bTaPZR%j@UL6v$Tw)(n{tvpc28L|?@qnBOw*)8`GeEGX=$A8dX9vh1}SGcMKR z!`re4KU&S6wpwf$gUhKbph!JySkkTbsj?&MbZo%@s>@xEel=2cS&MWJ6yBVH590w1 zag_SdE9Q0#KiymemKL{4e+B*sHWIYK9Xa1dQ?{&Gx5!wpKP3HViMN$mb}6=I+}Nd? zSG#kI1Qyrt_$ z5^04>I^|>W-ftP7=*F=2*0I+&lBjmW+nqu@pI6v$chT-D1wKGLZq$2JK)JVgpBdma z@zSDk<^L18R~Adk+r0WVbr1Dv1XrLz*J4lKNhP>9M=pPrh}mCmP!$5uH!5dg^NvJN z#jtT4=QZ(Q`ni^$(Yn4Bn>c%sG6B@()M2C6Pp ze~y&{OfRul@6hd#cqi7sxU9cnF)G=YHVO0+k5mv}6c%jF3V)nQ+kCM(p(wuAI2L$e zu~_u*Oj_g}&W;uMR_Nj_O*P=6=P^(0D*nr5A^&<5SS!E^&ay)p-I*FzegKjDe3eHS z_~TRJtuqKbfJkIq-8DS6*#Lhp-nvVze*O(8T(dWidQ1U7(=kpLsrr#s zB<}5=NUO)5UEht+_m?^?jd8&OTHJyt`gm+_?{Ts-1drFfwt80Ov=Mqs35dQ>hvk2p z z$`%Hb#((?4YzIH)ZAixZ9&P_0MD>3o=LS_q+dY2uF5#ZpLqfN(CX*xxN4d?!+l$SF z8k>oy>+B5iXx*x&5j0H_;G1T4Qwb0GR>L;bQ+b~-6SMXKfl77@n<5@16n1nRgq>}g z^Iu30Ph)QD<0!1ZXcO#$0_< z$IB)SPQ1bxc`Kx z0VGH8ytH{0Hi0}WmDO`*(YLSG>RuY`iH$e9~DH-zce)B zmSlGezlD@)4RT7m4M^yN*=x{A2%mB|?{3K$tx*a7Z>MjVKQ5v)I8&aIl?=9jE?LB% zY*ihiIL{cuI;LWHV)rfd-U21o*6Hm@Y3R(8>@rE9*E~H zIuA0*$^mQ_b^xC! z5BF5~r$8*buS!ws^hsJyrS7oH_i^6+fu-iqhA=qtg}HF2I>?|E$Af(vHY3nFUgKtf zRoS<4bTNY60@dMOrSFc)X@OrfQkS(KBq}*6r096~CHbYAgMuq8kBns6R1B=!seIBG z>5afuq^djI63Wp&=7WeDNPFqr&Wp?2=%M3+xMZV{>SEO+J~#~hY_qwc8~qn#His7i zd~w^T=Vf+zw;S2nUNuC9*5oyvY5n!)z0!kA+@0leahquZqXX2>aIU^9VNKiLw!g}G zbJrJIM@Sn?Gi~%C@MK&*Ky$ti5G@{=ZDtSaN}L|~D+d~TrKXZoHzPUc)>TmY8D_7n zpyp@sJp^h25Zc*n&#Wm*>M>K8_v{E>mZJ?8a+8hfeIqGG8{*jh<1-)*_be`S&}lSY zlCoCSLWnr-W@!ZJQvR76`9bG<%|6{ndu*o%E$M>{2&1bj{>!&_Lo?EHv%T+*0E)3H zVEJuDLUqmjS`g!$g7KcsXBQ?ERTrSoq|WQ*o{7E1vYOw)XBXimA&peG&@c7i+CN)C zElEZg(PTrzI(>oBQDr;70;s>hsHvhFEPeMLDoki-I>yRlOQ5mHvo)9ITT^h&Iq%Sw z;3(Q~)48J;l@YYZe(+i3!yEtxKSzg#?Qme{z$T{`qbJpYNyQPr?S+||-PM7O{ykVf zj@RGj-nY#j6kjMB&4>(r5nB2{BUt#vUguND*tZ8hHJr0)o9YT!gEkd2u|l5ek35sV z4@upz@HsilSJ&|^^~!~QzuE2QoS#m1#o=Y<@~iTHNdJ->ViDd7u*_fE$I;Ncder_< z8PZb9Xi;UN!6`N2%W|oHX@7nsTA!sP2vwKsFk0NLghf3w$Phv2)H+swY8c27_KJ6E z6|h}p^RN#}@KKp&w%_1DtS>g#h^nL+*VocI3}o0}7`f?Zq@mfmZkjJ-B2N#EUgKQ8eQGWK>qPTmuLK{pYZRCoGuF*x@OGjP(Ci0I0t=t@Lx zwx*6XuZ*<;*qxC1Jjkc7O3VeTo)q)$AEUf@FIzN-JsLz7o!wHu1UG*U(hRbpfHcYv zF36Ry2o7ae+uoM^TqF4uf1Kf#EPqww!?pk3f?Kn43A?vV*aF<&u_kjU($9#;h27~A zw7;2gsf;Xt8!0^WP-bCV09q4R6nPZ98o;Ao*T;`kYrUBR<&K zHLS#vsiB6opZ6dI{KU6XDd-;d`t7)4Z`dtDjxIh4x>|EOydcxGJ>;_+NJ@N->pM9* z^988_K_+o=VHt90b{a`Bv)?`C%*yQGhIZKNL`VXcz3|rIP%a3z&!C$_>s_ao%WVJ&A5U5-a-0*19fMbkWsxkHsd+ zCb6{P;>ENI&Ad~@;zbSK6~|qo;70JkN~|cMtLG1bntw$9p}T(osA&r~();9e_nhKg z9*o1|O4@2Uslp!nVH{~wC}4u#+4k?{=EAzau>r=PoA`$rW`!17i4WoR83QjZZjwWk zD_5qayCx6`;w+_@^SvLMJ$x6ory8>?;z{CYxo`Fxi+_3s?v@qy(6?%8&>xq}a#_8k z{poo>O9JW}mHdl(HNBP7cfuoWz^x}EQh6o=4#a+AWLB~eSyB&r>@vQ~DKMqsC z0xX%%^0q^F{0*0OlMa(4hDs;1Ru`A1hVm5g*y&=W*rrPa@*-7c8fih z*1CCECg(y_D*O8pE#6DK+py;+VnJz=x=yq3E`rp*LmZoWLA*^RvSF*6=Xl@GHkGtf zPfSR1&Vf$buf*RDkUse`jUI7PZ~&&S0FNZG>eIh^vagn|{R;3yGWbe8Tf{p3&J3_+ zBX*aX854S8IRl|TNA5*Li4x@n@U<(G?x1ol=LSr2Xl0s}`GunIUkm{y=9x>1bnJOTK>W zx>5FdN19Sb@V7`e9_NXoABE5{l!54CkG|3t3xXz9U{mP@qNDgn{#^^k2P~=7lZ_q( zP_TH^+F`1|7W7w;p50q{$=qQ9%DKAu{PmMsk}l`A%0fgvf@ z5Zb;_u7f+Po1}-gwxsU=%K-2U%nqRb6txVH|y1c_`gachAl(0M!#p< z#tiYphIl1?T`l+U$}YM{$TxMDr?c#ZWzs*V?)=�}CEW|2&dbg2Ed&YR!gg%(CWQ zR7lHdxjdZx%-sBW{-d9U;cXZU)BVFO7AzjQ54~_kEPw z918zY=sTFLj4G`ZZtS%Gk9XWp_vHUT%#LxYQ5vkqEW^mE!bnA{*7`?Vx{+a~QQxS^ zC8y0vYJ=UQT01La6REn|wAT8M=KU{?`*VNRCk0y-V0Pc6&NY6YYZR(=NjW$%GqZ|s zW@m0@f79Guf8-mIROyma8TfnF=;=;yd;LK9LDJ;((xWCCVB2`nZriW`Z@r1G=LmHt zKP#o2^;3IZ59%j{z5FR@-Zwg9pR?GWjWeOecVg5la$Y|dhO6alp_iB*c*6=Eqo5T7 z_IRaaUAFqJ@glqbK=lR&F?kAE34Em+>^PdCZw(Zv##Y}qS`-)s`SJfln$RC%-em32 zJ`Rk;A5%&#`vKe^4d;JD@rA2jzw!*6ph*9wAaKLkg5T%nm#C217p0>Aq&Qq3wjt$D z4Xh`Bl{~{YG43rzI9gPkkL5bTo+ARpBSHYgcNDE6znzM;Ej&p^qK6)ql8OdV@P}a;+W0yz{EF zjMlOwI{v4v|1BLSP+jdzP+;9NVHd7n7Hfv6)7aPI)zgR@SygLfN=k^O`t}g3GWgHw zApau%{p$I_&b6~HJDUNIJA_}ng)KpC;e!8QqI>i03DYjiow0a5>)P??5Tixt_uTbf zM6RvggXb~zqSKsl&tu%2>0afYtS9I=Iei>{Hm;0ZSwA4`fiI$4^vmF{!W=af3&Z{m zvgL#-@%4Y+yp-r2e-Y2V`J-5MBiuSaWvBKf6`$L-z#pQsdSH}C;x5vt$LK`GfW5yMEPs!LEg#|(505>sQoO!(7L#8^~kj?a8E{!1E7eVnKgYCYnxb@`IO9z*60cI$97KZk!8%8DLGCdSy zR^Sci!TE>QQID5?aJrDgRiuq!D;DXiy+_g}oDEDPlMKdxa_VlueL|2M(q1GFK)xWbzcggGvwZC7@yQ3W)E5IaD6wY8f-h2#u~Xtl zwP&9W)+Kf*=uOHw&DnfyTb2#QM?Rw{W0#7cn8%e)-(&4t#pcu71h-`|30vR?hbwv?ZiAm%+Qd1%JtZ#|m8JHh1&HkJ*$9z7a1Tr)nN0-f3idipOgV|y7s~R=Sp=mg9nj+ zniz2OB*#n9`Q0<%%-JgSv-LYsU55Ry%-4AtatBg)C8_x3+z-oj|uTvCV@+t?fIvlj4%2@8X0ZR*1hX$R_36`@%K9XYCJwD ze?m2F0i=En?is!NzuDi-)vikUD3Wc=(D^nBwx1iq zK|BKs=SO1I1IkE!D)s!W67Y@_1>T6HjRT%6mr*}v(A3{;A)$AD2>-! zw%P8Qlz%$ZxO~^{J#*r7RA(u32W-%z(iP98%gbqT_t^TChK~PfJ+zD`9WOHNe}6Q? zfK(rK>}D&y;HX}q*_^>j3LYc#wuJur_4jD`4a_iD(Ls3gm=&l2Jt}XSxe1;i5jNTG z?m`TXiyB7OI}GvK#hRRa>9gF-gbQ{=A$tE_Rs>&*^0-sFXuG}4k`z35+Ab5jNU!o zqr)ONXr2JJT??j1;t;MH2K3lXMwEE;I4MffUNWMjH?l1UrYv9B@w#!uY@v)=2A}M~ zw(^jnDSgs#d+Dfe1bh#>kbWZkhlUMuvQDy?Qkf%_@Uscx;xGOVNPYx+2jogtxw&)^ z56+MWX9Qal6;ywAW%*_(p`AO=MdWLqG>pK!@^Rk6RS5 zv%#_1{WMnX)`f34AS&!dv3}N;&Vl|AhJ6R2TCgA)*R&u{UTSdQAI&#N`qJ9;%t86< z&TqT>)W(-%CSRVwEQcq8P9A=2N}$OU{9RLoztcGI%=+u^4LBW9CWh%v<3F4f+0VCM zDI^vG+*Rv*Ov7*08qD9zWCfP&QegeU3GKIF01EBQmI%Ub1R=L4Ar95(U0UAG!TIl^ z{!2MmNkQl23)Y)iv;wwLj{B`&SC~ewjTaN2+GqCsrHiGZza=A9D8kQzad2-KOqdwT zwk+0F5TKwP_~5-3OSF$~1aC@qz3mv8R|_stW-G40Jx*e440%x6)0s6lmuc)B!CzbY zMC#|m;Ubw9R8r&XXCgDVV92dWw|bZot8*|Njo+B?FNrca6RrfM3J6)j?$z*74yP#$ z3y#x_a&J@!jnWKMg$a3vz6D~!It~j%3B+Ws1>F-L_cABU)r;Vgi91u+Dh&8jK5S2i z8ha6jm-VnNX`*N4*h718L;3^>=0Pz9l6Lt`*X_T zDVWaXMx#r!lUWqGpTEYtww(Q7n;JwoBgPXaTVgkByLK77-ZMIJ3(UI>w8yyD_=njN z)GG8{+bc3pqHV!;HaLLaSShQVA0qM6*e{&JBOvuStpH(Skyj@>MyZbAwj&G62%@5! zJaS<7>zSO-ZL=C;?>g^uVc%*pHER}eGo|@jZvMPAGgQh{CZBO=EM?W1GEu^WvODUgd~SoXcH2$mUzS`QyKD>omDlByP_ z0J!tyBk^`7WS#fQ?Mc58MXS|<&`e;Fpx#^7V;z;oU|qNql8#%jqpd zn&E!zU%)UXmAg2Ee&6803jaQ+WhQj6P^Mmb3NUcGR(<;8FLDC@ASC`&v6a3t#IvUF z@nq*ymVKYA#RVi_4w<>c5)@=5eiqZb>#pC1irY7d5;ISU2vcZ>>$ky$_t~zE9e+cZ z+HDFxLsFMmT#kf9&N_xpcMbR9?}#sD8y0etj?e?#LCwLxx;aZ-wTSt)8N2!cB`Zmr zmXsN|yhc+|Ep>&o57u=$5>Xcqqi@{M;myqR_mly^fWqEnd3%%NeLmY~Y`el+C%W6N zfPH@(&6X^-9Z>OI{y}-pKgccpwDZ9vx!2F=jtn@rgu72M(+pJEfqPw6+VX*aQSXnoq*RvjCnphai#EsF{?xH_nrJSkFaZBj0*@ap z?{X4Ov!PjLR1%}(!?nG#TgMJ!%FeM4?SoyEmcp5`8lJQ#X*~&-QdBcmBLu}oo2$Hr zVS#1xAG#8|p%wVWGU8Ifgrj&V_txl@g5cIw+(!4^_xA{O_@0Y06k?%h!Uzo{GzBky zDilW)jP<-g+^ran5S0=19lUc|s{kP99q4D;k3!*Xu>v2`&OJc3+-I%3R%99qI}<&# zS@6Z-ypwOm7Po6Um=uEmTlCC8YU41)KHON&b*92rQ2~eHpDo&vqHe1#h7UoK9rsZB zn17oDwhsWS_@=*$3eCJO2SsZT18+|4Ym({3K9r%a@9d)!eAj;2DmE>DPzw;3<`9_E zwRfs3$$eox+MqOIu}0XpILfrup-6HXCL1Xa67L%&=CJnrOMPrU5t=A^75o^cS)TJ; zHcdxazthqQ*s2Wh$y15vq@w1LPfR8;28ZXUL@iTwgV#4)POQKVBG#H%3!O8 zImBhcNR;Tc+cMr6b#Cp!v;>v@V+*SLprSuy!vV71 zl|QG)g9=pQI~mZ~dBO>1YVKl!pIqb}10@}WL1PigmSXgm{IcMyLcI_@s(t||$SSh7TL z6X)|?EKc1JpX(_GE$`N#+nI>fN)4$)ZV1Qah!6D?GnTWpKKt+W(o-;-g?TRjH|)Z4 zc2m-2Sd{;XndvPh5PnhxxacU1y%imgf@wxxOwr z#G(j`=$^B@d-fk2A2-otMD?<;hJKz`OMDmq785%NX(7@u66eEXz;FM?e62sYKO^7c z=_()QFeQ2Y89t;iJH~XC&-?l>!7lxhPZYNn>iq;GaOZ4TK6s<_EFu^g3yP-z7#_)Yqp+k?JIwBPl@JiDP5TxWfsD7nn0B_k(KsAjq$?Ii4F55{^WBM*AjY*>!S z7&6eEj?K&`3Ez|5^CvZB1CVFuep*n3NE{%#eOZ(D z1oyW<Sn3zDMTS z%jz(U8ymh?v_)t_*5uE5#nB)#ZZn*Z}|Hg)sT zd`II#s(QB)ncYF5vHf>r)tfT9v6W}aPO+I4SLUw(q-X_$Q1L@~<;D+4s=XHL4LG-5 zXUc*Ti?h6!_zN>Cw7kU{Exzjm%T>%B>lrffb2%ihiC>#cjx|(?DxM!Xw2pTBFEC2I zkW$#=Sw&Uk!mQY7+=2?p?J2V3D|_DE+AbSYK%@DI;26B7Z5&JfM&$Dk6m#Gvk3V=2 zdcS-0z~iL4t%cNI9m@ZfEu=pai=`9Y8Adl6?=p4lKJfj1>ia$H4OFN@cRrwEl8ENE zWM@lq42Y>Zb@O!YoV|%=vlG)iUS-Yoau&9~G?j(xw2yo5Rqt}Q4omW)R|UZZ>#AB# z7*D|bOS~hsIPYd55SRZ$A_l|r5_4zK9O&sd@MTu#gF@%fu-{$ zI9YC;*U2-5e(erE*m%I9$IGkX>#HJvHa|{HVN9^2vVjxEnMDt8ex+VF_Cv9|Ty^HaU_Y-$6F{O)7PVck6nr;8(1TLQUT3fB9Gu27f1 z;pX7km+(3quU0T+nfOUw|LShR*}f$T$NT^aUrLaN$q!=MJ3GkmjJjw#JbmA2P@0lG zxMU5P!$As(!F#=MNhpF9?M>*vYbVg?2J*0eCYq8$+KgrX>?;LT4*n2BW*LCU8i?r;Ib zSP`OeZ`Sx=w%U@*7+Il@P=W;1vB>{+DDrL6KQX=4rH{b~@4|${3N4(}Rw6ObJ^8~q z{Prr@fs7M)*V9M|o(&J*_jK!b>hIoq$zw5|<0rYLRFwT&=I+8Bl-tdo70wZ#cwFoS zE21fwGgxNhgX^D2lm->mS)TgYK+tlNmFHpKdiCEvIXW&C=lhUmM-Zbbm|kRPT5QNb zl<3O2FN0?Nx@Xa{M|IH}=k=uo}-i;jTrM!-+x(o*4WB&ppOlWs}2QC;c_6MXVX3nmw#0cIS9 zjb|Cs@nH`W1a8e&MW9Am?*arQQTs~!*@vkrlJp{#D<6+@1e|3pX1SSLWAaZM%dGBu zn9Xpvmnc7VrcY|c;GfE=22JJq_Y|*ewIZJEf98;??3*JE#J3z_Qcf+iJoKl)Zn{0z zQ}sSeC#n7?eGldL-AKbON+P30noA}L;5q6glYM6t^AVV7BgAT^{%k)s_0-TudXm^e zlx$K>hBof1TBKjjXA!=NJvb#=XlGnW<&!#kDPeS&n;Lr?p0>%E7`TO5s;g;eh~xCf zMy+&(r~<6oIAh@HT;J)L2b#7%JZlBE6DbSfZHSC_xeAIn_xNXJ1JLR5@!nMJgC7wn za(Fh(&k}#ZU9zr`509~d|E&BQxVISUdFdOiHT2TCe2BSAMlfz$+E_cj*Q-T~*Cxvx z<&)Oe4RU&YNFR@lawPsXBpexfqmRp8`AB>f-y5cQ+RazBNEqtCAM6k3B@bDh5(dBh zc7X78eAu#XM4pa74uj(f1c`V>tNiEwJS47Yr&9Ze`0I?zT=i$w&L%Eo51u?QAU;cl8IVCk%`uGcu2sts42SsTnlVPlcV zmi+2WI1>{i6eBe0b@9-$ z^`iZ4Ds;fwuRR%S?kaR9CV%D}IxnDW_354_QpV z#`-uJU7z~YXRiUxl(@5_nxswCtI+IM3GUhjCPU#SzE_ue#n0M{^y`s!xG z96tG*ygf;_5iq>#M&3{^-+4*ZJI@hN2M@T!fo>CX^n7rzFwxowrY67eh#ZShP8^Q}e)qvdIfP_R2jv{+yIOczw>uhR*5`hm$CuI?R zS)oqm0UqOwq55AUP=vugmNr82RFeFzko<2f*Vqax0=Yp zJ~52FSytV@bVb$T92&F4LB&rEhnm{Zv7G(zFPQhPwifaRgT`@3B_iUuC(J!9jDQQ| zj}_}y_7353;dcj%Rvv~&`U-cQ5dwB$HfXM7puaS=fTFx^$w#zQusmn8QY z^Q?>}YvaVN&zus4virt^oO9E!R$7p)-#HGXGp*-GWlJ9;$G3wmt_ta&wY`N3XHty3au0|pI z^AD{GjqKasv^AawlH&uf(RfhF|UleY!Il^)qXGndL`mxTtSuNt;Z9g+f5_)u>!)Iot- z@R6X9a21}SAawwcZ^qhYtm}%Z*LVW*p+S68Gb|mBptSaI>2(KQ@pnxBXCW}s`I*w= zBR0;I(~Att<>VuCc@`#61J9P92zIg@%Mdo^?}YN^DA{T=8{r^PxfBOqt>oLE8(5!iPbw`#9yD;E&8|P$jgV?#tE)d(kNuDflZ!;-O z_yfm14HwWalsh?_G>(v|p8etK#=&_FR`g;5Eu?(E;o^BR(UJOlO z?$e03o|ol>@lTUC+f+tN>&8m= zQ#29DxYrFwRS7pq-*g(@E1BZ}?fA7LIj}u^(9$SG81GxVzGJ-pspnZ{Dt}*WW%qErKftQcrplz?yNpVWtq`XMT>ORLMKA2_>;V<-~s5{KT@qcuO# zq(7%5OWdDepkUu;Pi%itdg;a9@rJ$QzdnbaVuOZh(-Cb~UA~L7)IHl*xjWx{ORjfr z1ToFdEMRTEVMWmt1^=+lw*isnIsas3mj0#u!$y3&tjY`*JIn&A@eqd9uZ!p6xMJ00!%@_#R8N_n@h*$|CPa zCd!kyDi)l$@2J1JyCtyvUuY*tCa3^XhIilclR_JOZP&ubH z$#6f>n&!#P<}UpGg{J#a!(HQ6%;TT>@&|Hyji`~rh#i7@>ViG#rFD+}^JxDBo7WaP zYF%y*+Y%YQU9}6J-bZ}UN&YMI_ygjFD~F1Kp=&&5`<=z?14Q(KK2oNtmG+ZJ$CDom z^E!X*5r?tS-iR+9%C5W`CL{FeTq!nDmaAq@Bf}PEtNuKr9)6(K1%3>>r*78CO=7d5&h^e_4h+E$Q{-vD%z}ers4M+K?NYTv5%;z zK2^;ij%JV8EH{yCW^GjRdWU5)X*l0mlNL2+8^Nvx_0Bv)B=6NH?+w{EQ3J7OiY1EU z#|l{>Fg)=&reDA#@i4xN^cqNAY7=&3Od;y(eh+@vk0I~niZ>GDoiqD>jxmZmmcsNW z*S`n77~Y!-6joE`BP4t`Bb0_&FF4XMH^WsVpBUypRy6EqdHAiG!oKuL{fTnUnt!4L_V`VAr+bniTu~%D&O4+X3+?Z0H7rl>EI@&0FDBU(4vuaCnAX z*HvtPT{TOv?j7*EN#xR_t#00xC_O1PdThkB@V;8}QuIxXg@J5iU!C%8900+gh55yw z*_MR~81tTO?5l#!Tbnj;45_xc|N562xRU)dK9o8zF@U_ee#Rks!0<0^pX%FMj(e}H z7`Jju#LV(69S6HBI-a<^Lh1P2TaXSGVwLNlHaLv=x@GG!f8i8U2)aKUtacfTejmg2 zWfU3Ls2yUy_6#mO*R-x6Yz+$B4;ltHxS%2_<-TOyC=lws+SSTyIwJ4p=C$8g(2x>{#Cly#ecZSARTu&AJgd4ZEX-*tT z^PG-(m~D`3FA!8|RHKgz#I{`>fsbgDgSr(T%X3)*o!s5w$_hH7*r|C91Rb%tY=ZAe z*g(;UKx+a+?7qT3(+ zld`sM6Es43ae{xb=jTYdnX;IK(^3-68jFJ^pI98lZ|2SUMZg93M#LNIId0?Qv8f;P zu4xsdBOhHIh!+s|S$KDVSXfQ#qyDpa!EK!QLm#LV-jvxG79n2YsyOu1@~;Dh>yIR} zI>!0kTXPCtuQwEm(`x<30t*_=1*+yHjh^StDcs3*@%t~n!bZryCSuE+i(>pGm%DzP zk}w8%nOA+rECi;A^I(|N<~;GJShuJ;V}1%AR?d8OwxlZL;0Ul$fp@Ty+OLmR5?wK z2fjmZr`V#b+1>=bv!*(EdJJQ~uzAyyIwu{c?C4)SK`2-0y?!_SsQ(>{94>1HOne#J z?-xz&%z)|Cq~PX6{7S#S|6SZ`Z#eKvV|z(R%>E$gTfh_6aGJd;*<2g?X3EM|0l63 zPSySLckIpLKTnhgXUgp}<@%ZO)tU13$@2Jb^2?uIJe$3auI=%s%V~ObySSwj`ttBR znT;3Z)88ci#rtzKd!2o{9@|%!>6G5yE*>T?bo@M<{Jr=@JoA_M_0QR8JiGps+Lu?i z(_8wom^{D$j6Y2lfBym&|0x=R^EB8^G~|6$!yi9Tq%Sz#c6+L=e!8ui_eI}>;dG0M}uQmrbj_OzlIP69o+a?_9 z{;eBun2W#T1{|hGeu?>>t=D@Q_om(6X!_#C@nswbF*}FDdyQUZv`f=2?Bse^+y{a- z*=#)hG@;$+QMv$$#G7k=s`*$hek%8b<4@Ds=qjCh+s@+p>N*_R&3_p^SiQgJTJ57Mf!E#wLLxmJ+vI&3%%{WKBtq1D^Tr&pUtvEQiY z6X5OSVLVoi0WSmCvtPYm$r^v8u&=N2j^S^fH}TR>rO&Kur_5*e$B3G9I{r*XsaN>k z28&V_X4BCa#vh$~z0udv&GcK`^$J_zHXXmrnVc829%Vi4GFdkZe_|)i&*GRYJ^+=| z>(N8+=;Ir8Z_>$h{L1dJ0-2@LF7rQnlUH_THt{agsOaNM_jNh~o}>?UcLCk)q$36! zc{YQFlOD_h1uezA9>30K*&N2U)Ab%;9?|*Y>ufw5bsxNkbTa8Jyvg_>?T)U%NJ5@_ zlZS^C^vQ2mH2wU|dzjN{ub2KtuO2>o(1n!J^taTz`us5cHt+pLQ~Ei*;{L_ScroX= zzRl+JdGSI&gALZ5dsnE8UOv;sqB|aWBP4Rvd${^G?Tx>B-+J>YrPl!<>U#6>x9N3{ z1~4(Y-5&ME`ufcqIjEeO1=C>mhW)Bn@_VtzmRv4o$2q0e3g-@Xk2>JDkH~$U9 z`8SI7r}W#E*ZVq7)8l)m_mz#^^TX`rD_zV-v)Pyw@|8Y7KYNo&XY9Roh8p_@Qk!}kVjm*AcC*lzv+L=|Hgdtr<|fQK7t{5m@= z8afHPYyGAeXC(D1{Op1K%yhgohOtN3IrY_zH=iwz-v=Jv(R(xQrX!GX%6QJdVZQ@< zO}o9cxAM>tjmn9RdHs2 zHBPVR^yN3Zc>fJ_(42mo9&xg@O_C*$Exr~$bm!gC{3=Vku!hV!4>&eoXY<(zr1W6| z(|H0tfayOPrB_`r0IwcKg)H>Mx!l9K%;tY8&wnNtgU|J|m}#&3f1u|jy@KbgqWtmq zRCuS~=CEyeS&Tm~Mq&4hSC`cU2w`9+w6DyCMe+jwl0PdSAni>6Nw& zhJ9+`@V{g`- z1D@0yfn^Hg2btIr|Mv)R_t9J=*Zy-my$^)LAO`4HB+zijA2uQUgrX~B+p&2fl)uAz5h(F<}jyKHZ8(~B{>~|>CnCE0Zm{k zqHX)^rPCH|QC_dc&vQCh);}%@x@FW7HUfamg2;HN73v#V6(dD}83R3?1DrI^$2}Sa*Lr zj^p2S5jN~iYIRFG;rqjHAowu8iyr+u4*S2Hzz^G?Baf~akKh$%&NuiMb{lzgh5bXt z1OL8tKnx2Wr3+YVkxUlh%3ngwqT9x&DuLW?= zwFPA=%KZf7Vp7-+4Sb&Txc!h$ysO^iybNA-a+nnvWPNNYgA9)>gA=5M*)kWOm2yAf z`x(v);Bh(EPsxI!t_Y))k^bLci~rKp-?Z!(>V}J7wTu4;00960N-Igt$+6~ga0LJW z0RR7#d~0v3O0wwZ_*Wp44@R?x4GJ>Ek)>rIm@FBH$=EAv?nEWPIdWa-WY5gr%ChOMSJ$hnpv@02{IQq~(!rp&(9*%~E)1QJU5M^};|5^G_x(`wZ#wt$ z@XGPo#32J!e=owN7mJSQS`%9dotWdf;gx9I-`Y2!Mle_4iud-d z5q7j2|LQ*UZ&@(6Ts!Q{`1NM(7`AK8?U@zc+;{wtT{$k>9|He&MLh3sG|ubF6EDG^ zZ{oYKOY;8fw)>~^=f?6~gZX>!Pgha?Wa6YBSbr)TKeme3p5@XGcqe=WwK$W{y+#kH=&l>gUB zx!kn&TkA4rvF9*r?G@`26=h>9uBce<1~IO*R8F~GSm?O!9y|~gr#t_m%PZGm`_0O? z#IRe+*XfqLHloNt5iK`0ay{8sb4R=b#qyQV|G(T`HaK^2%p4Tkk1g9Z3L7|c`_6;4 z>;7EN4c7{B4gZg1x(r261b1V(W5cukeF0Cp_H6gUwV0uWU2S6Z=YJ0pE1A*9adkgu6xr7XFq-n28+dP z!FkKKp5ewucSHtVi`W&y%tTCHk1a`>S*s|xr1=`DH z;g#apz&v;Fha0O~%oS_hccG2x#+Z(Ov4a>Z;0j*9_9v8BXg2)g#gP1tdN z41UZOv)%w@=xIta7zZxc%0m^x?}f7Dd}lMPu2!9$tR+JI1sRaS<3ZmN_t115P<~_ zQ>@_iCcWnNC!c3N^Bv3`ni96^!5D)JVjM6`E!{vt`#5Bu1f6*>K#puN7%PVF4_b}1tA7|shy3c8j5fy@a&hNB#%A3B=kVWh$d2Xx69}BzV zdkBD8>>Pv14&w;dAj=}fnPGz!D3%gJP`kc?;0ry>-J}=XGYti84mSb%io<=S$9=Ci zSdIs{Cfk8K{9|G`cEA?#@9qY~R{4tgE{JD8n2{gMG5MoUlBv33gQO$AKbS@ zXtPyyzGAcGY{_lb3qT3h9$Vu|LuHL>fwd>L<((iB+#@LOs~u>8Y5n$wcwem$hIwP;j&L zaNQxvF1j9c#IOFQ;L1EKSZXvDL}S5e7`S1hmN^aEu)d{%_CLwt<}?zbk!WHvuo*@L zDu#b{l&|=9SEQ_=6nV8j!2i+Td#9YfzGE@^BIWM87c`dX@^n04v8w7VQ3VO;n;&u3!*KPSIz_l{C<2mUp z=M3GO>Tbz(w;asuL5%weuLZGdI)87oza85!XI9|a7iSyxQcTS~z9-`r zVfd~%32m$Veq&p0`BcPx&Yg(9ePiV^_43@@!`z&R17=f>62ttnRTd_K!o-RkGWsIQ zAt!vjI4v5ujeu_lg?8vK2PQG>1)~Vgv4S>wlX}Qenx{99IztI!>qNiD&i)WaNd*rKQEJrSx5%RULF}pD? zTYa9L^nfz$v8DHzhNXfH-m9o+eE;%7(em#>@i52E?R_X}@=br&3HQ|AYNS(F@x<2~ znd)cn>R$9M3p`Zs+}HNl_{#koud@%ewFe{%W*+Pn7C7dI{*`!-WUV=t7NWn``k}nr z-*h}LTx+OVo9Yrx!P;E=&uPDcCjS?5!$RY=xrep65BC;s1&74PMX`PFG2 z9`tDXIEpebUp|f?!S;RS>BHn9>FAFTjbIv?tLz1~AJV%HC5~$TUcqpsdz~bCh;(?& zju~XxwgyMMACcsTB$&hOrMM?R<632$(@4!HfR7Ie9;Y5zMg=U4 z-70L<0xRev30}->c)Fj!W%z&(kc5#=Yfpw=pLFw)!UwJ!P-(+czn?x_cT9NFKB5nJ z1kh82O{%ck8CGk+YE4-A3@bNa8Mfbm?Kfd}XV_f> zcGraMondCb>;1(@#Nef)k0@rVW>$kw|THtmqaJ?3|UJKl; z1#Z>?w`_r1w!ozze}SuvrDxrLh0|K#XH3f)DA4I=Anqb0IFukZoTb+(zB|XDq6;?H2 zw1Vj~=F1vg4863>FY&CtWuJ60K(>hfgJkT11p&8Zg33VVS*Yl=MS8&J>Dr(C4 zk&R$G+8z%>^QEq@k5P8qZbvT%v&M4-D(dIs1Gk4`b8R1}ANbg2-m$LdkB{jV^Rm>? z+sAf_IUDnMmHq*w=v{y<=z`=uo^u6GNx~rl{e3Gm^;f}(zQ>6gy3?OQ)82(GCNJr+ zw)SUzs=aGX8918s_K41PkO;44C)xuKZ-TUicij_eIMMew(RVnyHhtD;JQC_Yq@%ZK z&syu6bjXmFDMf<(Sn*x$FXm4A%T~lkMLmi`s!`AN{zFjeG7}CfiZ^MfMrB?neXX(s z*#sg<9iHmivSu#i&P+JKN|2;SP#ZodRq)DwEKs17T>`0z6a9KT>ciEjy!TX*vzV*N zc$6N0jr7&9xQ|qgk7DjzW1V$X=srW0qaa&ZDt!!1p-vCK^k{fUdEf6dLrMMDYrXRT zQ`P(x>4$@;4a<8^SflcK>z6sjnMe+XR{^AUx!6>81nl*cdV!vD!h`C zSJLFwukz|wdF^Vvc4uC_IAOF!t6m<|Kq1>@CVX>D99$FjUr3m)~{4|YDDsls9S`B=R-#cfD)nAiFJr3a7uhKl)qRoGKa z!4#%yMtZ?M(dJ`XK1MJny-K1!fnt;Q)8hDyPrhEnfrOa=gw;r$M%i$BtmVD7Ir0-Ytc20(P_6}ad%zt9 zgDI`TOf_sHik@PHSp}vmxzOc7HCMRzfwySVNNP0tUuo>V(CD3Mysuz8)P*VyNf6Yi zz*(8owDMi6e3vWV7nScx<$J&KeOLM3t9+kTzAvl1yfl|{3g&94X=OgzKIxys=*XY_ zKE&KNpFZ_%MEj=JgQCydu0Eq7Jtro=(n`FQ2gyt$9-|1GqX;s1IYukot+b&B4_dv~ zgJ?@VpxgnC^h17mR6jF8mr?cJg3~!hk6D(%78}Pc^?TqY+PTJ-&^I9SUWR?5QS@*) z!F#Z!mk>{$mnB}$Kk}b9$E3hOR)^9o8MUC#Ut(Ppu6R+P`pPr6~EYG9qb*T^D+oVXlU!g5g z52P`AmaO^JUPj`5=I$$Pxuh*OXv;{u*QCARv=g}4ecO~szgZ6H?tJ#s$?s3|^25ss&<*&47NSi-vufkH#x%djZB-r9PZLJUF ze3u^V>b^}qCE*m$Yl~j@M2}*4*R(11lc}fGe2c?s2)nDpQcu|{<*dNU2s^98QeU}j zgIx@JT3Uyt-cs{=Uttr3mFuw7UoP5U`v{xVVX4RLx54fZwz0MzUt0@o4`CZ?oAI@^ zz|MxV=GvBgZL_biON4E#je5?-S6CVCHfyep`p$kEtcI{(>?Y&dT3}^_{bDy6<^AFh zGTLoci7S=*M{GB@!Tgh2C|LA)h*WWCZ?N+BMXWd;#FAI0s(jy2qv-JI zsl#O@f2!2}>Ypp&Q<7H7ke;!x=^1+wceQ!U$4Qa@Rq8QKb1OXy((rRtK7X(9HMb@_ zOM>)?efzQ!gDS@D$8_}ZA#6dyf(dhUO*hi$@gwgov9)=d~+&?V7xL zRbD;LYl=0#7!CO|)(0i85w|P&Oe5NFxvk4F4aa(oF*%~Wvp%-Kv1MZ{iD(~`Yh!&J z(;8#Di1xJlSTCZzD<8u?6KlHE13BTf*r$ngpf;4r->JWdwx6;*^mgP|Se|beSQGYY zxC!FU&bWQVU6#1J#i`~Z+#cfMW>UeOd9aYrxXa;!V*7+kd*6a`XnBp>NJTE%!xj`< z<@ExudzI@1uV?*E_6t)5A6;388BQ;%05R%EYIm92*GMbe=T{;uykwE>PS! zO#Zi=i*d4;OE8+lxSWeO+CB^n*jQ^(@nd;!Ad7Xd5&zdx%I~hFc=xUn7)p7aIQKz` zhwZB!B=-*TVB#Juf&;d<3@?P!vr{^A8!85j1GslC0RJ`IkYNXSF~`{1I2PiHv8C97 z%{Uz48S$MLOu}2Hf#vSO06(w-53ke4^?9DoRs?XHVhOc(1H-3t9HAE6!k$^+O?-p; z<=ZewEfmm)i6^2Aft}Ns?7;`maK!l>b(r&Mjs+S${&KPAy4YBb5vEAn#*ROjz=Z=F zBe23-U&G#5aRS%3CmvWFM)B8<4*{J9;4+_J=0AOYmV{*XowWkN-2X{9Bs0m2nC#XP zozON2W@6A`KjqeP#9KQsxI6}KT%M@R_&S2Lab3%oDypZjQzA+CRW$!W0 z-!TH$Q`Q#g3$5g=UB#pI5svN5(II@kHiVlSw&DjtK~MDW8D10pv~iu!TR~jT<==69 z?YQyS@Z^GD?5+*j8yB*8^R;7#;)KiT1 zd&4Q`jfpE7j(_ix4hhC_T(P=V(RCC$k6zV-nAnr5E^I3)`3Ja0*R~4!7!bY{xcS_r zGl>-uZCR{qaw!_VQqcAT1=YSU^x+%(U|!DQ24M%6=ebM6F6M&0V!H)RJHU8#LmKD) zs7%1c=%jcs42Us6M1|rLte-B$BKLa*7wOSqzFUe5C3dMaxC~HGen#G-MJcd0#`(3w zV%xvWuZf;$JGm?|QykPk$-*FZ0)7?VDjJMq!*W7Q5oj~T8XGa%o8uVD*s@~A^;LYQ zabFp4+}K9l=do42rg=KcINtae6+X8W)iXY9#y;ITv_d*#u>pU~tdo9!+7`^wPry=? z4a@D{9BaT8ImAX?@wi9L6**!IsFh&DbHn*Njx)vDU{1|rosBWQZ1^1M0Ci%yAnqyd zm9%k<^A5x!@^HgF&jWN{*gzaVM?C((Kz9t*T(`}!DqPY6m$bkwTHqEfaB>Tr+ybYyz-cXT zX$xH10!M2j9W>Y5)JxI=m$bkwTHqEfaB>Tr+ybYyz-cXTX$xH1fTLVe)EL$4lMi9d zck&mE3CvF=uEi8|#M~zpV3eMF_-ch4SkT~-YKyWdVC*NiW<$% z>kLO`7QcT_Jngh)4B;5VSd#-*Y8PmRI<8>={-~c2<|Yh$YMVnddDWEbFMB z2x8m!yar7h*EE060tk$<4r35tTm@xqIi~%!s9DoI7vQ`T@VtpN#+ueX2gj!!0CllW z8`sr&zcKIE=f#bGk>~jJ+<{;N8-H`*=X)l~v$q|aSF7S`CtPpi!rqNRL4~A^Yo6l}HbEA?<q(V1h?5dtOzU$2MrH*U8VC=fNO4{4cf_mcVG4OR7{8&xZK|B~%=;c*tD(KIQV9G# z*i@^eEn@^X!Pd2~aoV^p=5faiXs?e`6l5aW7fz7Nxkotf>@z46I+|Dd6|R}!MGM#J zD6V&^L2-og2sW;6UZdW-9;O6^=Qujs$nGtr$Y-ZHR-eo3QM7;A=M~?1%}Y0LPP%h} zIfdTH2H;vH4%w@iTT`D=d{6W5RI_>_*4*HcO${taJhU3t^FF_JVWLp|4d{Ts85e0pfd{Lq?>9)N5?)zczomMK+>=~xk>4_yRN>4?AHO_rS40Zc8!G7e9~bG zZ%^+p2PrfV|3=PXKVhU!XQM~}HLKFl!IY3rhT{>9chg+95z@&b^9AQjIAj9KopvW$ zZBB<3gu)6@O4&!|G|E0+^7E(y0#td?{b!`M&CCPGtE`!yONEtw;*-n3pB;Xhbe4v6 zk&-S!*)zlr`L!Sz(XGx0Sv28s1I(}585oD?$ zOwDNfMb0Sp|=G);do8~&yO1$bBqvrY_1$aBW zOJ8+h!cnDjZc~Wf@r%&SLYz*k^HV{9De|t-j7~I168u(=2eq*V0aoVd@cXEC)rLOQ zQTw>`h%>FUevJ;T<2nfKJWMl!LDdLOk!ZhE_ zL-d3-1`dzY%9?Qk4o{H@-9?y=#+q61GST-7Sx`^oH0_tXbol((g82^b2aJD}_$|8g zdCBkNQ-^nR`+hiZS>*0)@nvq5&uQJBS+yCaiLI%>z_j~J>#O;ksXv1$n6+4^HAo=* zBZKEm4TXs8Q^gU4e?Zf(F&2e)v>oLw`INVKoM2j@xGVGF$&R`t!Q)morNW=9wv;j* z=sC!v92K}#pFXaB>7yq9%K5=&Tt)AK1Yz`uK4C1YvGI=fE$CO)9zE;0{G(LMkGYK^ zNqvS4lSo?RVoKAc+>!pAfjZSp;aj>>6|<-yqh2$jtySc~ML(-%HWk!Gt`n^i;}zb? zU-9l&d24OFQ#1UOC_cWK*Z4fy{2SQ|{8rh`1$=g;dK^_{|HM6u%dWM{E}5ULdW-Z! zt4{UD{G4UePo#hACsGqWgRRQ#qBZi7n$a%%%;9me8e4>8hQdE;`k-8n80v3$QibSi zY-NwI71XaW^xaxij5##}on(12>-noZ`}2hQefh%c^o;uh9sNZQr}bQ0J+IT;JBoAr zbjFA0j<0(G*Cok{F+Xeg!ZF&aU*d=8smEp{@M?p0Ur zpMBKSznwcokMGlC773p^uiNnd>Y8;)I0|~$J?&e1iKj=80_me|ZhMrX?bgiR!tUrs z$mLV9aN0&0?XgB7Gu3{_DV2e^mwrB$xti+ENEN0lbE~46KD&?Snt^JzsSruoar^rz z*K1m(x1E|R{J>hbhC_S8G&=cau@@e7;BwL4S%Kwy&h(ItzOPwytR9{9F z+k^gF&ziBWAIxp^p_^~jT9@leH%IF4;~|XnTI>>!17@XAnAY~NoX7MtSqWLF^7_5% zSNhc4Za>v%MKwm+kZrov)x$`C*0&Pr_Dx%DOuBHI@48@VPx;9%`u_4%<)6Bj#Z$jK0iq zA9|s)DPMa4^v5^O^JDvQs#A<|4Y-rM9bmLl^;3OOk>yRo5`3zgr~F_vXiiQ2(Cm$( z?D3fWf}AKS;xe;yN_^9x@tURPdfgBkU*2SdV{%KT>*84ZT!p@VA9xv2{53pEPBmvR15+g=>q(Zo*FdWAGy- zIPGr(dEpP{b|_l>_n`LN7w1;!Teir*cPvg<=C3M{X9<3pA#E>Ak`mst!o*9$q?6>Z z%K~;;!1g)pT47cadVTt1r{7yAiHv^(S)-Q;{B0qE&3|Xh<#xh5CSHW)yS{AErgsHd z8vGM3Z68;p-MbdELuX&$b?k@y-5~Zb{}ek9^d1rZ4k&wgem4M($1Vgz`=b0EsEhRP z4Ogb;IG)ANNF!aBKdWhsmG{@^Ts6@OP()xZ-5YvM<-s+LCx7IB1+UY+BYut?<#cR_ zo!B1Qwhd0lF5kzqrW@t42>yooVjOnq{4ak#q|iOU5Gl>)CzE4kN2ca={=X~5=drlZ!#B;-)6F%LWi!VZcud#Uciaaiv$Db7hw!%Ub z(xzv~p5pG2CUYDm2pzjy+HkQPERwgaM>EF?=Bd;E>iEBO#KJ{Q<6W%0X+*Y;f><_%<%Nd*G>0o z=ggw#rNiRgWhdEd?+sW4uY+NF?fsD?#UE>xiG42i;#shPzwm!WpBY$rT%If6$W<%q$d{4u}CEChxnzm~F-3iIk zwAcE2Sbd+t;(DO{aeB8y3CC^W~?NCo&OI20RR8ua!}v`00030 O{{sNu28kWUYykijX#}PK diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/1.srs b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/1.srs deleted file mode 100644 index e8ec546c19fe806ebe6c8c033e90c58e05d96723..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13852 zcmcJ#V~{Rg(jBEMS^C6L638(ReUP>^WRoH;8N!JpT&s=CHc12;`tIiHS>TD#?} zr6PP?zSr}pi}O6Uu(-6*jn2moUE1eEho*|O&cVtrdJQ|YZ%y2T!?GoV?zJu7Pn#!` zCyVYk4lO;iy}2oi-)r9Q=2@S&agCW18Z0?->%x&VR&A!Eel}^p*-deS?C@z**Gd4- zYY=yvITxd1BvSFQN=K#B@8s4Fr>^W<85l9HHioyTI#1fPn}^ShMro6H|fRqI+umAX3vUJgwgx_z41X|7_|hO>_4w)rY{dc5?$Zw^$nV)J!}N};0`#w0yC zYrnljDtVWwI`zdV*Y0yI+cjugp5NcxH7`|sG_lu)OX~Ru10Fy^h}m6h9-oR7wp?5rDoafYY*SyCmX=&}%^Swe-YgT($L>UBLey&)ksi#w z{8~Ti*>RX+u-ZA6Tf=e?7wRWd=owEPS!I&$r{rN?1se zCXXhjMkha*s+ZRe|29`-Iap5(^p&eFEmum{4BjSZ_-WGu7ilK7q`sCMuS6{jtcov} zXg}8esbA$ltJ%sDMx%||`lK90_hR`oIg4TYp1a}9iEg9VGWo>#&h`s^?Qv>~@EkE2 zD*~Hk4Q}VE$*i=($LW0Oee17tlg1`q(?DvMiDYx8@`AwMr@Jm|s(6_MW!`M-@js&3 z@f0RZ7&3*C+mJa9HoZ$sIR;zXv^HU}qmN6bF6)=wGm;L50@T$~*!cr+S5q6;JAU66 z?`msVYCFA^JKgTzUx(*>*yPW7b?2>e+r8y^-F{Z%+uUBSgJ*G8Y8J_iV6`wd1E9-ubT;4*x^{V9 zPY=@GKpMd4ESjX|Dkqp0g@KIryxRHaXh=0pv>yZF4J~cEJ)dHxpO4k-wYD_ihA!4| z{8pdRn4}zZz#Pyo{wpgq|D)1mLdz>@+`^+L8o_3Lj&$r43+cuf ziI!hutRs#LYz#tHS9@#B)>Gw|GeU#8^QG>nX~R+ralc3__L{IaZ$GDVVE}qhl~N zG*GoROwmd$D^v?9yX$y{OE8SADA#`;rJZ7|iX3V~G0)bLs}$T-)82<~+d97dkh&eJ z3<=DdC`JbKi%o1AWJ&a5OZMzFJJT*3a#B7oZq?@~feBq%-7hNJtdB47Cn6m_&_KUF zZ&BLrRsFZ)in0YcVjPE!kWwK95HSg;_?`Vx0EvwIIQW(QPXH)^gvi~Yl$DhbIjrGKTH)(7^-Pv_hluj?~R>4OFlUUHF-HTUah_CtXoM}Lt7 zQGzYNEXR)aZDTr<%~a5P;B_P(Zzpjd<4QmzRZhonkLn&+?1~V~cf^#fMsYvt9#9?& z=NjVQp}fT(&xZI%2V%l9g-j7V2LS%h^b%Ao{dXQw5TKYy{&&s^D*cxP*wq0IF@~r> zm?u;YMp&$KLjrvNFK&K@|1XY*cqA1P4~d5(ta@+CI%Fah_ONZ*4E8L9u1f8^ylr01 zJsUh@?QGMrsbj^Tm>7I~J1W(^x_Wy2bZye|{ZRNIM&`2#n}|xdb1e2|ROH2_%!^5$ zXn+&v5X~Qfc);jSPdvy^wZ0|zz9-g~s%k0;TIZ{Kzt6;G<<^GNw**iNeq#uLpIC;~M-Ker43G!=XjMNb#8bMz2Oat*Jvx96^QZ~uq6POT z2j?OW>mtvBdm%*gwpt(VZLN;)_8fLN&I>cv1BcJ+J;h_9t*WN>=1F?*NM(0d*p++0 z)C4iD;-I4vRi)CtnCUgnPD$#X`SQ+fin3%)r;;XnJUZ23i-+w>`Mg*`9mq{qcxa$V zs)bjTbrg`+i*sNsg~+t_i|KTdapSrA$qeI?5__pZ!AV7(b>&>Hl9xud3g$2rgA-^- z#ZCKBJB3FL8B3@|=Lu#f7U&lp=ZFaX)up9F`L*i`tzH_o;W-4tO5?uflXlws;CkX` z`O(pIcwlIzvvT=ZrB&lu)+YT5^$ubhHr}6D0B#+$q-n{l=3kLI-8&bo8h-uvmJ={p z8#b=JDqGT4=B-Df8s3^q8%q@}GwLR#%3Ch>A4y^ z3(_KplfSy9i`60?I1_rri|LV1tAyVqh(4JUd{a4f$AGgr2N+$#P0lbT76no(ifEL= zG)iNeq;btNIOnNcikDu*Cp>$5cZNLCglohqL<)(CP>G7sBWlByFIE{C4%n0{yvU$wGT&%rRv*?|>7(1CJvBwaxHgZh@_YvZB#B%tpY*^AQ z^K%x&02xgTfmPro1S1BwXnisx+3I=Ws^=@=(#m)_#xK?jVZuj@_AeLfbBvhu^rz$D zH)Z-(a!e*Fe_lbK<>lgVc^y;{CfhodArxhiNluj^VI`4CT9qMZMUhDYm7!tH$=|ZD*^2w6i}jQ&mXffUibkc2@suovlCbHD z`y~hVlpLCpaH)w#B?t199Ey@~$%*^L2Mm-Pl9F(Vz9P|!su(%;D&1_zCybVZV+*lT zyB5e((!(GE@!VwP=7uTjAjIEYo!=&i*i59#eF9R-=?gJ(*2r^+X|+l%nCA{QQ52z| z^8$-t#FYq5-@#Nv7kmX!zux7_1t-6d|4(W=N?kP>I(*B~wO9czpA;9LLX4AFIM&(Q z9_RF>o_+on(4lx==&$%_8N!dlJV3NoXKrV~H5|OF5 zOa`Mh{B?VQ49vx4GZ&yXVOw-B+18RIG`3XK@WR}Z1T5|cJp6#rf568-O#BqYSEPU( z{^KI3kP@lSrV=`=Ov*!ooDMs)%KRv=%k8YP1penDsIU^L0c62Gp2+i%M79ylIO6DP zB5`a3kr<8+pk0(W0=fzvuHMYJDYPPuQ){ELOBcmvRK}9aHg0y<83&onc@uX&2=^L~%y%RqULw2*$0sq9OXjCb`CL# zPpcdcpV4vEbZ160h4k?j@KX9$*iZu-x4SJgDggem@0V8Z*=DXp4c!~~vO_LCfh+^~ zvpEhGFn)`-IqieqpM>F|+~wwqoNH@u`4$~LJ3bShT}37vTDh>8;{viKk2DG{zn2pY zSsr2-_@j0EjoVzTb~2mF($IE3oHGt!80`Vd60@=?95x%|8X7kHU=+q+hUoz0veQ`aKq+}iU6>ANE zQl3D%HyM-Bk?1(OjpkWZ&Cm{u0 z^1ENkqPEM~KqAYB)7jueaJ^i*gNpSxeVQ)t&^K61yS(c;LFd3dSG81jJN9<@ZCu&H zRV^)jw!D5R%YU0TaJa&(OWk01(7gxLlTjLMM)4Yi-WK4v2MqKk-oE?r!>~Z(w6VUS z*(gqTD)jFGGjw_DSNH_UA1JCP$QTNXnsvl)79UuDF+~Gd_|*%sP7m{(5_;dx-nqu) zATJ7+1QgSX*6{|_x3mjbTy{W0ZwS5Y6_8$HVWTX{{1sRAcU*y|ZMJiiw?+3y8bjnw z_A-ApXvzlSbN^kvH*ei_Ah> z+HEcuy@S7MbvWObRcMe^fYs(}d+Aw%s4H}@D+FV@bK*dw_!Mqkt}(kLf!8Yq$359@ z{GxQ<*~a*6XT*;9-YzoagL%JydwVD-FdGD>5Nn;d{rkopb1!FTxN9s~-^kPAadXBlC=(tjt){VEr6mM^qXrnoWsgHbB%Iod)L`Kv~RsQ z7u{wc){pj<43Rc^U>d{CU7meY#3b5t_F~k`ZEEJqlc9)r<)W6pP+Gm9Mjv7yXaA~? ze{xb-qs5{iBY+?m1{D;5<0$$*@c?zqDv@5ihvYT#fczUn%O=BO2>uP~G9KRS%zl%@ ziq8z%Y+0ogkbQljvK>0BxEH!#%EMR{7?gR()^~ljCTxazzRQ4R^up_po<`~p_k$hv zU55QbKd3nidI9s>`dBtTexn6`Z;Id58UzYWI{54c=I+%n`*gqV1-lW1fnhZa2VnLH!L81fn@`oT49#3;TN@ zn5a2;rc=L=djY~(q&lf21P85ahI0=$hgbdqF%_0@8hOI9i`Ju*7PA?ZKoYLaiUqke zhc(Cf9(B85RoxGym2~rQ5cH)XD$2>Zw*`idZdHiW(sZxEB1=}eu1VfVR}a3r=G!GF zXCZYtgr{z)SS7$t6%_SIAlZTG+D>PO)wk;tHU9wsiK!0=rk?9=DXyNt2-eNEbjpm| zMl<{h0&g3EFo0WavWPHn-;)dp_lC^(JrC{v#Q*$(4z5<_a!;^*I3&hcstxKI!CpWc zW;JZomDI#c%>>=z>Y9yIJ@zTQ6GIbw;24z21e7&Gs~p&&vkP5ikvOn$-+H#G1rS`* z#*35z5WI;|9GYi+ocMUroB;$5&66h=GHkwxa4-QxNQaTIK>nT;YrX$36i0NgpW<`Y z^r%|WQP7yqncDeoGL1&fU?KoNrnefgQ{l(~YgpsG=>v9jcqRg7?a^3x%(2&TPb)B} zL2|=aS~ZVm$%05yRftq1QyDe}`39zIFw-H->2s4;pZAS-==m?Ct)dH)DO`h(--?{I z2L@tuJkSp_oXlG~r0ip0Xx|>LwMJ~oaaV{P&0*!ziqrkC<;!UDPZN`-jh(}HgPxt9 z&K}*ISUgCLVrfrr>5vJK87zK83a;wi+uIL2=ee02Uh8CzV0n@)HYQK-`ER|%Lk<+w z6a6HT4mc#A3qm+ah0W5^1B?lQU%!cBBQiC}EJvP2Bp<+I@pNii-`|yt{6}GAV@}$s z>puzxv=o0T@~BB&g*$=TU#H`-j<`E26$V!s$;8?dQWKrFssEF zXZrQNCfC1d*FQXF5K`bqn{lXcSq`xa=Wp}9Io5NXDCk8>tmu>3iZ4qb4i8@(fBZQ^ z*}lK1bokgm71w**vVDbmaA?CM%OGWYv%3iYK`j3u9KGnHb|xi#Qdq2YJ1HsJ-zA5$ zv%X$Egg@p?T2V>r*8B2BCmYAe2@5 z!b5re-e9|Mpm)-raI=blE)>uw>_6D^oKmm{|LFwOMcN#_Xuv1qPugik%zx8@K24nb zn^wO!`afwgN^XN#E(m;YC~kX+qVWl6@0x#Z40^GtKb64l6q8@{5J%vZbz|+qk-n)1 zUINC?yRsqn!{mFD|A}CHgMIyJ`+tg&+lfyU^!Z|bI^H>Y|C0Qy6D#-*Y2IEC)Pw_l zl6ncHc<4>17dxk6iBBt>{Z{l4(!92)_>tm2r5N9afBXf$lr_)I1^=oB^ltJnF@`NAuLAp&v~v`lm(L+Er7y?ZN!M|2$zk4rz1m?C(6H8KGYz zT(3%`PK{Wd0}m3;zVY=<9)j{N_i8;LNW`+jkoMQ>9AgE89DQC zb`{Qy1*9Jfd9XjJ%)I!;)pqHcdpeik^tTb zTay&KC!zpa({vA{8X@Qe?EhR8?pk!3<=n2yrg)h>`TCG7389Fb{ms49XHc<8M5;iN zDioJ|)Z_F0w&SzzdG*HR?ZM^q=EQp+-2aU=qV(;K3HRxD{AuyLVSr%g#{)CEa5%`e zWAkNue|~%8;k0Sj$j7|}SGM5h$8PuaK(1id>Ag`drEAMtITBMhGNrxm=C{gYyB*t` z^M1c@>&yl7c|~Fu*GoaSPnPte$7YvDH&~WaE!fC)&5O%w=dIE^XQG_4GQp0wlLyy2 z;X+)R8M{BZ3g8LS4l@eR$EaP}fhyw19oPDGH>A|fb2*Lw<->Nt8dhXLb?(x(Nf(#4aKwoh`e|^%r}G33*#p!1^;ClY)N50l=O%V9WCRwT;Y(9Z7uUHk zaDHFAvgzx^jXN^s`wZci8#?IT_P8=L`gxf)C874%b742=-sJa~_~hB~2Q9~rr+AyE z!d9_-G8x0!!{w6Y4=sZ_PH4tppHqDL9AG))8{9a|`}1enR@&6*lSzFW{POjw!W!-` zm-f?Gw(q-Rd{fTVUv^)2D^t&Wyw+d$bxcUEi&^--A73-yZ-ax;fm^D^8emW`-T{E9 z_3!e=9u@uNOwh2+oz9^exEswxb&TkQd8NWK_KPbVBw;$b>q{rLs5YrHNSvJf%Ec4S z8ZFFdRw5cR_Qkk`PL=bLiYA2>63NxlG_Ycp#OoQO)jWlnzQm+RuhOD{JGyTB?yJ4? zTD2q1TbHF`gB$K28-NZUWkju1%)MccttIME`c=t+>Tkt>@@6>ve5j3&qw;`T4UuqC zI^qWQV}SQKFf;uVN-pf6Sh3W%zj6wm(Kcs0^tBK6g5uyS?Xb|EYi2;p+tW zZ!SK)_gmMtqt8nU^6QJ5@+Rp?u%UgC>1D1lGj{LQF3cYD((AiCwl%rqjkK!AiQVw^ zVMQ<1R1H>mp#95*OJ^KAXe#Qo1R1N5GKcsnp3USbLP&Wq31Eu&mNEtL1#N%(90s1L-G5@phg$e>K&4mxl} zo|w&#Wt;YgL47{yd-g;GJj}M*N-}}Uk}9x9 zC87enFJrYOgaZf9?|Ybf@RgCTJU z+4LYTl)s}UCo@T-)_zp}cEzAm=qN~AsR*gwrk>BB5i&9i+Kb8=QD6Eknw(D*S;0gX zbb+xZa4*Q*DDDmUnmZ1tiHiBQ_1F<-J%mdO=bEeHGMROWWqi+-%AK!>N&;J>40;g{qD&O54_*R0-Okb1lV*$Dqg?f5eiLoqVN)hK5Lo@N7yAodU^ViFeO1x2} z9BNOGxHRzPf2eT3nDqZ2wTJT0!}nMLm{nayv9TV1FMg}7?-5z(Y6}8M0M9B?91RaY ziAFoiWS?`26H`$KLSpz6Iq}H&P6bD%J1hLgrJi4z*pyaymGy$WYC; z3H632x8)N;#BJOh-cbA7LPLpEVa4LeC^*RH_D&?a!k_&^6JYPA3Lt#&3_g62>gTeA z3m>e6{jp)f2aWIv;NacsmF7DbD&UDnn8b4yqY#PFi)GJ7!+$|3l0O;;zXDYZ`dDO7$;7dUdtN?04JXT-)qpER9en zK)k|Z%7VYWTW8s9^YPhqSqRFG9B6y!o(9J>B4{a$jnmSmJ}fDJ-+r8rh=dS^%JJM< zFow;jAhMF=VWNgsdq;|1TFkc=D#2TEKZZ3;Uut6lV0<8#`A)N>7Oq#q5Wn)-6&z5U z^P3SqsU3cysHOC9wV98r7m}4$UkR!t@k~`&Jzz3^ngW&pVu13H;xKCV zB+Ir)YZSqdmthh-)WaZsqMtO+R>gv;t|lA&D#xK>FWz-TK|Pa95-W`g&VrnAYF=59 z^hnFrWs*~F7EmcZMgk&3{^dNmAyFu;6xDH-;-TgsQ#DJP@Xg`A*)1VII|4)^>*uU5 z7C=hQP9U(zBCx{RoXP9GedHrmdf(LGtPCI#2m6F#y$$k9%1wuoRp$Ej7147jVA9q zFR!;&X|`rI;Re*L3^A!HGAW|mhrF?5pwU96#g@U8^GDB)vNowQNn%snvDc-jvxEC5 zbRpEFs_@^?Mh+S++-EYl2PHC0)dy3kamnk*Tr(oR9qv}Dq3u)b9;pV{Jt8HnscAndaeuIASe zha-+8@|#6zAK756dkQ)-t0SFULPwI1?g~hfC#)WLg<0&Z%a>NXTD+> z@-j~1zTAll9@S`5y1uFYZtAIpyt(E)wh?9rGG=js>=z(3Yj)*wK7C8hKxIdyX#z*a9{EwW80oov=;#9)_kudYe5QEGrtoldJ zY}A^PsTRTSiIVKrzaF^&4|?Z^T-{>I_+<_rSa4y_GsiImnF{>YnofrK*2f;xdnz^bWmYdIDjM+N!>XW|1VBEr?hMnjclJ%s;?8fpc~6qM z$igdKj7+yHQx|%AT0HRz@g5Bss%J0(AiPY4asX~!@Sk=)=7D`D%Oi+)h7j2tKxBeN z_s*Fe9+(}PGgp`A9T(MX&cRu*XinU1h<3I%->;4m7;ZDK?XUd8oNnOI zf5)Wi6GdrN_R3am@Obh4EK8{+-^dYZ0fwg7IQxk?%sxW>~ zpaC9a0ZmB0FoS^eNkWF#vPWLJN8V}3z1E1zWt78Hl+f<97(8NhQ8C>cN!wMmN`#c! zdqmZ8Q)dWmB)1Zh&ImkYJkbx)!}*CFg9#Ai$5oWlWcd4ztSvjlzQ>>X_KzetQG}T6 zUP6MqF$o@KL`67LEcrTlzr%IR5W9bT%C3O~=-p54Vz6ONUO%6boRz)?W_m6@o}gpt z1<={lCP}W`45K)7%(9}irKxEi04Y|hsEnO{-B~bbDS;`i8XWmM*_}#nmxTvFR zeg=SLH#1s%v6cAq1HqC%k2MDa{wE)y`Y?g{aU?}}XxkwEPLb(^5=A%w?s9>Y7X4lI z`i^KB0Ebqqf7q;tV6nSly##y}gwYT(EQ~}Cy4E5Eclugjy+F_dX9=-q{O7oV9iyS9 zRJL(yqsnoo7V|AAGAa7TJLftvlm~=)-gV1W?lOPZZ(OtS65-Q9sDXc7lW~nizZ2~o zwfCU@tiyCfW4Wo#{6EP!lYCKsj z!LHYZz^)FfGW1AgQXq|T1?JD>IdZdJcCp1eaGqt*d@b5~3Lg6;B@;JNnkI=Vfk z&8;pXehbu*U=T|3j8rZ4{7%%scM*Faft<%bwSg?K8B=pn4|%GJVbk)_;co8up~Lew3!D_Ra3L=XHzqwM;x2cj?uDBX3-e$ zq{67uf+}tSbjB|R8POw%6@}WQ;fcZNsC3RyvIDzU%ZL#x47~Kcw~sKzODzk?DvO4` zm2ytOQjGoTEF2N9ZqvFU>HQyaDz9VO06%uw* z8G0;Y{e!%CoNVR)o-Sv=Og5u~kn2JV@M?HM1 zCSv!O&d4sMq_j<_ZSE^$MH}d}$OCd+>+qY)Je3oK?+EYv>j~KN$hO zns!7L)o=(b2PIhvpFSU z><`?A+=}gTXwwLKRG@!Xi0E!yUcfVFAlqu@fbYIwo-RV>6>S{vx#hexffR%9?55kJ zXLmRHe&}(yU8fTqMv?_QeM}Aw_cg~5>)W>!f;!BR%(fVusAI+p(N<{rT$Ync{?#Z% zQ6NC3w$S>GrKm>OV&%V~(i9%=4s@95vKyMn4dxLERD01zkQjm+cMM-9^sVbd>*JPc zHOeOFm(0~aFKpnGO9Bh5M)a$Nofb?WF%dTz0SS~L0pu6k)oPAMGJwDOw2HxJfM%KAEy86JgfA@AG_j>)i2NfUJK`^*jA=AR5YKMp(-8R2;fbWAuCD6 zG>-X0&D4Xf(kE`(8lbs5G5cG@%vpZ#-%eqL;Asz4HZp}TB?SI;uyM2T_OZi zkU5x90|@VpmQj?BkaK^wcDO)bU;FIOm1Ry5#jOq?ip@T&&E4`%gaRjBIc2S5$=gL4 z>g_%Xyukgu+C4-)DzJ7x#nZ)_T5<3v$oA1OY3`96T&gVH0nnG6_iQ=T$@Vv^BNZ}O z5~4Mfj&s~(V0&ET5v5DF4F@BItrSN`#TC~KlCiCbzuLsyDPLcmDCD8pKCJudSwSA4 z=$(Ti3-Wu&wF0TWu_QvSU$-4s6>4MdH;7N?T>_%F$AiD`mn90vb;5AQ^T#>-aH8~i z-0htjZ?z8EU5&;QRKPw$hqa0s|{`GEx;h2TX$DcEgg;nk_Ui>KQ5hnM_Z2j>aID8`|Nvs-G% zd7bw!h_Q*r9CPv{vc6hcfJXPO+2a7H_iv3H_Cb1|q4SC^`@j=A?M-n(+kOoN0f;}i z)QeZbE>2B@{X{^;!nYB7+&(00QsQuC`U=n!g-_lXSQdn0F6h(9Kbwbp+j6&nV|VAx z63emA$XE*!X3~yNiN|yWfjTNEm>zprT!_J;&wPZw=;ntQnDJ1sB_h=40v~p@@%>5b zs8j*af@Q1qhZ=xD`jR}^mHoH3o7wwMB9Lj?9^>nz@u3OrRBUkQlNUhQwZ-e=E~5c) z9_p7-wK&z5vN4Y?M)v=FsGsvQ9-^47fR8^HZStM@;kp6_)S-DPS*{5}7Jnrz0!qawM=QZi^4$pxQHC;tsz;==hr2{wI)hGO z7-b3|k?!j=g%cES!H^eTO$m{Hnt*v>_I8;+Z}hD z8^El-9n2-+WOF1s@`{d9AMSyemt~|tnze6eQX=8)Lr1sg0yy1WJslmyMf1Kt>uUQQ z&(TY4#M^CyAO(}M5~jT!WGUu(I|-SQ7P4R>!aow{^dV(Q>1&NtST%(Z-a`O*^2k*t z#i*{3su?my{qZJ>A^_BM$`JY>de23PtN@aO8*FL{<7nmRLk)E?rFHH>t~rx|9zGrgLmb^q5HDYa`nv-am9+TPI8044YBDaSug~Bw zoFDx(>2CSeK=T}x21{o}$A>lQn`-)E z#EsWDIDncP(Yp)BX{nUuioi)7Ed+XDIGF&Mf$bV54pl32FMUerb;xF}ryYexM0T*) z9#(+>N#9H3heOUw8_|VeLPvwJkjXuh5IZOWa^_=AA#Q!m4FRy?I;j#i%4#fW^{mtx z^Si_CS2{B&f~(jSGec%|aJAi%%_24$-?^D6 z1YL`IxxVtr2Nis_AoDxtc9hBG_BYS7p<&4lsF!;I$nyS?+$IYnqTMo)k- zgPY9_6jR88fl+j2vSL$ zF6>!7wNVyFK6~VS=!xp^C(qla=RW+vxBij^#~2ASS&!_W5f4?jPFLp_OGX=T@z%CK z`yOiZi0;HvXc_sI^SbnYi z9qiKPmEfM^l(0C(9Wc`S+ldiM`hB>E+WXYfk~41o>e=wDQ0$Bo=aVA#3k+}ggcs^} zjJ%#&O+Il96CFf)D0^+UEl}(uV0JO-x$^4DdHpEY)_%^ZLv04JEh?nA_0dbBX>6`( ztSVE^Ost$<*F)Ey+Fp=2$OY=oLDfyqA1oO*w+Ggh*N-yrr${^M9qphmzW*+g(vF2z JP|O1W{4WgOQrQ3i diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/fileinfo.srs b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/fileinfo.srs deleted file mode 100644 index e7002878c001b2cb869a298f5dfabfabed6158de..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 403 zcmV;E0c`#siwFP!000001I*RUZo?o90O0$c0%huPrRm=`^*rsmH$ViO#48YlN!7mn zi1yR6omO>gE+O&3d~sqknM{}uT?7?BSJ0wH12;7*c-vXv)YXw286zs-8l~-==8I`L z6Z`d&)4};l5^#eR-?f@k)YG&U8+JS7VY3@qGd4vMDQZ}`d4EbAv-*yq-LEm18bxAwtF+y4bRUK5^UL)H009600{|eHpz<{e002YdzNr8J diff --git a/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/skeleton.srs b/gbe/cores/GbePcsExtrefclk/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_mult_srs/skeleton.srs deleted file mode 100644 index 260380435dcc26bc70ba6ef88be3e618511fd504..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6095 zcmZvARag{&zbxgV(jgrxAqYq=UD6;cAkw|WN`o{kEQ)kXBOxIzxFFpP5=$+J#L^2( z=aS1g=YJpW{qA?>V}#f?j=^EayFT%#tcMC$Ih= z^ZtJAqwWg9f0!1Z=GZWN>!Zhm|42Jskn@5frCbn9b#j}My(%F&8kDYhJVW*if2ht&Ip3}hi;0A47z z5?-f?)RM9Kb0sMc*$whF15BqJ>UL=uefK0BG#=PZGW1!Q3RIT!{>(Q$96Fu~wa4o9 z->~*|7lz%pb=kYMORhtrm$-u@6xhE7Q~Aa&xpkVb&`m<+S$tzX{6Bz?*9D&A{xg zu!HxU_EDeCV4XkkID#DUnDVAYa{1PZQ ze{)yZhvna@;sseRS$`$98znQaU{>;}mQDx#_E3W>wG-cDN`9BFZXo)`MvNh6iPXN; zeK2wo9UN1?{rAmMQR5p~;Eymdh660Tx%5UX;YR53Z1|S=pt8xdO&7|1=~Z{L-tvbc;J)-^*4>k-i*P0P7;?Tya^7 z!!mzr4^5EoBt-FUgiF_BdjY&j)$#&Fg-ue(9oIRDO@r^{f25nk8;qF z^v?V5HUFzn3xrspobPu zB!VE}^iDDELyNF&XqR2MJ16v9a$%ZR0u5jEyTuO@l91dITYvL0T)a!wd?2GLp0ILI zt=^bz-HU%2uX+Hicy1g~3D*OryW<(yQmq8?Dnl9 z|7<;ma-7wNm0VvxiTE^6PbM8l%n^M2uQSodUg&g+IQwGk!R>M5hr3m0_Q`I4aYhZ- z@^jgRiL^dM(A5LW^(x!~S@0j{;XuM~sY~F5mmT(~{DaN%l9IO@(mi~}COI>-;fOZl z8I#G+-SlU&>>hbG!vpL}|JeI)lK1Y=_}8D&vS^-^@YN3XZ$)H8U>M?ZBxj_ZKC?L_ zM@V>Fh~%!z+apr})*YWu+WN+fobYz^LC95@F#C#ruEA1(G}4oR&x`K5cOHLft8GB4 zM)5`DBYpDH7B7&Jwz+qoJM%HA0;}=+qx2g!n!wD_zpwLLL0*Qp-rVPGXk(MywMx^5 z!m9ld|CzIR5v#?EP5-pR%0lPN@uLb8dT^%irHFK_X$RH8k!s^6Rl z^hLx3fA;SaADf}&fu=C_(TTlK)0=NXF{x$a-)3|I-n#B-Xj~M;SYRB(&`L(x5Q7hN zu);0(Qa%32eCt3HSKINA$(zex*#nqx^>i0$08?| zC2p9dAag0I;%&;zX&;_6L&?rE=>^!kNO*5uZ-Ro(`!28gTtW1Xyl;qO)+yU^a?j=9 zE3ggPwF`k(6ipn=$d36426B(|FxSq%J+Q~WCb2fhbY$X-S|oSi1N1RFm!B#;)&t*w zQcizfSRtQ*m-%}ZX3vUPX2_c<3P+-nx@+tL3O7i>w^nRC?HJ-9AeEoVgKZ-c4&aXx<+GV zJJWhzBc(+S4q{s~3E5`ytUx1ZOhD})lX;XYGv*hZ+-%0C($jM5!-8&PywBf4a^{*7 z{f||9nmOqLU~R>aeaLHAoR5~6DD$^nQWnAxAI8=vV|)Y(A}q(NnlH5S z#SYEdo!O8Q16-_=%wA09IuwEpYAd|H zZ+>_HVX{ z0)+}6t4YD0$fL1H(VBiz>+hoO{Yy0-X5*%ys+XNybOZl=1~+e9nfaV-J!kqVK3a63 z#o-mu3}Zc#Sc$8iCvOp6luow7vweS>5NJ|VT@=ZJE*bDM%Y<*vgfO+ch-lsz#&*rj za@*}wnQ57g$995;_!e<`r|3Uh)cN}{kl52O4!QAY=lGx$J1L)Z@yk}%ht=-$?X41T zEpSkKx$Cj(4T;^xP7~OFGYm!=*6W8zZ9PaHePQUn$2t9@lZe@Ob=Fsa9lI>lS%m15 zYiVx=W+l)Nr@vA7?Pu+3aWi&k6LWBRJkOObbI6r)?7hQpgI*|*#H)ndxchE4PZj z_iU6bJ*vJjo5Hk`IEH1cu52UIeAKfkwI~QyNg|bWKOnfFNQ*jN%LPsQrf-!odHSZF z3ZtnIIPw>rgTKr@y7tSX+s}guzL?HtY+;{TQGa#Bj2Zi|{#qP8~5=_cMN^O)T<3O~?g7n)Ml}U#L)qWCQ&XGL#v9h%? zYbl#eEbm7)#W%8RqqLYZI$3Sj9P1+oreTi+a!N|UhQ2&H;SL&Zp{4na1?DvjYdw@$ zNrPqQbYQZvm0;vi@N*`Qili(7%rAk5uKn5JIXLvfSN%lemq^syNq!gkeeab-3z8Z< zMX!&0Lo_a7Q@@j_vOmdOP-RZ3-nX@Pq|sUFl_ak2WzZjZ7L=}cV4%lN0}7!#bJE|O zq#>-QX&Jx{HjA9gl8FU5kER?xb#T2m&D+obBHhtc#9+CL)IMF{ux4S|zas9Wexxw; zIJCa!=bGGG-~x?~%h)ayF!l9g4jA*hG36mMO#&qQ4QAc-S6 z>FN^)zxbO}m6?IBBakB(>nWT4cf)n(37pB08;x=3*Ntu7VI*`u_y%?o9TY9=nT~`i zt+T5j%*P^giTML4iMO=0Jtaii?3JMX7&)it>|nZk2zv9O^v0F6P%vKH13A-xglGpl z|G9%$Sh0yyp%Wi?}E8PyU)@UOb|v{sCHXDCQCAd#68PW5N`p zU%L?R$}LmQ*pv_BlG*Y7*of`sY$!I;Ik!wB0>1Z4|4yS`$_j|_q9Qfrylds1m{viE z$tL@3ZIAFj%zTSs@|$AZe-tk+(sZ5@p(Q4Z`yEz(KEoK_?XU0G3|LLbB`GV)Oo6kk z`NfB;i)K0gna+L`^$$#Iwi<^NNf#RFQkdtEqODGs`Ry^P8acib5cQ>p$ThMaP=bR5 zpRoo|J*$E5E45$LPXKt-n2YNtLv^#gUodFwXiWYg)5=LAVCQ?mc z@{?9zqz3aJWHI!Q?BDW73hg_#21z$`yKbMKJuG_0lW4JYvd|Ywrbp^EP zf00l;ASRxNcun-lMita$nsDXco?$iU!9GT(x_&YjZ<7!Y5 zP<2a>*)6t{#?B5{vmkwMOKMSU#8|;jyTq(ue%D<_;~{Jl8_UMGqYbG7kb`DZI|4uR zk;R(S=}5A@XMiEde@N(b#XL5#+4Bm)iTm5NW_%?Ym6xO6Y}34T1LEGxW2KsqEgG_~ zBzf=m+@_5Q=W&nosY66fY{C&0Vtorgl-$-o1n5kaHiq2s@A>VULi z)%rEzx@XftZtnw_cirN(= zrt>=scdmm+KPahhq-^i2Hl$Y<1JO*e0DcipbecDM@Di0!&pAh+FB8;X2x!{ zfC&xr)L-YTYntuluXeh*V5JB5TcjcAPvMOtIdHlnAMXLp&UHD#f%DXpjuo*bOw#PJ zNvOz7uqE9tGA%?l{i9GdjH7BNVA(0@m#%AT8j7DY6Qs)Cp}|o8DdEcE1YvoR>^0;V zQ>#dv>(&8+fTl;s(Y9snNx-?3@kC8^s<-w0*<5WdPK+s$>7@jiE!Bj9YcJWZRQt1^ zQ9KZ7@Qd6$0_8(22t!u>>c?K3x02J`@lfhuL`VJsr;BdndG6DNi0^uJlAVjojv>#M zPII(X_a|n&OD(Eb4A?F6(PsDGw=n1DHmEi?_8w(D7E21JP66+SkS!s9aYOthbI&m8n$_Ddz^2~w8L)3F{tx!A<%tC9cnUK+OB zF@2ixQslgL<4KLFKG;9Mh_m(>a@!>4{h9pEx|He49AIKh4JDX530OPO1EZp{iu1lo~Sr(o+)@ znUDDb9?c@i$1i_z9K)t=aY>Yh0Z^=+8HmDE|zQ4(RuaJIpVSC&R`*3W+ zl@m)BWz!%FB+Q?^z~-zI{Vs3xn_k;&Le>W~%V_U^C!|7|2`=_;*;+wBHplDxr<-tP z%fWv(qA~)gfT?@5=6wp}w$72Qd#~-XU>fQ_LTB%?f>58wdtG@ST4Qz3(-|^W3tNWV zi4Q%Y(UM_9@3TOJko&op7B7 z+|w>%i%X$==ED;WduzK`)kzdqwI$^N!Z{tw!7KPYff3A*~Yp4qCZh5V?*$#$D4 zUlv+{V%lkvIRVD^Y6PO-gWgjKIDalVGd-~ZU{yTKS4)iRh%FUF%lxqAQv@PZ8?@B7 zP+SU*@Ayqq@N|Z4B1ZF(k8X=Avi$y+WxItkFF{3Mt@Qa$o@uK%qrvcmib068q^?M z(#>PF_Cn3_P1SbkaxWFc#J(r}(C-dXk{H?o?>f(75Qx9yMh)W!Y56W{QgeQ7 z*v?wV4VWrgo)VZl-1w5FLLuN7jLFzdLk9sH0yRs0dK6n$qF-xh z)abAWHU|pE8ax^4a`ZRByeLf^;^{x+mz=obF@xRuU44zbIyg!~Wq3s`7oXOZlix(rmd3_8 z*%ys%170(-|7#f*UUVQKbed11gta!7s<5fT48fa_zC>SBvZRSRe zdZK(8ESL0`!hA%+#IP7JvvZ$*xPogz(&aDB4!^}0xt}pPqcKzcHY?k>a=wS`JVD9? zAY5YzH?GpxRvYBc5xd9&X$6*pSMI@B(?}C5iT-Ii;vHO)UO5RhCTn7EflnGZPCKrvpxNcs{$@YGx>fl22~GPjl;UsTG=n1Dhf1! zUjq+Ka%cVeUtR@QW;A)sF(&Pb9&?@X5031bg($Svt+ao}ZY6fr^ zy2l(jUo|4S>v;vt!Tn#z2<GK32}z1=&*d?Kq3{1eAf=#m$)s7jz$CUsd`rl#Qg6 zGW>$+N2s$%M3)f6POhfuY2p`V1MF@RqF^NnA|tDHHX{oMpzKV@`8_vCqC~%W=78n( z&-Q1*udGDWT*fn3JhX!2#M_6yeRXt@7pbaL^*PNvN{Y)=w2RCfp+4A@llHSqlpfXb zCp19=R~K6;Vfd`c-857#E?ph&v>COdgu+uj3>CmNwI`6xfEGd$#nRdtumc;LOH;j% za=o{-zRKj$4A-HHil5>V@sH{0t@Zm(yxb@5kD^acGtz_;-dGFTp1F%SaTI(xdGXPd zVyt_KWF8^dVfHas?~C!oG(B(AqrdLq@a~t38p^uX0RmC+34-sblj>sJuZ_YhdqK7 zQ|Rrj8n|h`krVv>vE5e|c#B`lgTHg$NBnSp;9t9_oDRd@E160zv;;yf4>^5r@2<9h zzW2xH=f`(jhqXOvi4cPp1eWUNgV~3pd%>Yy7GKEa#dt~+0@#W`+#yW49Calcb1LhO?oL#QpUvYi9{2>e<}WiW zw+8y$Od^(mcgiF0#C=S(!C3AH>FV3>y>K_0!_nb_(bq(2kD(yP4Z22(Um{Jc=hmG7KI!mLWwZ5L_whdh(lqk~t z4O4Q4kbNu{(&*&_&`=nV-!2fPW7KBNl)jVR44f3xi0Y6&5NCcKrOv>R`g%y(73#9f z9W+W>*z($JGP6vYYBNyh&lQyzy<#(639DDXEwa-tFK3m9IFcW5ZnP|~Iw4vg<*2({ zdkOgnBPV?v_q)boStS2`?6}B$!lsn}2|~tt9z=(qcaDEIN?4M0*~0OC?piiiDgzD@+A~mZ#9o&7>g6)`6qUBJ(m2Kh!|?{I^tXRwbs*)c7n7|A8V(# zhjBg%Q4VpfRT#M5d*+!0=}QI8)>Nwjo_VSXe^eDb!Q1&&DSzB5^E}s+3Z1RSgi8Z_ zy#&zsyTbBxOthCUj4Eh@jq&!atJc6)TxEl);CR1HwNtoi549|w$2JMq*MuIea1sru zut)gFX=rrdOZqHn==O_=3Xuh+BrWEc*b=xPu}7W<>Mfb;F^2Ld))8(m}6PLwYNaxLwD3~4ZCt zU1NL2u4iuk^;dSP)6X$+_3ID4-@D|kCwL?m$NgeuN+0xWHksLj<0p`0(eN)BG^#yC z{rzQAOjHfgI+aoa+V$bR$9!QnbM8wtI=T?-+(T@c>N_8dY+`*aW%TbZ4|uW z(_z}P@sf9e(yX?(2qQXp)gZf}GO<%5#t6IGHpo5*FP72(Z;GyxilE##de37LqFh$t4~x?lK8H%tGE)gBUm59a5mV#&+l&2oASKXqSx zKfPDSsOfiOjIljgOqd#GsCJ;7JnECE(NR|L98zAJfv1GXA8$$WT8Q!TGp~VH^&-B_ zo}0|p8qTiQFR*V)giI4tB=x4z=vJx3nQOQjNsobl-cCrJe=^H`6}m=xgJ5uHB1|>y zDyh$CrYK@z$aYe@>G-6t919zj(g&F}2+DSMN2?l0&80Cvs!jI{z3#-}!aHt&@-XVRR&< zns_^aO0zO^~)?h|P#wMXuIfqVEZ(z3xTx}Cx4`lY@MW*hNis9<4RA;83ZK=P+!%+wXl3Z0N0k@# zZ7I~&MH+FMjd*+{Witv1`Ic7;y!N1DskHJaRO;}yT!cahq#9^;Nz|ap`(K4@D+5xkqya5}^ z)vo#UY~mBcauH}I-bx!NQgc5cXK_-U!z)kq8P&&x6FnMV97f0T$Rg|y(kW)|mFVlQ ze{C7JB18&`)RK-b%Zqj@x{8X~p)r zl8VYQ0KFpU&=OLNH#`tq7c|U;{7$5_WS^W+>hM^t()N<_{dM+woU#BON`@tL2Amb8 zT%$mV35$zcy%LGoJ^G;kBL-Ay!Hm{#y=R?e*tib>GzPzyx0#3fd>V=9g;oSt-OIFS z%1?#e{vf~2Lw>7H?|sG#+FMCdw?D6Mz+nAPE{;)qOs&{;>;b6K@ASy;e(z$rFA#nY zy}QILqyFy?9kon$-}}Ae%s>d_R>708Xg=hVL0JCfF82aUc<&d@;kir+F|=Z@ToVw& zj1@#PM~#l(9sRwBk4(YFIp*;+MZ$Zlf}5E=sWpteRh7udjL{mc89xqP>ttRzxt^^( zSEv*}0tbY*X7W0SRkCU=DY0(&uY`v;W^t}(r`NIj5$ErU7gEL&!-WS}-E1NGc$f?J zS%-e!{(Hqq#}6hbje>tBd*bhMVXt_(XEjz0Q&IjQG8;-t-hOMT9x!!165COKM#4my zRK4LMofg~)PkM3CAYt^SVw)_M-1CIC?WClGln!^wlk#jqW-{1EOX3rZaZH+|TNOfS z6$p1~;f}ol2zzDUsA0pJ|DHH89Wt@Xby9H)dOn=_Lzp4o{u>yit}@D+??-I6%`F}n zR{NWzYu?`PnMv70oix0iGWD{=YLy@rYO&o9jN#>lII;ZvyMpIJ947z^3T&lXw08mG zf!6I4%5w@$Tl3wIywVgO{a(x60u>c&;e1PECXXjPo~ut^lLP*LbCiyT+sWX?cd(&92PT7=p?m`;0n+flRA%sBDhpyZ%h>Fc zsr=Ebf~@M>h3LX8|376X4FbrsmyD%<~Rx&P)IXM7x+C>*7RTKW_=w=jVAN*vVi-DgZ{06q;uR5 z>1Ox*fbEF+j)~{vPA*i{rLMmwIL75Cl0L;Ld_ul%sVzgKsn?OBW6cuveQkGS)-v7d zNmuK;nc1i%LbVgQJZbW68{=fbzj;dpp*1wi6sJ%Wkl^**b@nI5XqU=`4qiECPa4RMyxog~Db4Be zx0G6%NnocvsYfRKwHyDBxo%=!)*nkYSe{`CR{LT#X6}az-KPa~$BW*|7?{5T;MlA> z(S;_!S-xfX$aLp_^Bvc(w^q~e6O^Pc>@tV-Slq9EK`9_tkS8SMkm+$Qr)pm;IP6_uwl4giYhezB<6m-@f_GTn zs#;;pMO8|hQXw=a6&0^MDCZ@{k;)#Fh4k4>kk7kPAwxvk%LR9T7SBtnd9wYwYr!qt ziX*X6-lMpJhzVpc5lwAAm~8$da+Xg&9U<=oAxH~`%a-I$t9#Nj$MhwfQw>~7+#xfj zAJn6@(|R5rdbV^2%B9JdZHp(FS}I5S$)ZLrd~eTnqIq6zaR?g$>hO;j;J1MefU; zoyLaK!MD5SJz-t4c}BXU zC@|bfkWK08{L@uT8kO?6FrxGizKM40)HU0+(fAY5B26yG7%EaT_o(EZ$xsh1JrTVC zP7@BU4ZJu`L5bZETp1Sg(-|TV>$M>bJtV;DG%Y@+8zR!)KEk9nUUa&XTRJDFWSL$! z`Z`Kn`&!sj_n?}1*YI=J!y1NmjpUk(0QK|ZxJELQJN^>UIKk2szD=94R}yPtFQ{^> zRz-`)YrTG+&s@5M&D;7LbPZeJnl1C7v~;?Y3bf{o_2=2Fwln9FX&t z)+}n$rx)nWJsvueqd3gUiZ|6{fw$KYy3Ulg&K{Fh-YTa#sd4iKt?i7PI_A+ ztm!PViDc=;I-S7qLw_g7)aqM9iJ`~++RkU;#U~}sqorah<^wIs@wFYQLvwIG z``z>rU1v^}1SWq68bI$YD!VKx&BWWTFM!clM2lV>bh)+V+yJg!_2*3M=Wv683j9Au z+69;GUmmwt4N<69g;tlSZHat+@~yfgwO@*CF0(#LxnZn)vN|ElYdee$A@_}VyBcX{ zFd;UPJHg2JVRCDNMa%e8*sd?E7f8cD;6W4oacwrcJO?5y^ZJBI16#zJhfZ zgwRqQ=T|4)`>D*gYfh(=5b6n!Q4~tl(N|n@dbOcp_zW+#x~60%S%z2~58KpmH+Ft9 zb>|@c_}}K`H{ZIt_ty2&7z#N2c$M+!1=h%5fdsdal!rAzDk>O>t-9Lud|zB+AUKI|gD`uMj! zz^S%_?m29wBSyg9ieg5+cRj4oJ7Y50s7KG6sm`A(`WYkD0W z*Tw6A*56y>iHYt7pd5wpC<G#Hw1$GxfpC)J&=ZO=){DY8pvODs%lf=k-o`1vi;V93 z@?(Z*NnXj)o*71WYy0=)^LP3+=$f=(lTTqb#V?H*3cb2(YuUZ}Nawmjyq2nhZLRX# zFJ`9>QT1>BJiO2qn>WTx7N)$ggqD_tQS$5hnhS!ek83C84T`5N@Vt$bU!cGznU4roqQNl8$3m0m~qz3Ea zaWI7j@=3D@THaWiuo-z4PyxUbAuA~2f5gSTS=h62u$QP7xX6k|6I|c%`C?aZn;5*& zh=pa)&(GnDX9fPZk=O4QSc)Wpz>LfL(<}U2bPs^28+393V*qSltS|1#Q=xYb$FV(V zfaJ*HK?)lDpiwPgNoR8YT~RMO_cQProPK#(B7FI&cdMM=7@BVO=MB=8g347*;{A>Ze5sSUb^j>Bd?YzWp z*voD<5~`*0}p9 z+{?asBTb5;`wR5n@0V&Hna=$D{R1zz7Fh~>ASLsXBb`|6eFMTD>YZ2&yuG5oiCX%W zH-A_XKMV-@9C&+kmejnIYoNt*hh47o2Gbv!GFb1IAeI8|*Xb8q4HlV?3zq0Zk$v80 z(+q%R$!E>{1@&@ph&OEod$=Wn;S2MNTOo%bk@4fzBBP;4z&yvzLPJtgqr2R`mV3BQMxuV zZtmozUwX$+Tb!ayFh(TsDc+nOj!EWR-Fs8QujKmX1cb`wn=Hi(1nemt{Ve5=NOjFa zCzUM(NcBtc2WmPL^UBBYi#tMeX3T@|4}ui@&2uEm+kTJGCspI=&cVw6tjG3kxpDr1 ztjj3VPoJn`g1ZCbmRAS81te#~R8IQA(u=_4{cwm^70uoyQ+3V>{Vb`yZvhhE5JB01 zUK?tqol{kLn*&j?MrCr41(SJWD|3WD%lCxM>1CA?)8WYr`Mh_3b53ede|QbzAM|zE z5-YRxe}kpuHK}uJO*}|~uwt;`kO)|>)|RX)gXWWhm2R3-ztL^N@?$TD6dj2OIo8Q# z*&Xbs=zD>Yl!@nZ#+R8LKZP|~rXRX3KdvEacRWg)4~hAfSV=L4H9YRoI@Vk#T@rTp zSruunuB{&RsZ}6|caSIq#P*e0Y02(oIwTCn_}@A z(8_6QpWs|@s@tbiCSHcxRA-<}EGf36xQFSy_uedPX4zafW2Wcm2@om0OeiC4TI|h*j1}=s7Q- zxM8~F?i5#-3}x-3usY1}pUelF_1@?Kc(LT9uW7Q#TYl9S<59tnmFM9%V-JHD)IiaW zETfjyY|;_qD_E=k-RnA@Zwvg(aR~sU6%|Bu?dx%fTAUx#MBOg6BC5NV;evP!P$YEm zbfUXw3>T>P_JVa4SI354cnD`m-269QlJALq`odtG%Bhu2y7_Nb?om z@g06Ohb^{T-|zUu=PgO{oAZi$eBcGZr$7l#b~QWV^IOW`C(8N_WK$ta>dSFF`pNk0 zzn2GWruJU-fNnpS*K>{y>#`Rc0J2W3;V8k>e8T@h++LO zdn@N1i=V2(kG4D#>^tMaU_!MV_mgJL9`6%qFM0xLx|7DAT5t)!-1kY*Dp=b9dCPMm zNMk*JOp4sSu~yoI=3R)Zl>`^#^!WITzhM0FGEGpvnTgHy;I*dSfxTy}f!Tmj9M`)A zijB+HjBY~{3!(A&XS~t6-8uu=(#aoxWmXW*Tb{X*oFl6uwK|8{@rVpW1u*(xBY5Q!btL^5ZaLC3UPj1NtAg0}Y;`dkTPgYgGFA z70zIG6+^X(U;8&YmM7RwXh^1aEiH?)fR|cZ17#Tw83HA4j2D?bZpyEnbyKjCagvcv z#9y2B_12PsB_i|+1|+tNu#^<+^u|F706n_bdCTPm3l?8r{8f}9iH?($su-S+F_!@L^*L13eL98Xtr z**Wd(w>%E`oLAzC3Opo9#83^H(WxG1ova$(moLW<+UM{Stn0qO4#}Uf{Vd*imHfxV>8#>){*{-SjE%-qW0V$mgJz@!aGbb0$A9EyA2)=%oka|2d zXeytYmx4N|4X_ps+{J5hFvAtqHmG6|KD9lRV=U#R(Ho2Tl3-C;VNLti_PSHw?t?B5 ze;=P2p5u9{Su`KV@Ks5Do8fbjPOyy06y7E55OHj*9%@__H+p$QA=6 zZ2H*45&LjkYH~{!RVDBxiuF-a8L>paOc^g<8S#114K5qJ{k!Bt^$Tx{oPFdK&=J=* zvq)oy2!|Inme)joWNka8k+bK$qj$+}{0lO$7wZVoy(X=$OzpJYF2V0yYLy^WEtiB`^N-`&Bh@4J1Rf^SPkuj& z2IH$>Xyxvth^LKv1SBIJomUjUJb46E-}#;5F~l`0z_3m5xa=!inT=@atbs8fo!%=S zGG!luanC@C?cesz|CEp7^l-?~D~x5h@5&yv7kyZtY|FNHiOBuepWcWzYEmxG-aR3A zC?vNaqoBPgx)iVF!?@hK&MRNCq~_h-`bJw3jVvaMN*djgK6FtCQN(gk~9zg~tw@J_16%HR{KloF@*MC;!1|pBip^L*qa8nd1{= z{3`CCVcWg_{Kyi{)yA*!BtoF8s<-?SWsRmo?V+|Sj~FRO?*549j*&CkZ6~c705h(A zK<|GX;<06M8KcyDs2qfirlsjnt_S^(mn&Jh?TGlPg9rF=MU6*}Y@J6a?XC=0JKSCP z+TcnVGJPZN)aJnNRCV}WE(8M$!I_qPD?t=d2W$?N;?qB>PPA>*f4xp4vPR`;83fh;HXd$?kO zzn9?^r{18}H&#!GnR#D7d4J}rx9ugK*t1yA^28|y@9XpoZQ0yCr7%UJ3nzanO)Dp9 zv%BX({ZrX>Q3j$xlNgtua3HVdp$G!F$ha0|Eu@Cned&$Y! zv+NHjW2;0UOw@Y*n^7ousW6j!4^gk0O!3x?_Mo`IRjZAhOjDsM!ONB( zEQ5ZgwG2KIKPZUda(gy)mEyEZSvJBfdsK%@V;Vw?GS^Ciq@Ef~oq}z$ zde_EIDqh_N%s^Y*9bxwO^>c}SP~UF2lzC0WFIShu3B1G!c`M5ckMT@czt3_gM|9Dy z`K%g$jG~d(FIbvN2=YAMA+O*<8TW7r~&=(d@4z&u{Z^-wmx2ET^_Dc zXqV42W7+in*!|W>%}KXFb?|eKs2HnydR}cIDB2ISKj!TAgm^p%a2qgiU=mjghs;-d zLDTIen|3CF@BaSQd|>SyCs@-jE-^DcTr1lK(=Ex{{^cwd= zZP`X7&auk&PgC>83*x|%y;Go?y<15~He7Vo3@cb)qO5HKWXY$GlB&kb zuPBL5vj#kelear9xF*JY^E}Gyq+5RtOoCBXrzADZ2S)Hge_YrDuZu%nmo(z_V$a5( zC-FUK;wc2^hH92*_sXvFMKBWkK6qU;_v(ZGE%R`%A}s?LRRSqKk5^i0QVx_%tth|n z|8tuK8@M`HxY9h*z=pd$^6xl*i`7^rZlEVbM9%^5TnsS-hzY6r(L7&aO-$}Splc}9-k?mBjv{@l6t4JqG9l& zXsS}RT;$g^d1zJPh!&*L1`~q`E+~JBeAvxp5~M%ZY2xuJK_`1R~DPp#RV-LY}((slj=_lhlCQK&*QV9mgs=dkP z@TiMdXy2zHA0H4(`S0?{uZuh0d3xy&7wk_!aYJJjmY(u;TLz%2^IQ^%?m=!$n>@QP zZwF7G5DWHWE5Qa2 zkH6*3HZ;ogTAu1{^*12Sc-C=tw8+)h%-nb=J zhSF76RhH=+$C@gI#GOQ`wu^X-;ZSDVQJIscmY7tzHZQaB^eI#k=_K6}6 zf?xRUUXaE_FhD z+xH^LGFOyE>rM7o^m&=mvd3amkRPaRdHc+{XhA0+88SoNE|IOes;mO%fZw;&{>n7h zRr+6?tJ?`NA@L3E_KN3S=v8PqmBPiNKQE5Jp`{GJmJU!wMZZ7$3j6qj%Wk112m)^kglt9Q>ei z#z_m9+njUYUik|N-%!}}`pxM(;($of=~0}fy^7~&*a>Jb>Dl~ zlj0-`080dy#U+8wEzqkk^z>*jIB-$o2J!{`f|mmF4CV6gtOWeaPFJ_+3WV-xPV_@R ze6Uu!I*WFWhkgE3wBOp(D&B|OQmj9duFCJYX!q40dVj&LHzXm4Leo{0&k}D=?QZaP z`RekW^(N9{JQJrH2bet*^(IY@8dFALWtVC)Z}F@@HzTjl&Yz2llmHT@41P3fZVBP; zP#1O$a^Ktz*Xnf-XL$Ns!6RDx4!@2|@E zo;oM+)%Kk@lT#BOoBsWH&R{}YA+a}WrlmDbqpPu z596uC`7Ue2Fu9yb>rYd1_Y1CZwXUpS zC4)k%A`GwS#c~XJ=^s?_;W(wyI{6y-ZXvXYU9C6=^Y){#CrNp@t(B&$TyF-{J~O8! zE3NVxZh64u;pS(EBV4mdhj3Z<0+9KrU(%@vKQfuFZVdbZgc3n8K0mclKx{(F61Upx z<$MOqbU-KrtRoCtW4d;q3Uj=B>BY<|IQfQiG8s5Pahc41{eBYro(Ai*1n`QAsL4iZ zj@ou*BYA7mbQ#{3AS-2|a=7|k+fN58$fH1noOK-f{8Ra0(^tDs3=vP_gw1WQIrOK% z$&`+>R>cCA^IK3*52aOPw;@Znq0NO+R_(Z-Y0kM(RrWG3&lE4HxM53Q3`M%>idayx zRIpqZC!HA-*7CK?*zWuRT3T{c zMb~_GGIDJIb^WPG=&MLbwk%2dTQ4>a?y3wR{Hw2ZOCWK0sk`G?xw#;}*+%L+lRY23wJOB2zB_MMI&XK}8@Q_1x+Rx| zegHEFF9XZVfxF10-*-{X&Cl^%x_gu(lI!inKS}m@oVou3QQu~^DBX>upBc6CgK?cw zad=o>-pkXEyHX>MAvCA5pp84vf-Q<29)vZ>#N2ggc%LvIcK$~IhE>Y_jmXXia25be z_25TY$^w##^wVQ@%TYJnwcyxh#v696A%y0HP(-G0D>QPKG$65H%X^0hZkGi`eU3Tu zNsAoTe~j3^OKNUzl;f|u+glf}R(6gJ_+7A@w^MMp&?)XN<>i_<#5WemH+d^$KqG+Z zG8vQeR-g$rv)z3pH(sHp=?P9xkHF`isQXmv(h$Sqd3v1cln*d11(+I{bt8KhzKps% znOSsuw9K@A)_^{g^!G5?iOZkB7lc}VMb2_ zUgI!MUu;@Nk(u5zi+DUKjNJRlegT_kdU`HfKxeH_CkP5E6K66iSuP~H>nj1hfR@F8k?)iZ9GQgcG)aqq!KW0na zth5GQ`5uRJfVV(+Svmexr3C2nzuBiTDLJ036HDdj8>LqK*C2g$dqH4lvz%`aS zkTa2|+s`j8p|JB*Ebn<@!$nL*TIbK@XfJ#EPstZSwXrH@0J#tiFH6EOz(aE(^vdCN0^b67li!LPmd zK5d&mZOdIuo0SSu$TMsIKUyJ*TprCE+N!M;No(#GQC9C0w_p0jcpLUx?)5);vJT=t zllcB8PU{?IYhrKO%p9;sO% zM-;YL`>0PohLZ5SdhesZHUZD-0P!P3X z%DvyGwf}pJ5ayy-K*yysyd)ylGr^e)GMgiG{Lo}h{I>p9iFvnw6ZpB9&@`XWR7Y?4 z*1+CGN;{(d1<-HD@8!2lz9`I6pp*rLsL<+-At#zgUqopiY6r(93X_X9dlU5+-`eP# z|Fhxa`oG}V7PZ&=(B4Y=9LtnWdXak1)VInk%bYbvOD*N*rR;XE6s`wX3?1u2ESknw zHRtVL{(2^+be)Px9kXRx(^bEhSvknRxA>QGj`_~@&i>Hl??4fW2VV#rBzxfRw^(WW zS<|yxz~W61Wi;?qyt*i;8FBfU;q<)ui|h<{AO#H5snd59*j?&g53p1)BVPc=(>>Q{ zn$M)qpWw3$Mn?nVDfX!Lq1%$LZm1-7k-~S&9#ySiBA9HWE3TwDlNyg5o0PH9nhdC*@WKxgkY{^_i*}GDZ`Ua;cZdT#gYg>N3T}z zs$^LpreT6l98&fJ$}Cg9T7w841PWqCa^=9|B4%_6t=THXmt362tEA%9eLC~}HL@e~ z9=^?$wb}7Lg8M-DW4--`_6yg)hxZ0U+)EPuYvKIP#^j1>D(j0!j_%}!l53bZE~-cFM_Q&_Jbcbmm$U9(T~ zx0ft-scj3$F%KZ6TE_bxKqMnM=LBk({J0p*Z$Ua0TY! zzWX{=no%Pr@96!y1c$Teg+_x#wd#|S@v3Uo#L>pStMQV@C4^FY1!;XB_L%*W8QmKO zV{;}F!xNNVm1TA4F2aO_2k}=_SJwgKFgoiO(xxGqRmIF=Q!*2INunA zgT?ft{5f5a%!dtb@LBOg4C4Y{kiX#CaF2!;?xhpYVNjdIk9s_uLoceI>#cT>u{MJn zk+ose$rjP!(B}n{peA-#?|8A*EmyNY@|@ci&jVxX^N0{)s#@P7|>F-%U{+RTHBK@0XIaGHjko5 zY-(dz-kWzk($&Yj)CC5`CztcG7n>8XC$%{!>qnC%h=p#d{zt}55ELbKyklv$&y+~& zctkpfLkKsr0|yIaN~qg&tqlPnS$|s?PVy@j!q6^TP4tMxL9u}G^^Co9*zu5#c+J&{ z;ylyyy2qZQtsLvOA8`26Yrn&uPaCmkbt9*acw6IZ z(JjTbv*8Hw5&IWrpedk+Yn3m+5^yKBZitt~p z8OO=m&DO@s@qrUyX&~|^a{RQQg320rniAEZx2pR8;&2a4{w}Ov8uVCD_?@UaP|d3@ zS#zw-P+9Y}IC1~7c3rYEpvqHOdOiF(D!RFSt+K$rL~=Nc-+8v%KFm+wiT4=Z{WR`U z9pKvW={ME7(#Gg#ca1B>efD`FdVo?JlL&u0ucd5(XKQ3O7%$l~vHYgsN zEPsgS!4T=N&4*k7L32O&2)+34Xoej?`TsD)EY)}{2SKX-i^JYOEaYg6`O3<*=&X&LacDuiZuv%YiHbMb zD)|Hh)zR9gf2M3*1r#1Fr9#L`od<;195JT$7e4QX3sus;lV-vkY;#t#=dwW#Y9*c3 z>(skEprMJzBoimGt@DlK`KR9HOqyG3?Mrs+AvUI!0Ego%x?xC}$2?j3^iA#zzjn76 z|5DI#It@Dcn4S_HeS8?ht8ZL*LOf~NF8~=)DLf&XRwKFMmavbB#b-)sd#X$sjoV4a zy=~ykZ1u#oRP5#-nQM%Jv)$ut24>xO$KMy6AV&76Hz+cNEQI;!0C4wND$kfqLzeOW z#}3IhZ`XINHA|G+2WTaDnX0*hY3E@#*IS3@c-XtUf%Su-MIRDHq{+_jTh!%-ntsXj zv(jm@tLf{WlX1jutx%no=@N-O@>)%JHP3=B?tD+MYI^eZz8ouvcVDAq zIpL(J!8zsDG8g92McT-@&qsBtK*JzS6uFx2ORl#o^dFTZu{92Ed*W5!$gl!#8#-_y zyKlOwTe0z~_bbj^{z5aey6YMcfa7c#R@5_tA+9f_D;4YT3?y`R_$NsGCkUl0rJvt) zsJG&B8fNPBR!WVRJ^l4U>F@U{s_~GR_8i9_?@s|EsF{c4Bi(%UHp6jPH}}%GWu5Dd zufXXM(Ui;@DoLiGS@6gl@UGW84cHDIX-v-a%o@$Z5F0k}ReR}N*CV9%JiblsgiP)H zXGTuScc|nlP}2ALbB=)ev?LY#3bo<*DJf0Kj(0q8O#c2K@}CD)<9#T|`cmKj+f0b2O?efYCe!xD*vyWB@(7bh1LFnyP`B~HL(~7xvD5OldKb)^~ zyqa9-{4X4kSRbxEzJ}brN@PBc&N}yT(uiJ1sBXP_7-3l$VX;dPiFfi~R{Kzii#wfj zfW*QefzbFW=e)n23l96jhcFsrKwU)#$fJJf+{J|5STAhP6d+X7q2gITa6v=8&_Pf) zvP!Pk$?We3qEC)=RlKBELKRpbh55E7e2mriB@#-d+|RI(LeXwe4Dbl=`ONA7#^8R8@axA{d950SFP%K zosE!k#Oho5Lz%o*bgFax{b)+MOwA%#n|%UH|876gH5^A%L)*?BU(Jrs+Y_IOJ=kto zh_A-w+go|VvQSfcLrIj+88hxl2v^jthM!ctiCU4=9t`D`0zd%ewm7Ldp4xm08SF&*? z{|C+2UiAKhzu7pW|G`MdwYC0#aEy(!;6JU!n&yAn{InmbJsn&TI|D6t&d-6zBO7L) z`jzev9OvTOT+?1|G6On89kZ%IygNkpM9)oo+<>`W8d}uE0n^i?y(^pM*`tif?%5ia zWBF8e6Yuk49kXKD=j&7R3g~8j$pypCJo$OA8Y%6oKj~)k{|xAPr+PwjiCDQCAUvja zy8q>#*uGRYW?A$;{WRw`!vUPxy{Q2rq1c7i)Qc-3Vk!(i{F!LlZI$SK(#b2x70zcF)7#eW#YYgbh@Ea zQI5y2icX>c3ZB6UADe+;O6wcWaIxrbymG16_YSl{#PS^97IcKSylCCe?j7FWUc$t+$SfYYF0nlMvj3JA{w`2^QQfKnM=O-2((BxXVCz zgx~~scN=tY1_>^M+rZ!q?moaQ@9jCe-?zJe%&pr}w|c66)qPIis=mgTPeC1}61)H0 zk>9A6&f|R#_1iVRW!&+rCFKH=+XG3BF%Gf{)64JF)$2GnQJDOr6XxTKf%Hp|5eg zZ`m`xu`G)|ZS_6uoO1RBMrqS1o~lxwVX^cV>H^-$ug+|jz^I&wQNK)cYk*iFfrzZC*3Wz|!gCvzfoS-&eBxeOx}?^`?v?UYZI- zOzrj=15}S5-}jo%Qf50WZSVDoFK2%|w%`0%^;Dxg zv?c_`;j3?e!p)<*L(2|FkjyM{+VdJrqSC#KF6>XDBCfA$d`*3z?I`gv_=X_^kU}}( zD(*$@3m@8e%e%V@GH3tSf5XDN`{_4d)|b36`vdiix>UJ*xbqtQX4vI1x00SpHiu4v zw6st6?-`|;9mw3j?D}OWBX)FO2sLT-c+KgyxB9AV-$4LQl3-g{_2!n7U_7&&Q>0h~ z@Tx4CjB--b#<5bJ?8idnzC&kNVt!#z+rp6ShqdMG=A&gB8{p}^(BjNPxFyE$M%)ZK z47z_KxkAyfJU6MT{w@AD{<^Yy>91!S8G}ozdE3>0-&yuIq4n0x_XB>jX~^R-5&ZU> zOGmc>Y(Tgy>Z{IzU791q)wKu3@k6?iljf zq(0f&!;S@^IF(djon)&wq5w}|3|G}G^3St3hu&91rkanWVLDZ~abOJ0+9*BIXx%?^ zoTIMt+P0W>19+b3k^-oH&whQ0zDYb0v{^;|!IMzNK(vch5p%?u4NC|vAVcO$O%rpV zY_)Edb5{Ge;iEiVOC0L%7lhtnep4k&q(aN zU+!wW7o>PtiWOR)zmfGA&ryf^&pH1OU!B(0u-_1R6#x$CHAffND$%I1{m)k`$#uR` zR-1;h4i*V9|4_%pTz9Yj^!wVaVDL(H^&xs0oI?Ql~ErvKx??T1mFZOONJld9IQ`hsF|X-=GH#k@bpbiL{p z5QxzC0RaXMRbyUtE33`Efp!3$ufyXt^Ltq$-&P4zO^;yWew;5iLG2S%JpGF%^2xkj zi|k_vnzqFZXVkN7ire|%P?xuRU(jklVH~9XdFM8DY?*<2*Fk4W!mKk1|0suV>Cci& zi#EkRJ5}MM$i(wOMfYK^E2ow_o*5MAdA6G7*n8QyJq@0NJ%!GD&b5_+N9~4T;L7cQ zZpB>X`2G#!V>;OulF|{w?0QFT{72gtI_O4f?p9PVMYdoMJs>}EmsV?|*hHRIWfk#R zLe#R|1zECsY$atIx*QL;EN;R_ZY^@7H;cus4Z0W%@3#Jx&lq=6n3$sAu%pB41t5&WLSfogekHcA`ibFQf)?uWoeXcfrv6 zU{UW|WAMk?3(3jHfBe-^#nlisR{Zel=DMmpw{lU)0+-;nkPfN6%8T;{|L4m;pI>k) zi%8qF>52sTdhm6c`21|xz?F! zG;)W*@jrgw{t$>|S7jMRNo-R|?Liv;E#R)$Tg`tnNUdfLxjGrDaErhr){z+qe+cCep;FW zv_T14X2pxc36M0JwI_*&M?%~2OPb%>+JuS4WPPOBHP4BvY2if|w&D@j zQzkc7xh?j!t+S*;tSiylp2Y8~wc1MGW>8+}Yj0U(>G|(`)|1JRF7p(OVQSBiB#ruh zZjYrcH^5{qR#97(kn+`xYn=LL6}9Ya$dW^cpSMH~+M|omO538J6d}qhpy{3lo)O^R z&?Q*RcYHfDih0j~x=buK&O;F1z#2_Y=6cr7lW8v2ZqHy`D<^ZNgg0y3CtK&dJ{?`5 z&~yZi+wEbG;QjCx<_)gZ_ziB$?fw1XSx+P3%^3wAW6$Y+a%j)!xy{A>?RKZR;Hwqo zB7R7`H8r@No&Xxkb>kEe5G@G(-M%)(1&X{*KZjn5mJ_b{Nk}@3zwe0>5De|v9etWk zx~9`;)On%qf7|#Qfzz(CPk3)Ra!O&th$}4!K2MQiwVyEi}0#c}QPjXB4L zgD>~%F3;a)(FXXddoeq0ejo^Qq{U3|np%C;Mk0h$vNk|QE4!`{EOVm1`b?^e@$}Ee zfC}y3RZMnLT4G7z=uhjO!Az#4enyf&Z;3*t+wBuVz6a;iGF8!WqB>zkCLd$O z<}JPPIz&99HWU>-Co>&W;y~vh`Pi9ia!wElL1Ur#GSg_BP6yd zdXqM6>VdrwTI#zA3vk(fWj_Yl!cX>ZQk{w$Sv`$>gFbmPOt`WytBRI>EluBP%%VS2 zk&I(F!kVHA^}UGH-`*|fO4(Kw#9H#d4`P3fz|-*u8E09A7UEjf7m?ziz(D zO;4&a?681u5yR!B7>WFk9%-{6905=Jwt|P}fziQH=SyhJE8%%YURlwinCKs10kapS z-3V1siT}(lJ5PEA`!4#z)8+uh$tyioF~fE@KT`Gam0ew_X7!;%z$J~<8RvQNjH?zW zX@G}&aB3pOt4_Hr8h12_{rnYUbWvY>Zu!21FIaR(JTjFgWd1o8VH zrvoO<&18xzV%$@@{~1(C>uUIBZ(u86#?EK|F(hjHQ)tb&L#G>`r@;G~0%;}c>H@MP zzB&TiQZ$3Tz$B5J!hdh|_MRn)1QrsQ{d>#G?oOH{f}=ziQW#w%*@QndyRQ(rT-aK* zB>x?xk`2k2GQTsg^KQEDZ>Un@e3zcM4ykJ-e|M=R%Cx*tw1FRE)AA8m6e zqOo4CHwAVd^y%(Qq-nBx3~#86R@$!V)Rv;tt=f1)%wYXsw)#S<|J}J^g}b{E4-}nK zZ>PzsBZ40k#s#NpI4#^_t7AUU9|vkH1ejU3mlp zi98q-0yz~$f{DL^a+4_RGK78@H4CL2O+0e`?uj4)89?(diHl=e@%rtW#F~BHurf2m zY$C*OP1GJMSb#I}5b-p%tkoHL*>x|5CGWLCIjzyTU23jVOR!;|IgVP^>*h}k!Cnm6 zOCj+4yzmpB%x}Z;v`6b(nm#Xxn%*E|oL}{MvLt$Po66hYr*QHPt)5t=N*GUsK)I>U z0;t=PZA)Ob{S4nyCXyMr4C&cKMNMYMkVOYqdJ9Z`j$RlsYXN@{N+Y-0wB<-FNP3HQ z>QdqGyt{MRuun39=@(3{0t&7I1Xlq>R_*rF-9gC34DPO>eG6QE_`|Y!8k(lNaskE6 zfa6f}fRYzAoRLnH zx-8OsnfVu0ZEUMzUbyWui@xd5#=1sWWBH4O+cvy7QP3A$b5yU^;F3fUc3B41NlvG{ ze6Z%)L)_lY&LM5ko!I6ZthwP^iEw8ph6lcWqO`zXc$mf)`QN+BgB)7}n&97$_04=smeIxk5^l{hUnUk-|UY&>JxcZ#9KA~#v+l!jCM<3Uu&ROH5T zlQkw8)Cvy`LwkM3yk!B2&@htr+h1Cn)8hT+K)4|U7qj$2a7Jg%+VeD1qZ7(^2md+g z{c_{?woQ<@gBDwo1{*?+4WWaX;=0K78~HgOzm=B4boxDqy(C)l68(By7Mwg0t5w_`&!v4Zv$PGcB6VJG)N3U-~H%q30s#Li_oc--KYo=J}!F z8%+v$iC0&H@0WUIe{eN0-0d)*%aH}f4EZ9v;Iqm=7`Xp z0v?9XnxN%jyAPq`(;(C7+gx3NwRvyjkmE#s{0>uS`AK^%UjNwc2R~4x{fXu63LDbt zz^`gC6V1VC5zIjbS_?VvN_d>ADUZsm4a*9sX zX_Fu!%4oZ5>ctgk__)lF_93B(TXp&Qxk^ApdFx9QX(4CBtI&g$QUGObaUr;i2KMX8 zFm^o-QZzj3!*_wV^n5<$$=5j(EIob9tCp@<5K#kP#8vbxJ-t#r!8~t;9bNw%+`BE` zT{LAD{veI+;RU=LTflMPegU?Lkqe@O+q9q8y%42&4y((~>m)dCgg5I29qtMO;C(L% zVwHN6I@>MvL1_0`B0ih4ASRT!`^7+{iTtha-p(I}_UM`8(tP=(3z63cEBSv88I%DA zLW|pfr>p21O?m*gD0e z6dDy_j(pYtz>1Kz$;xeX9%K=8cq%%&f(a1(7dJYc)CvN?(nvVPFkkP$OHxS@gw z@mk(z5(S z_q|DEQ8o0DuN=kzcbR5a@;BvXVYak=f)2NesX^IV+fuU{_s+luaI&`JXCGvkHNm;zWOo*-|`4 z_&n%MF^(;Tv;DiWomDg`lFW^CHcUDLIGTPBab;m}*mcI~=uNsPrP(O3aoF)HEe^$j z8w&mu9e+v)839Gzt~PO(k+%k(S|7eO&xul6OjeIHUxX~Y^w>zh7N16D_0%=l^}EOC zz%}9deY$)3yXU&s&21Q_5eLxyi;45ie<4?^j(kFc>hZ(s0qDOHaTo}gdx_LKeA>6$Svjq3gbbR38;ozu% zl79jMaU1|slaJ*EmkzoD$-`jFz}-V1uAR9jN zes9fRw+rhRe=To0%b*G@a0`aMF9pL}O_}CH_>H|4)kO!Z>+ke51De{cLinw*pwxPp z+U%t4q6#u#;DA?G9|J+%g)BWqf%+xQG(70a#P+!P>d5Z6@JeC|uDghwEs#%TYl?cY zxF$~d{&97g-}X1QzqN;YiC2M zqzg+y304A7;_VUL_hS*i+;+rbYjJz>B2wAc)b7}DE3;&Srmi{oU*rr|9Jz_gSh z>@MU&f+@gvQJ?(=lyl7ag%0Ha4c1SSN5~BTDxk3 z%=j^`vCD9pVXazk}jipgHk+By=5E(;P zg2SUr?dnrr-y9h$_z4Av5YCg3c2L~$bK)@u%S6JF-Y<9Wio5Vl{^B1q7TfR`%N~!5 zVku?c)X{#$sAh3;kzCSzTr2RwOLC1CUBH|nmKOg(pKN=8uN%!n8Kn zT~436x+UXT$n=?#GwF!{w{Ua`Z7YTL7lr6Je}7EE!gsinzo$Ok)*al`J!No)jLjlC zmTjrN-dL0W8m4eMZL`~_Ia(b805O{krfwr+N_A$_#6FZQxDX52_?yiO8?_$M)vk1p zc`Y_ zUzoN2{7$Y#0r1=wGWpzh)~W)Sday4dOJN6~Jd_t(jSP>x7@Uez_{EjW^s#a;!3-LZPrHLm}bvc33F$c@gvF+|#-*w~6$8%P1A$L&`ye{~wj zT~Ke{7NdZg=UMz%o4xNku?C>rH{^MHHGIO$aHRVJ^G5BA_mt83f`gs*Iqt8{vVhh6 zo2chI0Lw2b_8D275Hke#Li=&=%2Ex_Jk+RBX76Dr|3Q<_{bG`s+^hME+OI255WehT z7vtrJ$I;*;J$3*3(4}CrtcK{biK8fUjm6j)$DY{MS0m@k8i1WB^Ig9r`5Q*UOAtMu zKnT#C>uCWFMZP9nbyl$#4xc6RqdNkMy{5;qn)JR=pYM8@xk2HD&^g8Y6G&H0!D@zi zt-s;QN9xg;N%X>_vm-l@ZpqP177`@=P;{3W9d&8-SiP}Nc_=9+rFtRKx8PnMt#KOY zPM7Z=ia8Kt(k}#yp5^|)96Q4;@km100_{3Ur*AZ|44BGC`uowc(z_K0Pb4|p3(h7@ z_)ALAlM=Sfb)7tCXjHXvW{~OgLma#s=+3o1GWV2>eXsy7vO<2~--`?Yv8pD@2w2(Bllo0=RkQKKNuL)|4Lk}H zmWQkjO)WLZr^VvNc!SpDO-df*Dgu^&Nmj2Hb1kbCt`1c;iIM2qt)}fZ>F5|4q9q?d zR|-=v>>nd1#qoU%ekkz5a&83_J(+jx*p$)=>7ueNQ$m_9#j9dl(_cnBh-F%X_5Na5LY{Ob~QE^@6yNVWDm1@cE&)T-Zj- z+mCl>e2;ZL2W|h?x@|;HV`^Qf0$5Qu2HYeF+I16Z=0PUjhi7}_Zg06{6>lfHz?DZ0 z2fYo2V<-dG)pJ#@7y+|&c@94kF8_fi`Q2~%a>_2Cvu_DA2|iThrjWFF{gVN;JCI;I ze#vD3IsXXru7m`nb0+6|{9+W$YORLUykuUj&~s|Vdei?BwO=}al}7|F0u}Zv99lsGB_oE7Uv9IKf$9djFu_XjOoo4 z0#g&bhR!uG2v56B#vjQO;7huU_P0c-y*gI+om;~_6rY2OAGS}!Z5EM=zAI(N7Mu=# zow>Vc1e?x74+FS#p?ffRU&Ke?o=Nu6hG&@=yOXmY3cOMb+b_&L$T#=!%RCK?xvkQT zV&FVseCgDejXvLTnrdQo1&Cxq#7AJAVWXm1lw3bbA9{YYZpvPMn((r)fT!7N%rovA zz7oz$*~{K>>P_|r-{S7YJd?5E+DGnB*(S2k^kI-G^mO-^e}Bp2tkK`&Mh_Ctho*|S z0ry8=>euK5M(3?1Ob>={lcx|Muk%aNY{!7+N1vt)<-)5MUmGc2!A*+NW9lhZl79nn z>+|-Y3e68h%{9E(KvlKw{YBGmS;C5!KnM;SS$DiTw9lBN&dV(1q)?DvIQ(!Pc^?T+`>PNVC3dM;EN^ z0E#+l8E+=Zji~>b z$7k&5y8T6+e5Ryxi_qkw`<9562T42M*}rmusZgXp82wqt=@$P=G1>7kR_}H9Rq+0$ zB6x<#h{~pn_dlp_H%TJKoLmGdr z{*76~2S2QEvchZpSNj$oReg7?Z&=~WY#qB6l0gfZGun+ha`BPK6OdUA2kZB%mmSyN zF#Wc&&efz&%;uKtwTGoJE<8`uZ}V^-$HW+jf!n@@F_R+)tl&oW#g#s78{Hjp_!`U0 zs!iYSMKJV!rXr9YxSiv*vH#d05x6;(s9f$NDH8OU*xR4fEA!j`hRt+)IP$D8U^}4e z_6-s?c{NFXvm+7izG9GrthavlKA?rpvBZy*_t9@-G{ui~OM(pNSgy@Ch_@$O*AVI9 z2mAVPcxv-p$I);38nhO)L~huzraN?#Xi`Pr|6Z6O}<}~YKGc#P-=EMIdS{jq;6%-5A#4I+az% zHEDY|&wzJF7Klywb6QbE4RjX#mHzwXhws~8=^GoMKUhcgdHdzuv_~)cCF>O4bW}bV zZd(iC-7ZC_zR5ypX>@kjh~Lhwn^yRpSMGmso5Nldb>N8S7=NE>!&{`p>#09;6?D^4 zO1+W5u*sm&!=-l8&Or>pHDjE^)hL32P@H%S&n?oiNk19oPV1)sZX zh&vPByB6j$o%>dME@@^yXu#r?SBu&`wj|R-yMP_#dPo$2??HhGwSbXjr z6`keu8%r>k1@~MrmBB~)^W!9SvcC?C-O_>Ii4EJ5Qyhz^NUx-2lM9FWlu_P4DM7uS zGrY%*)j0cq8n;joWyNv+A?dQgKIxV4;Vh51z z&&O0PqP$5OsXnz?s703HXbOIHo`4PjO?_r*QOe=|8(H4_6qq=`q&0wJ=k8LCGn8W# zm@<~XbT%+s?22$0c7s=DFAvs+{y4rtRdT%R9~0n?(ZcwVf_K7Ctomj`zP=r~!`-bP z>vIfr^%hp-v?j8iY};77UY)wQI%*xDc;6H?=tdvUwZKf~TH%)s#f7Y88;!m7$9&|v zpsU^$&Dj%u4a+2`unYk9i|v0qzU?q4{X0!x_M%iJ^;6>*Xi3_gAu~-Zi!8@#rFV8C zwa!ld{DpF_$xj~DJn4y;QYRku*vV2{&^qZ?{}LPb4d76(uBK_+#x3D1VKZ1 z!e4Lz!ZBh!=BM;lKYu6pY6oFfsf>`&*U+RTj?qbcZu+BqypBh$_C@>O7+|`vl7TB> z>PpNGck3euBkZMKn0VlH@>P;lWB=>y_ur&UJkk8Qo|Pd*-KdTb)de@H0XEzU4*H7+ ziDG%nzVw2!iDdDoE>|xXd>|%MS(QC?-&By|0lGFvKNwy=R{q63&VQs+sqPT5M0D9W zRJIdzz#PX6DO+W0Av)f>u5fN-L{w?=mGaYGQKTLrSg^L0XPtNcaUcT827V~}mQAGk zn-4UiIB@Oy?cK8k5wOjCmNxO~xqs(BIk!Km{;Kl1yLq1Q8f?0ZQu#Fo6zl`;2rqCT z%gC=s^NzEBEF2X(Kn&(WtSSZ@G6qzl7OVyVb1RCyHxswR1np`G?E<&-b;cHdtzREz z)lt9-R`yZvH5RA+R&>VARN_}Cj!6`_dOmZ>pj4!Hnzn5;Pc+}}*&fqx*r;ngDjtgB z8)y42C7q;jNMBYh703A-BFSrzM)M|nH32BkiT22CyMusaei@TkpHZnZpHcPfwFv(A zdH4=fi@s~~l;+oq^`3MM_m;k@oT}w=DX7w`#7WZDsSo!(vp5Hlfi6*icNFLi#`7I< zq@aYxDP|d+~aN*S(6P z!(~T|{4ptHv_*K`Rkmo1s3qF?b=902hfgzWmxFcddGv0+ozEHO(G=-D=|7`}Bhijf zf%;p;jLZf#M+#~L!+>*0_IdVqV8o>8@lK=K0(8klcb z(DySaxul}O1~DXlB z9QmI5eH#~ypr~N`AZlfOU)G6sVQ;%!{H`^=>}X|69;3m*n+j>P*?YvDI@fk8TH5q8G z(eVAOIyFmnt{G^Q{%Ll0oZ=CTUfe7SwF2BRPzWEu=lcysT$r7m)<%NAbPO*5KMeHF z{DWd9c;nEDF8zYbEVFNR^*E`4lT~ND7|i%CH*my8qa2s<6G12fO+wO7cxClG%y;eW zJbs>a+Yh^Iop0K zye5~`Q~uwRzHJn>vhu9Tq>s!e4DNjJ@_hMS1X39LqrCsma+OXO69i7vS|29Bl5aS>ZKn zqf9>Gwdv@MVOXqNi`7lo_t*ym9u4%)W)oH#@sd)`hRxh>$*R-taoXaP!PPBKR6tK9 zz_6`W`NM@`?bLch_xCfl6GfiV@qbxoHg(q=rzm*ZYY$lOXUzF;wQtJ1_Rh*rbVL7b z0!5jqc(~na?=%dgvd81J3;g%6+$x{~(|&cl>6@+(XKXzJ7N6bPDwP$hIS9}|_~1XL zbf8#;Oq1C!_#iUyt~Ht?TfE4>ob#Up@FnVbN+*Vg=Woh;1kUWYKp1R~jZc3RusJtm zO4IJ1ukyIKGh;?}JG0xc*3bn8zR=OBi{)!+nfk-ei(c^-@}XiJBHL>?T<`+6Yl;uT z*V!>8bDa7RZ&HQSdeTZRkiV@#IqhQcNtYpy@0fwu+&f!pG(h*K7LNNFGxSk`$Gz%L zD1#gO{N#NJTp-}$dv)CX|6=e!1|^Ljh8oO<*+~vmhd;h6dU)N1W3uyPwf_n^Q*3Sw z+=rN%CipsWrtu~;CNvd!z^Bf(7alD!DObUtK?H1rAZZZU)6ga$f9o0Cd_s?i-#x%LGY_a&FPy^A&~&L`@}o+*{i0GGxoSVa5h;im3W2ePyBbutm{;^g7z z@Hrf|r#jf4R_1@gVofd1l3xYG4LsPN%oTEP@MJEJGyAnCv72+fZ-f#PZYqPlPy@YvTJ*HT{~yfnC)`H%^rP$Ne8drg`kf zhn->n8zFreQBUY+g6KS9V@rYjKZLxy<^K;M0#68;fzT#VA|Z4YoRAkf$)?(<57oc! z%kFeTX0~)^Ak0tDF1ed4&<%bn=Fa_^!zdpHl>za6$QCp%pDac2R8;=YUjMSDU3{<2 z9|nB_$&Fd1YVG_B!tZD0l`97Nb5}r7y@vYb51E9 zxyLSX#So^&Sy0>G|KlnhO6K>PnE|hjgW+X`?HSB~o_f9rpOnk)7WbVQ6&MhV$968? z1zVjpH(U~7?*Xg=oM^nL@JF!{&8dzE!24d&bKRJe-EoZ`dhava{_syog_B1o z;9jrx1bAOSLyc#p8gr}eg&xCj$77|WY3-PiR%*?&7KrbmU?E)l@zc{+UhD&J^B(oB ze%?;K{jp8VcjzFt3;ILYo6M2v(RM?Brg;*RgS_YD>^y3SJzBR2=pJ#e4g)EV`~BGD zzKuO1L^!`Ze8^{CY5z21L4mcvinG&`(GPMqg<_n14TO~TNZ6AL>BN74G&mwEIMXEp)I~8io%p6t(HL;5|8vtjFNz`2avfTa z+>V*(Sz>3L!9Lin@x`?U_9sPOjp^=S$Ucr**yzbJwXzJ0lU!Rnuk77eG^&=rgqCu? zJ#IZ6w0IPIe+6o1slH^AuPGl$U4q`Vqb1tkWHVG6LUfrg zbnBn1%-e=?u3H(`w2C8Gz0?YX-hHRO;k_EMamULezh+w;>Wf#7IDCkVY5cj7l0kc@ zOP++@Y${2oA2_|y^nhW?1`}ge`dcK;4UrNTIQ;T-{z=Z&(ev=}->_MT+9z|s#+q^~ z=7U%3Oe;rk<{}`yTzLJo!kaAIYst83$=!PvOm|h^ZuZc6i5(MUnQU5iN>bhI`3Gmy zNCAZNuBxGWso1$_`089Y=K&|Nw?kHMRX}n7X$Nb`kDz;f#hP#Q z`w{P?wSD_dwq~x6a>wI0n4tB?pXq6AV6-;};fkGBPP^x=(8SXb_=1<*JjwN&Bio3@ zV?srdybi>R>1!jtjq&(?<>2CWUt>SZRd!W5JnmM*EzLe8@OX){)MA*&NG`@pSdHNa zQPr^{w4+*7;G!vFQu=n(;w&m>ni^_Ss9*m#=G@R|g$yiD(ReiJ96U$$eLH*gifdOP zq>e5;xRLL0d2`0MWOq?|cr z64sv#u@wN7w>u}&RxO78JkwISF+mPR#gtYZiY33v3p?6Ss@12XJG*fb8jo*`$^U0U zD7<~+aSHKUOq2|W@>ZkIQ2D zbNKlVXU+j56IC&&e?A&x0nPg=PQ1{e|0o!90&!^RcfazM93UQ#+*Bq65ngz?t^cMC z{-=X}>>d=FBCC2({j`I8cW@Z227Rl#1NS|38T!4^i$wf`y8A>InVyIu;gl zv0D0|kQd(%=8Lv0rYcX)xS@5XkDy~==Dvrp_3UGrm-tYBuiow9UKLj<$` zE?G-OY~Jj43J)&4V$TBb_StMuq6nK&*Q3X2$0;P}d@d&W0IA1~$Lq}vAdu-Abu3Bk zW78%jDhOe^UU}SypdLKaV^oB5{$%u&mAs58KlemQ1zaDmJR%`Sz-x_Z^z)I$mJeUG z%D=~p0ht$R-xzJFt9|;Jf9I#|5O>47#=GYQ?ln~ z7#3Y(gB07SYyH|j7S zb{A#wqqI%Bv$4K!Uvh`hvY4UL-x|G!XV>-Yv-l|I^)Qan#+{lt9l?n?r72B!_`H@s zb8vYr8eDlqz9c-nvsu1N_N!^3sKv1|a5-xObept8TqeE^B$|E+(t6Z<{C0MXkog4d z*S&j1XNmdn)%I*HdEmW8!6`|?gcrt8XG_4T?N|vyh@Nbvqw&bd;Ec+-rZhI-R}+^@LTUZ z1``o9cbsX`$liSYo7r-Ji=jbyP~z$gnY_AY`6)~L4#+oq!*wd}FR>o8xF~=sV#qXY z(%M2QEybt=0cU41l-A`OFk0V=2W5P z7y9zDw`rw}`MkUz-YxiFd};IjCT=Q4_gZS^QQ^+jM3qNXMzv@}#!by6zbxRdw)UC_ zP>i0qU-aaXblKF5K63-sB{M^ETPx~CbuvCh^ComJqPUF&dGkl?=cKa-&)ynqJ_s)1 z^R{hI3}moyb+hM{MRMGJWSrPTIC0gJ@9MO}#zG$T!ck4C3T!Xj0XyH?ciP&b4EiC% zi4qup_lSS@OoY_t!r!gQ(u!dz*_!CxZUn=97vb3%;TA(#GBMo*!_Pd{eA@OLOJ^;s zzBR9aht0Q<2~8iQvl^hLyMxUc3j(-8g91QXw@Q+Traf|63Dcdd&rqb;>-3(zPge)Z z!az4jBa-Q0L)uSuXRoQb8V$Q?)&1$vU1`%+2c1w}bKlU~6c9Cws>z#Myje8I2sqtF zl`1D@h{WH8abX`V|E!68+x?phodzfxwGH=)E{e`nlTUuf>@M7p~R{>)x&@>SMwhlbBzmyy%=&N>s@F2b*>upvw0 zd0ffKJFkGvZ1jzXH&k=jYcP&tLX=@4OXUfSWL)yK1FrAScsjY(n=dN@GbH1Y5%lak zMI-iSUawUX{EFa=d4umeUSYcW=N&-^uh`+vD$)BjW4w{s`}{FuHjly-bQvjw=*X8d z;{q(=+kEpf$OFpG`-#N5f+&C>`JkyEfc9qb%MM~kis{%bj0Dqs9ke&P<@Y)iPh*^G z;T=w1$Vyh#gNKYXP(&=iBaU@l(N0+nyF;kpb4LoMn}m9YnlO=d*EaD}*w}4joa4HD z70X|;fj6rS!guw4fehvh5<2%`*H?Uk(YQtU3~OAUvK_Zp5ANmaH+Ja;j`z~Q;}q|K zr$3$DAJ#KOV4xHMzKw!QAvM!W@ibJ&v#cl*PS>n_uODrAn)49y%k<$-9pks%r_s1x zcGXpgJ$b)&fHeFAkFUq!M_G9*7_G{JfYT1{h`uapsO(V8(aE682Pc~A;zYMY9#V5i zoTcj>{BxO?MMi4Y>EXpT5(N8=?cG%2s5&Po(WXNWoyuK*L3EKPcRnOfGNCEOMU=L$ z85nX8b1B5T$yrV=Mxvef&u}vH?FEXkIcAx3MFWSmznQ$nu60O)nu!O&2LT&}watmS zy>IT^T%(eQWnzne4^J&y*7e=^@%b))5@x@4j2RZugGNRERkD8jlrfUvqISb_<0GE4 zZ{;dVet{)`?SCcZK|XhYtwX)JqJ)NOwN(d7;x8Q+N#C{eu|gs+{9-!3J2pnGVMEXI zVo_yTsV@6gFUPn!(GFGH z9xD!~?qLL#S3%VhZu8P$(h;zzBM5OhElpLQ6FDxpVX`7?*kY@^D(aUTG!C6N%|E1( zQ#v5pP7!(g6bT%bG#(3$jsKVis5SEtTVnpQvlaxPb$m2*Txblb5RA^=VC_f)Qp{_K zpz;>(LA< zOT!XOYRmH#UU0da1i;}4*#}mK@b>9+*f`AXx)?Njo?>%_!u5}EVA5=!iBZy_hxoC&3Jvst3hJ0hH3U{j}!`WMM z@A$6mZT=-isdk46Hgz& zGOw%Mjp!1aUjxst^$#mWOb`)bpukm8d2KIQfG_b-03rq z4ZY^<32X98Nx1_4{3b@RwA@l{TgWn&bm1EA|Qm zL%I-tLzKtzi_z(ti`wQ=-3T<>ZT>mi7tZM8k}LL$>PTE3-vZ;MzR;3~J{l`Wl7`C? z_hL==Vjpom{f#%@y6>X#;SHx!Zt-M`=Pev)cP6}CGl9&C6(NeIW5HEEW9%DN6{qBT zHwowT`&47MHNx@0)w^Y{=9Vx!@Wny^y)|n4e(R5+ys=of8QyFiw*zYX#7ot>?FHg_ zyb0J#@P4iFu55M|IWy8gQLEK#`n)1!R$X&N~hl@U8-5| z?N&!7J5zImc;(S-eqoHg#m}Ik7CPs1!lN$fu)S@6#52rd9OgCjZ{A9E^wu%VYEH5# z-O?BKyZWbGV7vPCDQUWUnST66J3WPct?r+8tvlOv{{qT0f@w|f9^pg3)_D^Ql|(8# zmh2B3u;FP;<>M z(D(TygRa0#yue>NaqqhFS_ZcjXQo7RjB= zx%>D?pmc=FLvM%CkmAqB5y+>*?TwwzF$~=@96~}y7Pwh*^yAQJ(qcx#WP;6?qg0_8 zPbXsnF|8f$$0hq0g$$6ddG{wbD# ze>}YLpOf^y?jH8ID1i^xEToiy0Dp`8t&0znxg2NWSI?DCEQ&FQ>wc=x?)#3IVmwS7 zT{`S&AmQe%M^#_*J>3Fcnm4{=Z|M}LX7=M$oimNy1+a(ri5B;_9?~Gc`rn>S@4b72 z0cnl5I!QR80yLG>-f~Pg2VND>C6CV;Uyu9`+P*p_j_7T-g#x7%Xp6fQC|+C_DW%Y2 zr4)B7MHVgYP}~X>_oBsJcNb@IXORUKUwnZD7U%2l=HBGH$(Q@zO=ix_ne*hGlgydq z$$90O%w6z&ZeAY*{l%<^>(Vv>)Z}1c^e>L)svC%$wpfZyo&M6Gi35fdEasF06`^A( zi#3O7JP~r*3-%SKdDW=R6DnTrXNc$_<>2wR$spw`VyW)At$E`e`nN!=$O(n-2Ja=C(Xndl_i<{>i7B5Js(R!qI|>J}`QJyyZ({$lLeFG)?xu)7$s%?$b^81;xl{_n)zFTKx;yE81;;YZ-4AKnyG$lcqo6 zXsCT!e5a(E{9CFM ze%BJZtzn6!zx+S|U(!01oMtG8WOA~f-L1;L=N3i)6{Oz#xNkW7t^EQBdE;kI&fkLJ zI$9pG(Lbmh-$OO$oR_OC1R(_gu0^`ug@puaObi?+Xi6RJ?2S1yG2{q)Zj7j$wdt2g0hE?zwyoHfSRbV1`8L zx=taiJ`V=fn?G>bRjfY=H$?k#7t>cYr>i&a#y8n)yev}HWSE1ilq_;9!fDr%s#e&> zTpJF4s;Am|Cl(!)s!jZ+DN+(Q6|#`d?!0j5s8cBcdHjS7%M-bUyq{OaKuCdIvs zW_3@a0_z!Xqv)Mv-g3K@l$Uj;_e%`hRO1VAs+nl%%^(L+eG2_Aa}{(eGs%2^?O^1N zdu6FJ!glqdZbiy_8IAD#D%)FM*;aVj@JlyGhM}Dm5t>OT@U*r5F>qhSj*9^}%wSiZ zV?XRrKxkD5(-w!pWa;-&A9neF^*KK0|%55%|@0-OexR+hVehdSV2j8(6x7 zIJ%x3^)>HaI)8$wu4Iz=9V4$lt6y|wqk1eyN_XVNm&2pf5Iw8FRdM}4tZpjMqk!Sm z8GgBO6ATudEZj==9EuMOSK1e$&Ng_RDC$kkR5C@ya978iQOyT{XPJP%F4%Zs`X$ULj?k(S>Y`= ze)X|H5SgV9=enDyv*=tze3`6*t}|PeS=AahxUZOIeMs*znZvbsl9Qrg$ayCm2;g*> zHqiR1PDoBay+iop_Tv3cv-dy!Lc5_;H~0q`k6DdgBlwlPqhgUkz5A2pFI_j!{2K3- z>|53^$rJZ>;Tb8nCPNRcAugkSB9cb08J4Ckpyk31;~(j@-NvRSdY4FU>m0}CJ99@L z0FsGpb}v9+6X?#> zJ$TJM&{{4(QcM_@plSM(tVXWa4D`aL@E^e8JPi`_d-Cyl*B2a3YHq1`p2u z?BJ!k)lqzLCjQr|t#01T%Pp*g{WWh6(SS?sA~}4aH#me}y26WZ1!{Q=uH0M_#XiAG zJP+Tmd+;3-cSK2OK{5RacdC~d0_Q+@7ZHI;1Vki*DpB0Cejz8=C-G9FmAtU2%^e`l<-QlZbdcB93yB_pKTXIDk9gSje>!`r zo+gTJEF-dfScriOJ}q=Uy_;8!G- z>Hd~m#EV?Jyj+@X1_4rc{;JE%l(-4Pq_e?WAI5Q}oUdc*^xJ8~Z+gAx{onYKhFHmn+&*!kzkSPh^*fx^x`Noh zYCNR8xnRUkr^Ifz-i3az%+n|k&kiu$OBavrVZq?}mO{z_fUH@qe-!rGloW3>$ZfyV zeZ{7yB;hif+w+pi>iLpvm#eP|PAaQHSIP2fYn58s1MI_#hPvbXon`{_1nZ4oMN;Uy z;9)^o^7Bxs%xKkZDUpw^8wT*KHe1@7?r|8UDMxwAgb+Bmc)Qs>qgZEx)JQ=yDnYxs z^5F4Cb4;os;qNY@A_`(Wtu z6^AI=_}g>y9#!v83~nC&9+9)UW=nk{zr;kw``W$wgje6UPlVHMje?q_V!s}AJ@)(T z+GJ*jO?4--QGVlv_1jijQ+Py^y*<-;tH<*s|CT-$sB^d6%HzIF-fV0mB{V4-poh4< zg6M7RLCyCl&PQKaJMJ3*5Y65;h>0mk>+tpV7-rOHvH9Ju0X>Z4jAyH3;c8TG$Z;DG z%+w*S>F0`jE3)u^jG*4eESu=ghz@6m;rnOq8GsuczuO>|to&S&H`~02nM00Nx)u|v z{11on#x68!?Wv4TBR+83OEob3Gia@54)DXC?7otDTp6N{1{7_cf;OA7zTovfd=ej% z-p4R0-C6c;$M^PJ5`#0h*7EkuX$*}&+Jr<|&_8C0)8&F?!@!`Onp9lLK z*xvZZx9j$gNz(=JqU_`9Sp(+ZJYNz1if#5+_rj~6co7WGdDli zbZ9Q->2P;OuNnLu3hR- zy{sY%j#r4kf+|EvE>Cr;2BEg3?S^aN4AEiEB&^+yndLNe{;O3?$>5a4ut4iC? zPeQkE(j?=p60r}&JUb&FnI%`#CJv3sVqqc~r;nyQ88d!AzfBtnV*0nypF?DD@k${J zX8HO4=evP$W>0aZlC*|arBzHU$@#svbh4GM6Ofr_y{4UyWhh(8sjVje;XF8eoRZB5 z!bQH{+GpwCJp3&gIFRf4AUGM2aS;Dc4YZj#KshVM@S@r$LqSpI-Us)7ZhD!2rAIO) z0f3UZhkk2ZsJMT;MR)Bq0OBD*D$-ziIMgB7LHBs;v`s=5wH}`a`Z#Pii<_4CrgD99 z%@SzXJ#P!Q`*-^ryD)U%#``1o>-M=D_*JxWuT3_~gtNOD1w(4jVoSNw*()n+)O$-> z+Vj(UjI#7u!N845xheLYmzWdTYE~_FTm&V_nRr{Y*k~;!b{5>3XE4E93+LlBXQgIq zRjdAbnAuvmuzgDCgn?2R4slK}iQvId4zWI6c!1=9YM+O`CdL>=YO9flo497**C)$}RfF(D7RvEGhRgunZAnMZ0}M93 zT%nVwo9%FG!Kmvk2c@5AA9j0(pnHs(^YjBC`hsZfdPOD>uTXmRI`7h1K33U7`{(-o zv<|nWYNs0e9ptxst(VR-SXw#@p37=2O4rW2L$DH|H!RN$_jYnmmwanNQFncZyXQF| z){&it2YSDRHCz584Z{g>?5}I1KuJ4~QTpJalWAL@x5DW^o;J_kzsu5TLnz+!Z-AE+ zPIf&-YavrL7X($d*Q3{aNASt&*1QuVas|Fk-@PN$=Ht+`J=vLGwdl{>v9H|aN$DaaQXAaQDvP6 z2Qd5Nq69TCn+cd5fU_t;(%|OL2%M$;!Qiq+@sjdaMQcFWwP^!VNv7v$tV>6ufFv5^ zQ#8;HJv_PXp$c8R)A(DSE3;4OfQ!qj;rypfjKomHi;4!(y+bz=>G^1vV&Z6{3^|@! zpkF+5`gIVj{k^gB_vocDd?*WRk&x4cnO#H-&aPK`Ohvsp1^!Snc-sMet5cJE2YfI% zY>%bo(HFmtl)`ntYfjsmH48Yrjy+y&HA~iB3oLosmmL7@eA&y^^w-?vyR{9uzu;XM zXEC@|`WmT(Np`yzX_cPR-z?dt{Y`!qF{(R~^>I%+q}yA%bUs?&zn*S40JV{4o61){ zvDM)xYh&%YZ*Ac@L5v0yBBM-sWajqS7S17uphsN%NIMBFzgI)zayGSxv+aG_h8)@# zm=yR;qChcJv0F5w-A(tYbluhv0peG~bn6SE3a`sw?5ECZ;7mVAfEtMV<%u9&E(=AB z{fctTv!66Fpvch|khO&SMvu+bWs|kz!IWuYJHLsJxuZ5w57g^%m@fs9-#vjY`b#yd z9wWxw`cnt^iF`oR7bs~Cj@3@CX~?Z}EBDf}hhO8+JMpm_?wY+bgdIli7v)2>y9O7< zx#XD=+%eW8#W1pWNf|m06l$FwG|_ZV58!KM0I;Exm!cP`63(h$Rs+Iq{YnYmVtp8g zSS}6C6}XGc^sSU$(RsDkdmJi4f0hmmmv5g;!QW#W#%zN|uYHeIw$rn15hFTwHV7J< ze+8yIaVhC7ZvOHFq_H;X#c8_8HpatF+ty21`t`>?Fk0H*T7gM9tcVPh7a6%H9rj_ z+$Z&gD!g&}4DrrZXA*FBN? z9_0D?Vhk4S%zZI&*7b7@9y0Ina4SO%y4}(Zto- zzai^m9_qS6^Ucs>tKy@9dU^^181bsT9`MJQd_f(ML*~0b1ks7&gM#oY?v2IOc6}D1 zSx!FJ>#U$>4qQt*Y1}8uf2AY9UDJXtRVEKWhyjp6c#CjlN)5K)aA}Fh6yD3=AwNABLh>|KCw9c%4JxlEA1c zqMOKztYORiq@KGbDnGEei9vL|2oJg5y8ELeWe@V-6s88`PDd#}0V|1T>hIaV^;smk zfO>jX)$5~vxL>4I&<`c7mz?0spjla=b$`S5r!MZpv!GWm9G)Yc{p=fDavvWVh9#mr zs*#VsdG)X;#X7@|H5uK3ud6|x6uGaEq?Kz~?t~rX9!8dc^11|y7bg8wVepql4XC{M z9#?%$acTt(PA|Hc zs>}NnK(KMFCo{%Da|V7B|2ewU;Z*>;+n~Lh+RE0}#>;9a5m+2zX#b19BZz?wJNiXF zR`4wUGcNR4D79euwIj49{&L&{oYcgyq_^pZA59zT)Z9M;K;$GVXEwK&JoN#cF%A2q zfjSmNhgJwgV^>qGHIAK|?Hrz^uJQC*KtLxbIf{(I{5Z0J72SV|4`FZ=o5H6Grpwpp zSKFlKgve8o4YoM_5u0C6>b-K{C~Ne`o_TBY`Wa_~lak-<9Zy?=&~LJMq9jKRo7}9U zhx|DZ>6j8ck+RKr9FfX?bs5crFOniR{-vNBerqJ}oWvK=3Ur+wm)}^M)SnPRC9kl5 z0V&S+Np@Dzr5GmiRsuOYCp(a=)_6Oo^ht{hc)SF-gY2Gj9#ILnKKAM9SVWwMXj1Sd zF~0Zhm6i`XG;Qp8yEn#E<;{N3d|o=ren8lCF~(hIfq!8wmUjT7JTuJn!}DqnBA4$- z7Np#dh!s62I_Nl08};;Ne)kYcsYn)}(*>oO9kslL&&gsQ>axqqJ>&etCWjBEH_F3! zDtf15n>bwkl*M14zusyExxR7QOPgDLIkw}QbGtIZ*N)6XKK_tXVW%kdEZSi9Q1%w- zv>i*$khe?RCbq%d`OOkXVAkp|7+UK2Yed)$6pm~ljm;Hk4!;`oH6~m0Rj)p}t z@p%W1)IVMp?KmsEf@9k=;EICU(`;45Q~l?TXeqkbLtwwh)p$e6VC|5g*t9yA^Kud5 z2yX|%Yg&DoZ@?){xu$rnPk6gcegDR}%pq>oH;py6+V)BLj}aY-iN-BDlFk=*ROS|v z9Rw&iSaV@pp>Ob3L&P&XI5*@03v)5zWB+>(S>)UCvR3qzh$jEL)3|C*I`#P~K3z#P zz8v zt7xP79nB`OH(GpO#-mB;Y`>zY6U1fU;u9zLZ@r0Tz&9_EvNqZ8VwC8LZGxUH(`%v< zTnJ@%j6Ny^yULDa6}I%jss1I(+?y^aJ_EX88u$b$~!yped@){*VIs>O_aT~=*wyI_8x&c z+yDV-$Aj+%zUM~}DvaNcOK0mGryiQKF~cRh7tSA3>XE$P{!02dIA_j+YepHq#9tOF z)@BEAnw+Nr$H?K^s6}>F6X63w5kzeU{C)`e-FCdNiyWr@#B~V;?X$0Vn&sMo%(gAZ zzLwMfsiog6m8KEyX++yrumTMe4A}JCTTlwI3&%xVTKJas{|X+vBk5~v^xVBb1M`N# z7>o7k<+puIOV-Y{5qGH)-gfbJc8HXML3f82HDlYgIn^(sDlvnd(jsnhLFrdXOH^+U zQ@cP7;>7+FMy0ezuaLLwx!IstoP$&QpDjYZHrJB#J+r>&Lv#Uz(=T#*z+b6E2~*`- z{!qbI&5`cB^B?eOp(qpk{TCNr)C@^?TUM6`x9=K2xV@74NTUU1?}MM31wId9KHUt} z3nZ`Ujxc-{BEKoo+gs2jLE2tM8-HC7ZXyKTrIXQeczZtNmCx@e2BQNF_y3%#2O6+G zdes9#5|=Lea3!6-aWm`amIC`FnPe({WxN$ZYDGT7TB7W-RTu5JLa^&%cnnYi&`{m=cRKH$S_j_Q z_8Pc}=j3HcNxt3q?%#vJa~Ij%&^XwAcX>W-E=!W0`L40ZN+p36(UVrW1kSAMdf?kN zU!`qqlGfhD$MOo+_j38hle4EIQpxgZgzB^tS3se!eC;-WIh&bubQeEF&}N_qT|YpM za|5NvHU~WzUtv*#SIa)#v2C04#)o>Vc`m3T`#Y(%;htjzf@%)08S=<2T2nmPP?zBN z@rH}PUyn62+eZ~#n-_Z7=p~!=qBwlNZBuyx!8*?*VyePhWmHFAAy926_GxZ1w4etj zYf-nHVB&RoU3bi%qLu4L+J5{X)z2ShX1tU&ky|2e;WSTv)o4YwyYVO6^|kSSaEUO* z?wNR{D5y&z=qxVdX{3Ae^@4vx9D(fY=N7Ve6pqKA4a0kj#dQMmvgj;4xlCq+T;>GcD?jY(@_Bqb?!2kpdK%r6 zGCnTgTd0lceuqhH+nQfaIP&djVG%e7O=~`o9mNGYNYvpCp4`eV;M~hZ#9bKO5hZ>+aJdKNs*&4t0z4ogHq^jrlN1IV}COMUrA z9$LphO9pO;4hb=Wyl12I2X)sZv0}}0Mt4v=vFLj1oM(ed>6W3~uXp8RG`Dj(+hAYU z4@kGy<3EM9Kk{JICy-SV)tc9m>mk#KfNC|K&;Mvul5)2GY2RCSc(;#;FRQNLH|`X@ zqX%khR6MG4A>=-8cXs;*wX7cXc}cpw=g*EaGxq*Mqp$U83zlin-!;-Sf(kR$(;H2TiLQ2$u79ZnQP)ZvMf6hY_N zR}3~G@es+0xFAIP9$#!?7!}c=J1%!25T~^?wXT@mK7-SI|A<6C`Rdt9lf|jP z8E{bW;?#Ac_G3;T%lz*|@>iL6H(TCBA9Y$&uv=Sf0dvZqLUXmu6WMCAacxyJI8S7~ zmnKh;oEPsxSTUZ`bb9ZwrO#{?uRRr<^5uVOYaj(gm3&Y_%ad#$I-r1$Si+8POjG1k zAi=pJ*M_kO3NNTQB6ke$L+kGdqAmydGU;zIs7Dhlon@ML*H*5gYW-%7&Z~ImRrdIp z1MZee81h%O?#iwq0RLXBz9-U^1#obw^v?~7tJ#(9Hkja`+E)h=2yF&WRb1z5XFXbY2xQZOXmOb`qa3L%v$wSEwf-SYy-Ca zg}Pt!WBOW!o^PJUD{ybpZ5EaccA;v*bztP*mG-cvF7?u06w#c1$b(%^`85AeCTLRg z{b1vqCGv<^$+oDE`40YapvUu`Ua=2oOl44l^4Z5~|(%fEJ^x)DGX8Mg0%cgP)o z>5zVRk?SL!a-m5DG^Iy@zYhw6v==Mzyu#*EqEjKk8Cv@+s6}mQ?LR~k@kwf!SP#Fp(h@UbRJu9-K{CV z_L&yGP@wPnv?;a}>TRs8%g4<~r^XRIsH8S8ZL+W5Wg&7pqE?lORV72pYSI*1-H(?= z|L|v-10f>5E97N0^71-5c|47culfqcls#gMvBpw4UMKatn+R<hKY!~yurA;zLPKAgIOT$2o9GeL!H1kUAarW=fp1UCUjt92a@K-N*b-E-xV{peg zF}DwpN=98`MVLcNtNqCFCma+qrH-GrVd8O@MJ-mI)KXr?=NgqV!MkaRlU775O}_u} zoR1Q{a2|bl){*SVJRL4tnN;)(yHTYlao@7E>xoV+sL%n#B9TKe@EMy#Wi(x|1S+9? zrVD|*rP!9TDbK?ZP#fG`fbe}h@iIHXu6nLJt^%c{;{vJN^7E5SH96~|0{xIY_OH( za2%URV7{H5f@cXZS64>?LdD|vTDEtX*OI7K#O=41QuymA;rga#|5ST~FPV6B=QTl3 zR(Ah9MgR67^|X0TV|X^{izgnyGrrh9c2_^_46sRk{Oz)k=m`1f5b3(lDQxjX4#RHD z@h_5ir0eGm|s%uGpA#LRXqdlf$6s93aXp=dR&mWZ@Dh) z$eAs>FfIl!JuX+y!*Fu>)_om2Y>yk3)i<^5<~-9m1|EpA3)rr+%#ug4V+lqCfp_re zQalCwYu`!pE}lL_rFopj6y5&$KyCZ^ajb8_moU|>*NuMI0$PJ8iTVAJ+bTPsdv%uF zL+@3=FB>v2PYIb)0t{cc-{HEv@9`m7l1T0N7WKNOR-n1Kxnf!C3%0`n(w+HjY3lAKKUJoLXx}5^eL9iQ|2X*>QuMw>DsY ziuJq~BHQ7l`HZxSo;BO>cCB|~nO7XgwWDAgs6{F=q0Ff4_ zorN$i+v^1t_!cP~NIiXF$koK&*6klIS;y=T9wVp`#5)wz4v0!*STV21g%BH>8?P?dK->lei@+f+sioJZM zYGk`Ot`m{N~aCp zSnF6j#z$tve_65e@1DNr83?89j(mei{Om)aP(@hsCtwAfL$VAlw%ANG`ZE-{H$LN~ z`sh}B^r08{u@Ui!w{I8yxau+ja^e^BIm65UjazMPyQq_~sB>IP z3r{9-=eGwX!&z1Gk=^|?g0B;E!bTrwX*ysbcglTx<izs|ZC*@J03DWw zd~S#k9*=mkeCVG+ul$V!IxWG6NN7paHhb;1a!(6_dXPB_NZh{^Kp{QEJTmxxEF<-4 zdnc9d-cQ!PH=4F~QdO%GQe4A3*!QNU-mwXL0730E{P?~b254F|z%0C%zXoVL<>-ih zaiVqnSO=RuCjr z5-qJIYw=}QP3^|N+K)Lhk;v}5P{~FM(=CyuOP4(Ed}a9f;&WBMacP>=x24=ad=@Ms zRKv9M7Pmz^x&p}4nJd3%*LScjrY>&kE-{B`(e4xKs292nfKz@8?Gl;Z*D)$XMKx{u7pPLU_K75K12N0VNVV{F|bRde@@Co)X%sgpxX>^A(>mAlKW^gW~G_!LVx{hFv2Yj z`G|P$@d67P_f3NVAArQhO6^(ulL|WQ4N7JBqob2OFXEbXjBJnM_RXy9Q72d{sTf^b z^VHQ!t-o8}8BX|cz6zL_N;G&(d?5-Vzj9whLmPRZ-3@97EEAHgq_3QR1Xen(_ZPg( z`HFxq0$mNyypHC2>NNLBkF-qHdOj^RlxIpl8EVCWp@=B0UwZ~_ENuTIMP}}^F`ynb zdyR#Kd_Jd=Y3H(k-uz64)XLgIDLcmVeD&Z%KgigY&Jp4+m8n*C%`!3NDzQ+Fbv+BW zo${YNBL90`dgXuM^)3fvc+bvv0zuQ*p6CtTu})L8c&CFDgIj|2AI12V_1h3S|Hf-8 zTZ86DK_uS52OiyJ!5XfL?>Mu10|oUr)Z95CE&y%Czna^TDgGEF%kHVkz>;~YC2&t6 zn>c{;Ln_ME5Sy?oRR7ZDF2?kEfI^uR^|WTmkNFc1-(?nqThRgR={Xl7{}|(pRI)i? zUonHqHAn=onl8!CmOdyFnE|qa3UC;>?_1d=XA+PNY<}wHKKCaxpbh7d9t|TCPwq&X zo7@FaIF_RSIt%>D{c*~ji5e{8Q*(KiX`fLc94$_CTKVIdj`o$r{(xSDig5be zBqQCrWAWRPrkkKIP3a!wG$wYr8z+GK*)QulDWSsTL`NmTev0*v(jjtg*~8LKQ~% z&I7~$pq19^2XutD)Ey%)bttt1?KbQi1(J2Zp*6&D{@+ky*jNHtE|>eW=(e zb}u>*RG(%tlMh{`0R-H(gyDk!5q{~(|4mGPSCd7Yv{utTleBi9^CkS0s}Eqm9GI|U zChHb3elW23Ow zG(K{MnN8d8h>)Gj({#kJ0Zo+DQ{!jgR%1_l<-5`zRCYPh9R>xL3{UI0S#rHYG=|*V zeBB5^B+Et*z}O%c?Ib4wHm@(UrBufcWz%28@>OBoU@BdlB8P(!1#%zxL_O%xhzEKv zI)2<&^ZuJaN{t33&2;7F*B>1cEq}lM<5&JY!@PY_+jWpoHSxVpQUdSdXaC}soF>z; z&@o!?p1GqgJa0MzlUfIC@N!_)=( z4rT{v;k7LLwC78Z!7ne6Hw9k#R{K`_UkUYKhq{;zKNa#;`eu3qTGDz-0OwpT}9 z_O8u@ris6Gm-%oQ&}?bfDqfdzZ{k$V=IGfiyRg?^X5tVLR{1mLOm)ECEZqO9dx;Ap znGbUBtFn2oEu+XIryh4z{rv?$99M!M)40{~94>mcVadFma4qwtwG|oxeo9MjXtOEt zr}A!xJ#JUlb@`#M;o}p(`R?y{Y@p^f+NdVTYDgHfIQ{)JBno%uLJ&)W$V2tlJBklk zYXw=id#e+wXFUb=IP6)SpMgJXRbM-i9tHoDIdwq!PlX=Be|-Lf*o*PK3+i^%su=B2 zt-@q>(EpfbCE#Nmfw*Apfn9~;ofxbhTJf@P^W?|a-?0xk?q3b?&2cf@3P0|`vNgGT zfQ8>9_ARbV_It4XESsVlLJ?a26Cv$xdhdg~Hu+)~G@Q2hMN^4)AMsk(@J5z@?T?Z$ z;7(Hq()enPS^c!_oywj5Bjvv35AN^Nuw5ejo~|W8eN*i+kP z$JLkac`+C2%S_w~DRe7yS+W~~@k;3VP(;$ptQ zj~r*oeXEXL9EIW>>N#np z`;X9)<)x>8eg?tMshwAG{a{I><~{GZe9cH4!!Feqq`LOVhi6*loo*hEmrRe`(k^nV|8&PChO1l#BP+c9D+77TOFdU z!50;1Fnz@Q(2~Dru-FcDkXL<8sn2t_t zp4n5=qwLZ>x^R4g_W;i_jB@sg;Km}Z5WZRYYPGY%fSCE?skz_y!zZt~Gin}9FcN&a znNNtl$h;53jo`T)0Fm!k=F4>K#QS+p;*rm=#*?y}Keqq)sqKPv=n$Wqwdw^)V(BxG zH1B~)caN7@CF5-5w|acDXLwcuv@+d*_NTQ;&*eE{@t+3sO8Yo%e9Ke$O#7Agj%~8iKTPu853R0$cJrsTs-|Dr^O=SEmRB7Z zjBIhHvL-bB_Vng0FWYae+`DN2?Xu z^yXSF-$(fTZjburdZt5zo-v=DU_OWJA&*U8yQ)7-(gmj+Z99Vz)Y%2eAOiDu``0t59#^VV6! zXFY}tyy5Zyy}fHEQzBH>({(fC*iwv8gr*;+f1BEs&cAc%CeHnBN-U$|D?hEB_AKJr ztB^z_kDzM?|@XCHUd^GE1Sm z&_!?Zy-(%)>Z=EsrUP(fgia*8Xt7V{b3N7G8;pgogOQ>F zNm9!o#&V=MUs63^ij^C$5;1$@bAcKl6Q^tzFC4z_g1bMRo$n=-xF9=r*IaSk1k5#V zeeS*OK`p=c`B&&m-f-qMasN82QPnnAzOqq!Mh!~Hi|?N7L}nzU#8B|a710{kQZ@O3 z>TyRN1v}c3k_8;u>JFyq*PqM!ENkoJ@Hxa4iY-^yx@%=VDl5UwhsIIbMc;Wt!^z#ho zF9TOC)3zl9kXHez$@P<3YJB8p>ua>T$)~I%$)iCzRxrJN|j- zJEzl5EIE?xL_CrUMzo-)q~_KLG9lpbbJecuzWTNk3z3CWALWG@uA9u)Wi#R2a-@gq z84+dE&98))gqrnnN$^h;Fp9;MXCYtkus$ocT9;DL_(!^?if#_Wi|9r)B?BAtiJN5y zbcAAoqzd^LEP*%8uJ!H*iB;G3XjNNL1Y!Tnrsgq6+LxZjRHV>Ly z^&{L%I?gBIH0S=%b=sKh$1j=cBORY56WP&gS}WFKsK`t+8o{DepSk(k)Hy9W?%*rl zjrbdnr-R|rhWSK{n1))TTARF<7}>FVHlBr*6QGTZkM2{(%rdUw{8iR*@|85=;B%-- zT+uHJ@t7jod5Q<1e#nBj!bb*5`F!aVC5ltz*J{V}L#O&*R6u$cWmfvAdS zLnn9Cpl~~04&#mVm_6zEd3^wax*mSg5bQzW<#EnPZ_ITMCinb$3-7(59#Lu6k!qFR z=0J&8#UDs9{n>8tC32Mu`7u==YGax5BfK`grpN`r`v7Qj;oFw^lYQ%Jz3I-V7H@mm znD*sCONHZX5~qNkh)D;DHuE>`{kU~dYF51_(l65_!}>+^x<>LK7`Opi^&+_LIzaS; z8Ad7&@Y=iM+RL7aXvk##A+#2C+|$c3bJP7iVNBHLhO-jN-+dA4K}4#b^-_2^^)yks zDYIY3NSMAXDPLRMv;Q0Ug|$qC_fUU zOS8~w=r29@_Co_h`^NlKxO?B?j(cd%vEg&yRX6Xk;#xc14#$y#eltD>Bcyi*0bo=^ z9aPMIZwEMOKKp6+VJA}OtT!N^-T!aNllNKHCoxh1h1-oaA8D2M+ZMJ@KrhcGf~0)( z%UUl*HK_v`F8NjMFr2WtERJm4hu}+ke|AkyTT6w}fT%_}4U*sI63c}JHtso5UVjK$ z=Y-lBf`raEU_NeL8zy6<%r8}MVI-X2*W1EQ)TgftTgq2oId-Y??zz^5ky;7A9x0d> zL`qI439}8j;izm^+5nkGS?UqI6Db|T=hT(@)ssX2)8*8g(2~tTL$qvL)60)*H2FOs z5b4GVUMkjxr))K~EQ-8oJ}d_s>G8F3&c>BpO>~uS@yRls3!!Hcq*&wNvcz#paKyGYlDR zBfhm>Uu32eu2z;GiJB<%WvzKJd|j^^P~M2NIux%0PzX37D&j<=@rI?I#4Y};uUPL@ z6%#hTVf)976k^h)x!%SnUr$ABHZohicad5Vh|Zwx6S0*@ummJOdX)w0LZN><}o-Ro8~dk6S0 zbR6`cuhmG#XoQPTSCV@8pjJpvft)wCUltWqIeF(O*FpWia?_E*c|{e(eRXk~Sq9aX zaO0*eVJf}yspv(GzxM@067Mv^hc0_@?qwMYYy7BE<~l#sBv(itus(O8(rf4Ja-nCP zXROvEt-1B>_?eoELe@AqLl8WsS6BY{DRVDA{mW&*PeBiup@X5Iz%8l&-G9@yotR_X zsr^Mq+@AG{ceuu|q#P?{zu^gulI~xW@sLjNmaE=g=6I3u{Hv!d`wA*LMU~{jAHZB@ zp|QI*MxS`*@U@J(qrB7Fe%JiDC?a}Yv6}Dxk1ypHOQl%RD;sq`qaQB%NhXzo{f{qk zxBufyx>c5d=GB+`|867DZPkS(FCicA`nq*$R(SWgudDaC_Yg3>l#Z(N-j0g%=l-lc z_l{OO_xfR1d{(-5mCu<`W*5oB^|~grm^7s$H@8xvhnF;w=bT59>}N9ai4&$IgiYka z#>ph$9Pf!~;(5!x37wu#Z&$8L8@Vr7uj)j;S-bion?O4S4t{3!eEr_e^f#Wqn5}|j z%7bBhka0Biui5L%t?03sb9+F)_^pzDKMR550(Zk0)X^R@3{B9iNWNY5BTtXTCEOqtZE7_fZThZ(rNh)Dcpy> z`*DEe($p3y5n^-}ta6Ihe0emuLp<>(tiERPF;v%}PdLZv-(`Uv*jBlG*XLUu*+ zj1y9|r95;UUdY|ESLyY!e?4vmirLLlzGQAKB%tX@v(bwq5i?}z3YD@j;`3zZa9lPm zYuMtfTKw5=-)|(c)<4?fOmdV*}}xm~pd{cXvM2jIKHz~t0PZoj>my^ z{qG7!`w!hh?-$HF^MO3wOa2OY(b?A8nW1j<6#)cU9T(*BTDJx;YZJLCXH+fk!?kA{ zP=_=ARu*C=Ol8fsUIymfPSBOSN%W6NwvZUnvC6u(O()sY8f-Jz|u4Lo-=Z0N; zWzD;dKJ#Wt_oeKyX4txc(KbbsiY9vN3q7E-fcCSp3;Nre$!`|bC} z*=1Er?}rYF0SL7I$ZqyCgc#mi+%$Zvz|7NNdfIYcvbms6!4u>Jr&osT510_ zVOR?yLh!Eu#GVUf%_xtW-dLclc5JOkO4&>Tw%S7rCkz%G7@zMQc2@N?WsJ)sOjG!8 zethblZt;auU#O(P?hnoepF-IIGCkes&jsfRqt~#K39)QaH^VlX%BFe6f``EjIpvn; z{e9vT{d|c!hFfGhUW6{jArjSo>2r{ga)Y(mWRO~aOX{YzSxYX36F6|+sztwZR=`)U z^S=T!7R>3FWq4e`<1&0uzy~ROJ!_xFuaEiJSIf5rygln5A5X92@||j&$9^LE2zZNl zX}sUJ+KY8z$Edeszb*TUd6qC4yW{h?XNa@2k7oKDe(J9?oq4F^llA%2x(^E^xW4xk z4RtI0r}cTwp2FSJ_?WJF#1(si@p_c&rc~FG{hoU#YbV>wXIwZowD%W-y*$I(+*!Tz z*tpH>jUT5iL7>ONJ`cwicbQYWPtt7p+uK{*i&yqE(;K3FNi&W3Yed=3lV8<3}$_wpp4PFFx{bik2+(>J1No#*Wt6QUm zKE6T=2Nss*cLru6V_KkN>7{&6v=#DN%X(W}v<>QQg=|*0-Zn4VR<*XVp5)_PTQj|! z2SI+iJHLiwycgNh(j0d8#dsZuXOG2QUF59bzrx+?8uDDM>14j^%We(*@b3CYy_(0e zaJTl1vEE|3$V*|)F%MktU~d?&4ZPbf^ozE9JiqqWZPi$gJxK?`hT{=}ix4^(aS9hU z))V={3+9NPKFDJj+zVbHKKKT>E95D2*e`&M`6r%d7Wqk!LG#i3HpaX1<(xy%=;r)n zevd8n3V{cH_2gb-;AImybS$~kn=|Fp5p{*9Q)f#OTXm>AYFD30|jrI~~ z&nvX!f_7Y^9S>^rC}FmJkE$6d}#>#CpSyx?NjUK=b(&HZT~^H)fBcjI+iyoZwKX#F(b z9fNykdNIZdd{y|#ULO*`UB7%^HRo|;y`9$|uQI-9|9wDb>;7jeggvz%zK+XxQ}g_x z&-!@w2||Xir?9^od&}~em}R}T*G6yMdmYO=s4b?5bysi48i(}LIP9IpzV_O^*I+%) z-=UOzEg0ihwrjukdh1nb4I<5#-s3Iis6E#1jdwlJO`Pinbny7{0vq7VuD$E^daKgh zioRjJZ($GNW#4YO@2+<|PR7OiogWpMgY=Mt1EMiS%`4Zw1t;05XA3Pi23%ODq=OrT_H|fidtIU zHy-2rS+egy&3q2_-9z8RTbBBEMSU&rJ0CBz*bw`!mf70IzWQsJEHfXPJ?qPoT=1+e zv$d5yFPGVR$)4k7<}0)3!LlS9Jn!So_h--DIExjs=Xsp@`|NoYXY%!ow1yHvS|jgI zeso3N1b*(k^NwTjPMCbBKw%rK+~ao+@WTroSiW1bQ8a(*DyB=>CfF)2(Sj(7scehf zAU6LuZ;PWK%G&}rj$~UzG@Tx{=9UE;O(D@i^|y?gfwJ9@gZ97qZ%=dGKzq>SbF8@! zG;m};*H(hqc?c*s*#YuB#N*_^Jos1KpFodJ3BIfI4Howevx-?PVZ=-1Kz=8u1^By!E;i3&f8&$lE9{SJYmE=Fq8QK@U;VWr*lRQ zQ`7e_&!$Om#}1q@Z|a+PzgW`n+0=7Pwjc{dlYDA0-Dd&bL=CoA%%LVoaF+zGZ%?mS zAikl1I2-0`fy4Z%?J`O{#KCVcFgx&FGEHShoCV;p;13db^v+M%hH1{?4?oEI=t5qu z-4RE*vjdafO%uTPOpV-e5ZW=3j>!VPA; z_FKRscVnvFBN>1(p@RJo3P@m2@W_JQ`o3=UEsfEUokA#bxy^Sab#Qu|u8!5B5yK1iCuK|^CR zVR{{5k1o@;3c>sNl(EQkVV&aoB<>$ftVLX>jtg5mxCBrF!*%TN$PTXA9ncXz1-}^r zb~?$Pxg9yz*)!6!siUAQk;Wa+zmv)mam{f8G1st;VS*zi(CD3(ut{VRhXOz7qY+4T zC>QIe^jp`q6F-8XY_hdgdq#EgEr`HLrcaD#CR?9@g%OYo@R`ryf2s+5@UVY?oh%4M zhMc-vq}c!~@W6WsA7XJ43+-;guvRbq(gM6TKo)pM!W5VN?ve(W#JfY7@NtWT zEl@TfYm+PKyA|7H;;tKh^9pI>6xc$ianz55za@<&bsQj7=5ANxPRCWU=%#vS~I zUDk&Ea=E}x#l8Ua1znsMhizelWE1QgF@8TaQ@?Z1Ba?blG3WR$qW+-g`xVx=b@xG+ zb7}%5(10)P73>DW$p_f;G|5}ojjOq0Lfpj(V4FRmHK*pBn7hr#tF{|hgYZJC#hJN!Qm30H5 z9D00znvSRPTyFPk?S8F&d*!Dw#$p2jd*EzgYxAjcCH6kpUHm%`If&_90CM_ltAR6( z(>No59p4X@uzL%C5dgXmAuC%}`>K_`YPqj|(O1X5TjqK$iw*(xg#K#Jy1->DCR5M1 z!F}Fslav>U@#|w#lG;_u59Y@wQ#5QBjp#l8f#6y*IOmL%YD^S(a2FX1Nl zQ@?0KTVTqx!g~sPSBW;X=J-ji4HAxmFG-kJ=8NmoKM-D)8+`r&Ki5~H5s|=U5XA{w zg>?}ymk@lsTSanW`U>rdu+rQg8T zb#mP~c*v9K4d%c_|C#j>^HsEMAzFPz9)7G~hd)cj@nXzV7UU>ezV|YvDnDtA?+&9=~wzk%7Bjc0G zfM=_(&lcNJV3TCJ_(Jg>z2JkJc`n)#ZFTwb>fRdurbvoBAEU?W`tv`*wXg*5#FV&rVP5xSl;> zKV9|fw6sNICd*CXrC604p2;>@q2 z+~HTF$7X%-k!}4gx_#?7Yxh;q>yajUNv{Up4*Uw9V`eRjHLhRqj(^E}AbIOeyum(S zJ3X9Jy}4bN?Mb8TmYTNfQ@v64S7!C<>!>Vy&w3Se_lE2ieeE^r&0wdnNvDt5{n7?I z>HWQ(^s07(b=Q7BotLuj0Dp93yZ(`WO?6uKhsQ^@v#`&fRehi@@58>_|MEF(SX+;< zkM?U>!rTMdPW~o(HEPo zt0rk681TQU)%l3UfM7Hu*bp~_LX*yF%HQ0%ubK6{e<+UgYQU{ z_A8_JR$KV|cshs2*(>hp5Vz^&z1avK+wF6Y&N}J7H8P5R?MDOk(bRShAsm8eh2D0a z+ETvutRI41QL_}6!8m8T-uVa{@GJT_>8l~`?9bYv)!(hdR}j1$GeFGN?uE1S=N-(u z^(9|ZlHS_d^{o)3DD}Zw-t12X^BoF&8EYEsEaWQV0uc9{)9rEgX3VlPEb9rBcDW}R zJL41@^!T~5)*+U#!rjSuvR=xuF6zp-wRWFR=YH5Rs%sZ`fLA%SJG1H@hCE(p;riH) z`xw9LC0~eqcDq?0wAM~#tM=;~v)ix6X$Qf6Ev_|SzD@?6eNFXK_%{Re`Fh;F2wq8z z*XwR}el*rkGZT0Q_k! zVrU%Wpiv-;1k?S443z8+(%v#a{GSBP`K7sR`-R)zL6 zm>;h9a6S7ul?}ptUs66jg&10+35M7 z5Z9Uw@bd-S0{=wgf1=Nqww%iwTjz5*K9amox3hkEt-tX-7W}@9cpnzuYnAWZ&hayw z`(#90(TCxOM8}U={$r@de5h^yLuf*W3BG?RzFo_ywrP=3^nrdz5D!0QI{Ziaz8k(L zyGSVBBNp!?FNpXJbh-G(B9M&LVI1p2{U1s^v|XFwmlFPyBtt6xo=EX`Z4_qP0dY8E z|I}^bU-Ji@5}Uh@a-gDLOVK`N-@6-U|F-Op_#H9v?TB&ddo}`|ez!D#zZAcRXR7Xl zsME;1+(-xOPh4mISR-OgO6#M2aPu=Xd50SN2lypQJWCmVSChqiaew-^blks*yVMz9 zaZWTg3wn{m?6G4P-<-(4TS+!}14M~@;MGxRg!g^3`j-|3)Lgg=A>RYYw*ejCS(-^B zmn{~eX5_O68_$%YD=NN|OSK?cnC=4M9@~h&%i%`m;$gvjysbfltH_N4;j4p= zruaKZzQ%Z<**1;vt1!gXG%q^Py#5R8f#dg#R>NgH9LCGxD%NAL7<|7+zAt^BRR2Dg zGT=7W2>wZ!>NERV2TS4)#694*qOyLx^>KrLYk+HG@y+;;T8p!dd-2T+GQNCQ!R4F$ zc?^8yH$XlHf(91-#QE~rCi(A{O+-KZc041CU~FII-!^Mqxw5VNZH{s6xwZMo-L$rw zzLT^Jz7NOAdokDkZwvc3C7t)lZ(8}iB`_TE-L>2fT8^FaNl*0-%cMq4!+q_Em6S=s$QJdqp-brz| zS(|6OHrI~$b_>5A=id?oyD~MW`i=?@8sA$%S<2r-6XRy%^@{zrp2PoL<+t=(D-~UB z94>kC5>H;^ndyH08{5PG1Nc8~d@l{hSpI$(&h`5Jn5~z;v9$_Qtp6tXRK73sh7WP? zeJ%Tb7PJ-i4q0^h z9WodH4jpq3_&td9Tf+a7y*KecLSFH`G&lWT+ERQk%`JT|&8>eg&29W%S_}UBKL7v# Z|Nr80P~ZXp009600|3u8K9*a{0|2K7d@uk2 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/_CMD_.CML b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/_CMD_.CML deleted file mode 100644 index 98806d1..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/_CMD_.CML +++ /dev/null @@ -1 +0,0 @@ - -osyn /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.srs -top pll_200_125_100 -hdllog /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr -encrypt -mp 4 -verification_mode 0 -vhdl -prodtype synplify_pro -dspmac -fixsmult -infer_seqShift -nram -sdff_counter -divnmod -nostructver -encrypt -pro -dmgen /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/dm -lite -ui -fid2 -ram -sharing on -ll 2000 -autosm -ignore_undefined_lib -lib work /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd -jobname "compiler" \ No newline at end of file diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/_cmd._cml b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/_cmd._cml deleted file mode 100644 index 60abe88..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/_cmd._cml +++ /dev/null @@ -1 +0,0 @@ --link -encrypt -top pll_200_125_100 -osyn /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.srs /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.srs \ No newline at end of file diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/dm/layer0.xdm b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/dm/layer0.xdm deleted file mode 100644 index 8d0ce64..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/dm/layer0.xdm +++ /dev/null @@ -1,163 +0,0 @@ -%%% protect protected_file -@EG -- -]17p0Osk0CksRsPC#MHF=3"4j -"> -!S<-1-RFOksCHRVDRC#O0FMskHL0oHMRR0F0REC8HC#o-MR-S> -S -SS1SS1SS -SS1SS1SS1S -SF<1kCsOR"b=/lEFCF/#VD0/NH00O8C/HFNlMd8/3_4jG/nc#b$MLCN#/LDH/8PE/bE$CMsC0P#3ER8"N(=""=RD"8PEDO"RD0H#=4"-"DRbH=#0""-4/S> -SF<1kCsOR"b=/lEFC8/NsMHN/0oH/L0s6/#O0bClDCN0/Fbs[0CO/DbD_j.j_j.j_64._j4j/DbD_j.j_64._j4j/DbD_j.j_64._j4j38PE"=RN"RU"DP="E"8DRHOD#"0=-R4"b#DH0-="4>"/ -"/ -/S<1sFkO>C# -< -S!R--vkF8DsCRFRF0- -->SF<)FM0R=F"Isb 3D.D_j4j_.46_j#j30Osk0Cks" -/> -< -S!R--vkF8D7CRCMVHHF0HM-R->< -S7RCVMC="Okb6lp3em$3#MD_LN_O L"FGR"D=PDE8"S> -SR -SRS -SSqS -SRSqS -SRSqSSqSSqS -SRS -S -/S<7>CV -< -S!R--vkF8D7CRCMVHHF0HM-R->< -S7RCVMC="Okb6l]3 Xpupp$3#MD_LN_O L"FGR"D=PDE8"S> -SRR/ -SqSS -S"/ -S -SS -SS -SS -S"/ -SuSS -S"/ -"/ -"/ -S -SSuS -SR -SR -SR -SR -SR"/ -S -SSuS"/ -S -S"/ -SuSS -SSuS -SRS -SSuSS -SSuSS -S -SR -SR -SR -SRS -S"/ -SuSS -S"/ -S -SS -SS -S"/ -"/ -SqS - - - -/S<7>CV -< -S!R--vkF8D7CRCMVHHF0HM-R->< -S7RCVMI="F3s b_DD._jj4_.643jj#k0sOs0kCD"R=E"P8>D" -S -S"/ -S -S"/ -"/ - -SR -SRSqS - - - - -SC<)V=RM"bCO63kle3pm#_$MLODN F_LGH"R=O"#k_LNP_DFH0M#"S> -SWSR/ -S -Sj" -SSS -SqSSS -SqSS -SSRS -SqS -SqSS -SSRS -SSRS -SSRS -SSR -SqSS"/ -SSSS -SSRS -SqSS -SSR"/ -SSS"/ -SSS"/ -SSSS -SqS -SqS -SqSS -SSR"/ -SSSS -SqSS -SSRS -SSR -SqSSSS -SSR"/ -SSS"/ -SSS"/ -< -S/V7C>/ -<]17p0Osk0Cks> -@ diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.areasrr b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.areasrr deleted file mode 100644 index 9d560b3..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.areasrr +++ /dev/null @@ -1,15 +0,0 @@ ----------------------------------------------------------------------- -Report for cell pll_200_125_100.structure - -Register bits: 0 of 24288 (0%) -PIC Latch: 0 -I/O cells: 0 - Cell usage: - cell count Res Usage(%) - EHXPLLL 1 100.0 - GSR 1 100.0 - PUR 1 100.0 - VHI 1 100.0 - VLO 1 100.0 - - TOTAL 5 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.fse b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.fse deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.htm b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.htm deleted file mode 100644 index a052015..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.htm +++ /dev/null @@ -1,9 +0,0 @@ - - - syntmp/pll_200_125_100_srr.htm log file - - - - - - diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.prj b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.prj deleted file mode 100644 index 63c7206..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.prj +++ /dev/null @@ -1,46 +0,0 @@ -#-- Lattice Semiconductor Corporation Ltd. -#-- Synplify OEM project file /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.prj -#-- Written on Fri May 10 14:33:10 2019 - - -#device options -set_option -technology ecp5um -set_option -part LFE5UM-85F -set_option -speed_grade 8 - -#use verilog 2001 standard option -set_option -vlog_std v2001 - -#map options -set_option -frequency 100 -set_option -fanout_limit 50 -set_option -disable_io_insertion true -set_option -retiming false -set_option -pipe false -set_option -pipe false -set_option -force_gsr false - -#simulation options -set_option -write_verilog true -set_option -write_vhdl true - -#timing analysis options - -#automatic place and route (vendor) options -set_option -write_apr_constraint 1 - -#-- add_file options -add_file -vhdl -lib work "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd" -add_file -constraint {"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc"} - -#-- top module name -set_option -top_module pll_200_125_100 - -#-- set result format/file last -project -result_file "pll_200_125_100.edn" - -#-- error message log file -project -log_file pll_200_125_100.srf - -#-- run Synplify with 'arrange VHDL file' -project -run diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srd b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srd deleted file mode 100644 index c0e0a024e460d4f9a39619b05aeb8edef0bcb16e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5505 zcmV-{6@Ka;iwFP!000001I*OTPs1P-0Py?%3R1J|WGUM^HM{6xiHB)C8E=FFU2&8W zc-_Z;FVpFQ9~ToN2TFL!d;ALF4TnQyT?dlG`z!^mm6n4VWw_~DiG5cC)(k*#iG^Zq zX9S+)q@cUm6#Kog74s5nRpCt|um_QcIh&)iLmgBMSdCDwJPlEe$oy^18>I!+$^**I zNp_4{=A?lyVQIMA+dxCb{P!?pJ#AR{*!3zRl4OV=2$@YI+&C4S>09X(k8qPzaDD42 zXI8ZlZ<-2^IR#BbD^sEM#;cW)@uQukqHFe69;*9@ckyRDCY)jhuM}W#Rp~hf+1lWh zIP}No&>ykYnvRR&b&zpMNl|>neppBN%M{L5_dL|alH0P6-^^7CJfnGMJmiAGsY@6C zaB;QZCjj{d@OXc7w?L!gK`w5e9+t~x9)5;an(;NQy4@%gKpQ2uNQIR5jBV%E`RDCC zxSm7rWRyb&*NYbb00960>{nfHqr4Kn-uwy+_F~cYAgrJe!Ah4~QRcVWo7sMoM@7PQ9O+0XX-aO>}yH7Ywpd*zC7? z>?2TC?6GAI6F%OD`zE4lM2rOuFZBicn6z=+Y!wx}gcbDJN}%xA!E$NhJp*ftYS^nR z-0k6_(i3VuGw_P6!bd)8Y0hdFiG5mOqA}gN71~FH(#k$4hV6Pm^5Aq!cGhuYi@+))XVGRc~G^;=r_2oXsIg z>B0Sp>OzT~(ft#Q<82eC{?{ip0)^}ipC`*rUv5e{;_uV}RQ1BXX2gZ@?f;wEv9$8y~Jw9xa)7@i-vyzHRb&8>?F%nBo;y z(&dI*9NzP#J?6`Ck7L?``YkZ&s2# zTNG)p_1ojw+PzqN7i){Jhg_-Xt0i#W=aI#uuuK7SJC`zE8qfFqkWj24gi~13+>`qW zPa~E}8SJG=VJ$(%kURf|G*9T)C{FpQIyvO2ThpI~gJc~&t`!7UonY5uNahrSmZcgN zZwQHEVNnAeOUhUxo*2=}d()5wO5)<0DaevIy3FBRk#B2>+KlhtZN9t@fp(xtE2wCfG3l%Gp93(?2dH&@)RJiDE*7w^vF^z76!MjtRTDxKK7Kd-?W*OlYsx3EF* zhWg$6Qg-ifQpekh-=X2(N#4iofC}E!(zafCpa^+okP$ts&xxZR{mS0ZXG+xd-_t(S z$4&a;Wmop}k9$Lo4*s6>!t&I%zBVY>N{;yG<8$n*7q~mCBUgCsz&piUuQL<9-GPUr z9!sam!}Gh(Vh%W4w`XfPJDBh5q|?{UDD$|v3lHN>s9$M33+A&gG<1F_-3-O|{EgbcY-S3XIgG`yv{9d^q5DsCYefDSO8Ixm zH?Qzj7=H~2jpj4zLlBt5-W{P_h!Qdn)!1mFDe)=U`~JL%``K~)K07Mm4;f3bx1nSk&+i&j1=Cq~ zdJO-EA2+0%1NTJCaSj>nWf#c(EeEwS(dUNP{51)be9)aU| zfu#}d_J*^44s<$r?ds%{boec5A(tEEWsMg5e8Sj_d}qHP5c`38)Ni)bfl+j8-@fR& zsoQ#Ho3^g+nC%r_0?a8A9@A(XGzOLPG>LstFO(uVmwSf=0=8Xb5%=~bF%jfz2{glWV!OQKfxLW^a>yl3F%pTsf zExWfa`CCUEGsd_H8X89No@^B#hWkpM?~(Y15l&>f{UGRCwQwl{yYTPFT;z&_Ph+^|St4nMGF$S2&!$2L@0Fk0!Fgx??>JTk z&Fr<^*M#h(9(;%e?@yBFWZaf;uw~M{=ft+VIT${Rh3s|mTQ>rgekDW=W0k+r*6@j_ z*WnQ3mx+w=M3e)m6U!R(nNIvSI?ahak=U6C?8sO^7gzmijS)5PZ}f6~dQZOzz1dN3 z_L<)7FZFiyfa|Yp<}r>E$16VQWS~^MtjXAjo)l6NN2 z#1K+sj}Y@-BziF+ls9xW+U|)D#4y8meD2JytnVH=9LRnmdJv!0KdtYA=eVES{QsW( zH$C}@V}_Sn+cdx1dfC{<=9dp8*rnk&8`v0)-^)L7yq4k||JUvB`&<>i|^ksr*pCE@E-jW-R=im9X&^@;zXvRe!ou)E=APx`ElE z|Gt5~hX2uLQ9my3&3X*{$>t&FkN)x)iEqs#{e!$bGuT~0SChED|7o*U+K3&zVz60T zfL!;uJl=^$x1;|800960N-Igt$+6~ga0LJW0RR8IIBRd}ING1#S41(=p6C^jC=rQ3 zNT;!J-4S+(JI&15ZDoL+NeK?5EX!Y4GFces{le`8Bv1_J+eR zL9aIquB4<=w{k^DPZdR=dV@d~1zNj0(e4_HQ9FsGkGF_%p>j=JC7A0bHkM?N;dQT6 zgU76E1Kx~CpK*@q7a0`h%%qQ~LTjuO*$zg+pUJGGS|mhaPKb~twnSrF3ls<|S)e;|9OuBVMXZ*txuFQ7!wo_5{;)_N z>I2XW27};x(7jKDNX*~+?xGuI zQB)M!qDVv(-8XWP^gAV2*%m3C)3uT;D$a1u)p}AU5L9$C8uXgjPm@T^kic#EqtQik zg>{B8=82*SNq)4X!Smb>!avI9x6e^WWf~_5p{tsA6mWOSWcA z;wX5BM{-J$R#VDb__e+azRm?!++|Qgf+4hx_{V$2c%Ex4BtpeIegbE%)+)y+7wBAb zG$U7#msIBpvo+MnR#6;n`TKhUb%ViWpY)3;PRbycFFBsk@=Ad#^5{J+QxmMAC8$Y9 zyBN_(f7I0#873M+yk!df?xG210XoIe@E|@nu~`4Y**bWs{m}Pm&0Xy>UguDw!1)O>u#LBItM>Ffw}OlSfe7rl&(} zp~0NsrSt{ORZhWikk9{~Z|cEfi$OF2k2wF0ghJ9GSX8$79fqo!=%coNNSl zN^{0*q&VccDlx-cMMsteza6_8Ggl=LI4pETR6bu?k^wvkjpUO1ZI6QUH3`iV&2bjGVs^s?7zW_&&FbwV=d%>44UxM2ax0}2#6Pm*eRxGC+lFiJae|(xl|2X$bs7P128hR6z zOItpLp>m#MwUgee>8Bd@5R%bo3xf#H*j1$1xmQp+MUb}UoaR9S&4HCU$+0qJah8`PxiYziBe%bTxX0e^4<@q%wJ-c+mF$Qx~Hh#B*Vb`_&~TSA4!(l&OyqkFu0e53PD zbly+tybp9=ZoV{hi-s=loqPdtWe``F5~caeo?`rEdp)p^p6t6Z_T2M7yuYRWD!)QZ2gAYr@DZK_|5rmE@hU}ys~Wu%iSu%>mcLtfbyZaj zy_k|@+ETQ>lxN&_bsewbbX>Jp={5LjA76~Ci`8CTyY1S$+gX)o1;!tB`})q>d0t^v zWAIb^?z-NEo|Qg15wJ?VK+0@2{P3(SCna zrgn@^VP0vaVEYofg!#)d?oNfeYUS|OLQq`XtQInwV1d*cRx+7jSc=?cuVnBtk*y9& zV4D$`PbI;yWn>&HjU;x_)QviZ9)^lE{nzZOSVcsNj+qM$j|jG!wWRJWVoXO^U6$+U z(>eKco_;zDB4Ldq>g%-CMB3y1Iln9XZ|SAMW#a_gH4Q6-{AV7ZZcKfc8Df za@bXILP-l{J!sq$*vL+DGLcVEf=@i&<0XqXyq@8ayxrw0F+P+na02x4S3D!@#di%J z?!gu%re|4r$^|*gdXE<^6ia4}ojsGLCC?upDP;&t))Lm|4y$RqvhD@;qn0k@t45k!gBl zn5wk*AR9eR-G>({4c^Y1u>N%RR62NfR$ecJrg(qmx7c{|RyT$n~+@;c_vtZ&usgC75Z#`{dOVK9s4;e(Zi&Otr@ZtrB% z+eWK~W)mI&{~@)W9d(euU)T`C3!~p(=wcbh=|ki1gWSvkFLgpo{r-FiLusc#*!aDw zD`k4>Mm>k;SeV{#eN)S7cVQXa3-2wicVWF#p5-~4L;Tmc))mYKlSeYOL(kBU!bb0O zznov})NiZLG@p0U%7&r5S5N`zTyC6H#dYi)95eU#zdF_-wwB*gRWCv7)js`eUGM9k zz`UQ=|BHN~X1MwPj>yPXB3OkMH;<#@rv3i_00960;&M>n0ssI2|NjF3D2_O~voQbw DI{7Xh diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srf b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srf deleted file mode 100644 index dac1f38..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srf +++ /dev/null @@ -1,403 +0,0 @@ -#Build: Synplify Pro (R) M-2017.03L-SP1-1, Build 086R, Aug 4 2017 -#install: /home/soft/lattice/diamond/3.10_x64/synpbase -#OS: Linux -#Hostname: lxhadeb07 - -# Fri May 10 14:33:10 2019 - -#Implementation: syn_results - -Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":12:7:12:21|Top entity is set to pll_200_125_100. -VHDL syntax check successful! -@N: CD630 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":12:7:12:21|Synthesizing work.pll_200_125_100.structure. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":2083:10:2083:16|Synthesizing ecp5um.ehxplll.syn_black_box. -Post processing for ecp5um.ehxplll.syn_black_box -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":832:10:832:12|Synthesizing ecp5um.vlo.syn_black_box. -Post processing for ecp5um.vlo.syn_black_box -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":825:10:825:12|Synthesizing ecp5um.vhi.syn_black_box. -Post processing for ecp5um.vhi.syn_black_box -Post processing for work.pll_200_125_100.structure -@W: CL168 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":50:4:50:17|Removing instance scuba_vhi_inst because it does not drive other instances. To preserve this instance, use the syn_noprune synthesis directive. - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:11 2019 - -###########################################################] -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:11 2019 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:11 2019 - -###########################################################] -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:12 2019 - -###########################################################] -Pre-mapping Report - -# Fri May 10 14:33:12 2019 - -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - -Reading constraint file: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc -@L: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_scck.rpt -Printing clock summary report in "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 114MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 116MB) - -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist pll_200_125_100 - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - - -Clock Summary -****************** - - Start Requested Requested Clock Clock Clock -Level Clock Frequency Period Type Group Load -------------------------------------------------------------------------------------- -0 - System 100.0 MHz 10.000 system system_clkgroup 0 -===================================================================================== - -Finished Pre Mapping Phase. - -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - -None -None - -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 56MB peak: 143MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri May 10 14:33:13 2019 - -###########################################################] -Map & Optimize Report - -# Fri May 10 14:33:13 2019 - -Synopsys Lattice Technology Mapper, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 113MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB) - - - -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Available hyper_sources - for debug and ip models - None Found - - -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - - -@S |Clock Optimization Summary - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - - -Start Writing Netlists (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 106MB peak: 143MB) - -Writing Analyst data base /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -Writing EDIF Netlist and constraint files -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.edn -M-2017.03L-SP1-1 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 146MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 145MB peak: 146MB) - -@W: MT246 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":56:4:56:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) - - -##### START OF TIMING REPORT #####[ -# Timing Report written on Fri May 10 14:33:15 2019 -# - - -Top view: pll_200_125_100 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc - -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. - -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. - - - -Performance Summary -******************* - - -Worst slack in design: 10.000 - -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group ----------------------------------------------------------------------------------------------------------------- -System 100.0 MHz NA 10.000 0.000 10.000 system system_clkgroup -================================================================================================================ -Estimated period and frequency reported as NA means no slack depends directly on the clock waveform - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise ---------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------- -System System | 10.000 10.000 | No paths - | No paths - | No paths - -========================================================================================================= - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -PLLInst_0 System EHXPLLL CLKINTFB CLKFB_t 0.000 10.000 -=================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------- -PLLInst_0 System EHXPLLL CLKFB CLKFB_t 10.000 10.000 -================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.000 - + Clock delay at ending point: 0.000 (ideal) - + Estimated clock delay at ending point: 0.000 - = Required time: 10.000 - - - Propagation time: 0.000 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (critical) : 10.000 - - Number of logic level(s): 0 - Starting point: PLLInst_0 / CLKINTFB - Ending point: PLLInst_0 / CLKFB - The start point is clocked by System [rising] - The end point is clocked by System [rising] - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------- -PLLInst_0 EHXPLLL CLKINTFB Out 0.000 0.000 - -CLKFB_t Net - - - - 1 -PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - -==================================================================================== - - - -##### END OF TIMING REPORT #####] - -Timing exceptions that could not be applied -None - -Finished final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 146MB) - - -Finished timing report (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 146MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 0 of 24288 (0%) -PIC Latch: 0 -I/O cells: 0 - - -Details: -EHXPLLL: 1 -GSR: 1 -PUR: 1 -VHI: 1 -VLO: 1 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 33MB peak: 146MB) - -Process took 0h:00m:02s realtime, 0h:00m:02s cputime -# Fri May 10 14:33:15 2019 - -###########################################################] diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srm b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srm deleted file mode 100644 index cbb05bb7b732d977f12e9578bc15a1d612001329..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5633 zcmV+c7XIlUiwFP!0000015#C0RVXORFG)=OcNV;JpN4zcQ2s${|u_%{Ey{7~JeKmn6)XEmfnA zoy`ple?tJ?V^G|IRL-)^4FN`WZZ=DdaUC1#O}udceh(i54nvnenH+`eJno!@@Xi=C{>zYPUDDzG= zKx4ggnlxkpjjn*YLLEyRCklq3Jkm+!fGI&VG$w&kL9j3*M;a<%T>BvnyzmP5?qrwk zh>;~TgDvZg8q4jOFr@Q`#UO%8d6uS5-+_Om*9jD07cWZWqmk=>LPvrfFgGC?#IrHP zwYq8O16oZUK{B1l7(!4flfYbnGn68@Hk2@+epp?3fqZxFLm7S8o|)5d5e*)yX9cEx zlq3D)ObU3lI|WoQ_tsn=2pjr3fnBYF>cpGIUc6Fo9{#obIx&#`bNROlXaUMs>zxBq z2;5(gCGViC++X5+-v}pRHTORAcLhe+r)xjF^Qbor9@{gaa!o@&xC*@Lj)5%hP&eMy zCf?-3nMF7sR1c9dg=dr>ygRxo-G^|mz1fBRoSItEKv;p-9ZcqA0b};7W(tGrr;z$r z(SZJV>I*#s!w>sj2+lMW{Ar*lRewG(J=ojvQu&LB`UB5jgpo%;7Q7tR=ratI2e|Wv zT>%)%10CL(enVRs{UBOr3)uq^>oQ}F%zm}Ta8vpn`f|xH^rLlEM-gDE<}Qn4YRwq9 zIoqN9%Sz@Fu}@2q_3(DZd7{ybl|91GrAz%3 zSJ%`XlTrtizjSq4>*G?K)9~EbtxM1w9&UQXp{#9LkM&m8czZmz)sE-5tUYdP5z?!3 zT0$}wa#fnd^@c882CPONaiVSrwHTBm!RHjOpW~O-E{UyKOg1Xr!LRaS-Md)37i+7g zjIn&_QfsqBJ!`h4%(Ze#Myv!&PkLn3_=|wLrE#Vbq9-HJGvYS;nC%It(Q}&Qu{)~4 z;y$E19ZQf)YLzjaBs7al$a=|^=08g!$s!jgQB*A^$+ahAk?_LK`ny*_CkVZ2?R^g+ z=y=|`3cf?Q#xJP8e>l?trCJB!m+)_R{6BJ98s$55!YWvw&?-Tv3Ss>v{GV<30*cz~ zgAvu?I$Bgw=0yv|1)0%27P+xydG9124*AmV@tB@jv50l5r-vNNC@D#giG89Ub45GP z6`iC<9P)S1a^N&qE_2|B!+hHw@@;#WZ>M>*&o=^Fl3P8R)a7_DH^I6~S-liIlA5YC z-dMRdq!7@SnW7Q(`*Jf89{OsUjB>Rrnl|INSb2c5+Tc9c|Z;6Ir)n zeqR>Psd|Y1XKKRl&;mK`=_QkiC1}Q0T~eLs>T>7G1gtXFdln-ijxKW;YqPjp#}c*q zROd5!>JUh_jj4`RK~BzjCZJoiHxhODQr=~qDYQc9$ZAIMnlOSkRzaFxk}P-V^_fM9 zy0Lb@AeOD!Ua!mACgc6MT(9L2c5!0ONNlM)y7?vd!0N>_A^Y;)xu4`&DPhyw+e zb5?_825KDZne#5UI8nc?O=F8xIFUO%*6}5+nTj$pJ$~o9ZP8~vV&x^R6L}1Rp6_!S z-ox6QX!+ot_Q_tGA4TKOV;^e$w$E$#bAd}#az?dQyM?d&UEK>>iKB1ezC64*Uju;wreYnzvapI9%!V@`{5w0luqOYe1cquI4=(NGvwJ@ z;$-hLUJIP`Xh-q*`{lDact1ND``OVrogF`W6PPRWR`B^@cpdC&5uXEbKE1c^-!#qC zY%R4-Thms|c5^od=HxNzhZAVkp?oIf^CjNf8w>$>6QV;D201fc@ z{e=8(nC#~Aws%Hro2v$D)26TJ#2iCiVu2+NGe5|$aUR8Z*GJS~|fe zlHg-GvHF!hmK>|+c1@of*j+U}QBUf75R8fIhB3FS4|g*aF?ZWQEfsiJ>H<1T{lmTRddF*;qo4Y4 zidiCHN>W?kLDvKioQb~F194~euP|0QOzo+#MbvI+W+^HC=!;Bla88Q4^jlrJ&3$%l zTl9z;zVgMPhaq2HUqYoHVL@3hI-YoU_gf#ob%l?+yc{%rNA#7ickacxeTMkaq|y68OTd4Yr;3Y zKKE%D;S+uBg^SSa@cP;pao6SXmQEWuEn=1>7`VBBpYe?`?v@F2om>_;{$C*q`^s7g z!f@erc<(sIz)Hlx^UAijDsh43s!O&^%0I>sk<~5whxdnI-yix)qy&p~=t0;q`^WfP znN3+=JvVU>Z?mMw`!k?M1UA&sV^HEs6+Ud{8{`Pm6y$iYNH)`;hR(O0&PYnl0wLS5y20yK@K4yyuz? z#QUC{)O}HBzu7B|#}T*VwI}GFVE*F9Ea&fHIQ0Y0G3Ml?R`Yr$`r+!E$eY*tNY8VR z(*VA~__ssNFLlNk6?V?y>7}93MV|eQOsqplX~WCY9QNz|`|iKn%yZ{dZktPh^XZ>- zjx}4v>T7+KE;`qC_MDRhq`eb+3p++}qsS-5FW9 zbS8vQg3=T%!~O4j917F+cJ^gon)-bEe4Ed)`0U?~`lHb&zuzDE*FsQHca$WgFN?yL z{h=?40fKNhmTp$0=gLy9*bj4KMB9k zXC^#EC0gM+5r#kJ-b`dAt$9KujtSwS#1>IR7X3mFeNgWgt(>kvF_j8U5hD~kYAk$1 z@R>JbXd*)5pJY$uEcA&O&kQbVk0)%Bkz0H`Q?Cs1ie#7(VcfR5>857eNuA`Fm%_0S zTrXK!HE!v<9*RquaZ8Eo4z0!Di#5Tt9Mn8y10HV%aOq(^MNTrnq*Q$1VD;~s;z8n^ ze0TQWpeWw>^ZnT?irJS*Xjxz4RyXkyyyCHPB*Iel9n4Ay0ZoPB|0StBHI=rEymp_%Bi zSfZIuDM$|aipz(VH&lHIt*II9yJVs_7~b7+G{m65grHA-Z@ptTyLbd8)=>I!Y2kJ1dFG zy5wC${NOJ56eFh`i|>Z<*RejX36&f<&dWVLnvrX82V=jjvXJ3(9cemBsG5TRFzv*r z8qxH+P8|%vVoD;87~aI;YkVx3#Hv<+Yh!zo=kN@`vgTMJABmUT%qY5+j-;wac*SJA zDK|94e*6=kT}$F{2Z!-n`;rh-QL46HGvN_Quc2}j_QRjNlWWO1uIp|xk*LNFru7?J zGszu^Yr^Oz=}L&FGeLqOU&m3)h&_+!Sg=+6n^@simnXcyp>Y$}%%M%K99(zNGe($i z&{!0$Z0$GfO*k9+C29>j<#r3m6*CboDhb? z4U12H^khtGf)#fXj8!tZF6y6rB;rZkKxPH?4DzLTv31UQL}=2$?E@ls_Y6mTk5yVi zd}n9*`#DDx+biLm?;3L=SBXO@^nv0s`h z;HBh>GUZSaBdht(dY-3i*BX5})ICMJp`#E!bV;n3%)07O(;n1c?dX32Umtoa7Ke3u z_!b;eyH|r7c8AJ*9`5C?KRocBN;VyipAOIc=ynJ^8-56JulIN;Gk(Q~@u8R=dZa^> z1MOvXoIo~g(1G=`D`&$o2@{Hv&Y|ZYvJJb!bquY@!EIgn=y3R>XGh5dckFe{{qk@? zy%mP~1gcU>7Go$N&HIN_Gk}LlO*n=Yp!Ig_(1wZw@cag zhqCAuhtgRQhENUmXW0MkQ0QI_aPa3WVVF!OhXEawP}wvaI0OoVB?A~EWk}3=nWSBRUseI{h&LIVeTPJAjnrz^2hRi0PO(F7rVar)@bP8 z_aFUFpFW*t8}*WsfGIG~p?5gi4nh8*2)GjlravsCUl{*5_ex$nZL3j2Sh;)(0%=Fj z%dIP3`YBA@jKm=fJvqbdTAq6aqEi~Sd3&sjE8!*3fnw^w$^v7xj8{{E>*9n{&}IcX z)}RA>3o2pJ0NaNC@RNW4eK`KUXywX8B|4`{v=aSc|NHR%`~Ay4v2qw>RmnC9bXh^L zujjIsHxV9Z(AV3(aO{UGJtT>yOFAvxX@{oCPaH1daj)E`yNaxIoo zwKf{i&0v3Uh~A6ZxKviOwARf}zDLdX*z&n2KKECA?oYl?n(vbzEn2>QC8A{?@T}cs zYEnze_$Dr4hm6+M(jE8Vezd*cZF|4lk-r{QQMDB7akTu~{VHLtK_%#`zZJzuMAhCD z`TRjt>*m=`Mevl%o5J{4e(@C4V?&I9YA>p2ZssC=_$t!IevZULWXR&?;a?Y#5sm9Y zd>d7T*yoWs-;2h!MUytqVxQVFH{AJ+-Rv)-hp4?njJi_Aw8pD8zHfM4@znA@Jq!2D z`{e$T_p|s6ZXS+?_oGKx$N$-Y&*CaYg(J1yZLZ)#Br7#6zY6ous;R2u{sHSy(wPc+ z)udGg&E6>@<5c+HH9vGjDU`HQj}~XmR@gsJYNNd%VxuZSzInv(2lE zHm_RTV(nfW^K)?npXK_Zif)!hTIKUKkhNbUTHfqzGrFjjc4Y1aU1+V^fV zH$Q?`!Fp?t%_pb_?7d7K%dxw7qkj!GY%Jf>&C|SEuA?{p zvXwBaU9^0!=Oxy!X>~8t{dZOCrx%jEtYKjmRy=hw*|~$`t?QHwf@$9!}U4QS+?(sZ4pM$G_j z+Z&JXm?M27Bi;XmaX;n}6K(H5!xm@Q;tVT}Fo=Qtx@)%L*neN{lKE6mq-?tcJV zyn&fJGmw|d=IeGYax0y?a&5ix(H!;;2>M!VtzDH@>64Dz$%b@pKbtbB#P;sB9lzvp zKj7Jm_52}7Q#&x_pU?ZPEv&Z3X`VHMJ!Kv|m}y||<@2A`r3fz52kQgANc-G+*+u5- zJzyRJY1+@h{!fuwkQ>K1HL|L7UzNf0`Vn0ssI2|NjF3Buq(y5-b1!fAM3o diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srr b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srr deleted file mode 100644 index dac1f38..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srr +++ /dev/null @@ -1,403 +0,0 @@ -#Build: Synplify Pro (R) M-2017.03L-SP1-1, Build 086R, Aug 4 2017 -#install: /home/soft/lattice/diamond/3.10_x64/synpbase -#OS: Linux -#Hostname: lxhadeb07 - -# Fri May 10 14:33:10 2019 - -#Implementation: syn_results - -Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":12:7:12:21|Top entity is set to pll_200_125_100. -VHDL syntax check successful! -@N: CD630 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":12:7:12:21|Synthesizing work.pll_200_125_100.structure. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":2083:10:2083:16|Synthesizing ecp5um.ehxplll.syn_black_box. -Post processing for ecp5um.ehxplll.syn_black_box -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":832:10:832:12|Synthesizing ecp5um.vlo.syn_black_box. -Post processing for ecp5um.vlo.syn_black_box -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":825:10:825:12|Synthesizing ecp5um.vhi.syn_black_box. -Post processing for ecp5um.vhi.syn_black_box -Post processing for work.pll_200_125_100.structure -@W: CL168 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":50:4:50:17|Removing instance scuba_vhi_inst because it does not drive other instances. To preserve this instance, use the syn_noprune synthesis directive. - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:11 2019 - -###########################################################] -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:11 2019 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:11 2019 - -###########################################################] -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:12 2019 - -###########################################################] -Pre-mapping Report - -# Fri May 10 14:33:12 2019 - -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - -Reading constraint file: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc -@L: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_scck.rpt -Printing clock summary report in "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 114MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 116MB) - -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist pll_200_125_100 - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - - -Clock Summary -****************** - - Start Requested Requested Clock Clock Clock -Level Clock Frequency Period Type Group Load -------------------------------------------------------------------------------------- -0 - System 100.0 MHz 10.000 system system_clkgroup 0 -===================================================================================== - -Finished Pre Mapping Phase. - -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - -None -None - -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 56MB peak: 143MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri May 10 14:33:13 2019 - -###########################################################] -Map & Optimize Report - -# Fri May 10 14:33:13 2019 - -Synopsys Lattice Technology Mapper, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 113MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB) - - - -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Available hyper_sources - for debug and ip models - None Found - - -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - - -@S |Clock Optimization Summary - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - - -Start Writing Netlists (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 106MB peak: 143MB) - -Writing Analyst data base /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -Writing EDIF Netlist and constraint files -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.edn -M-2017.03L-SP1-1 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 146MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 145MB peak: 146MB) - -@W: MT246 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":56:4:56:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) - - -##### START OF TIMING REPORT #####[ -# Timing Report written on Fri May 10 14:33:15 2019 -# - - -Top view: pll_200_125_100 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc - -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. - -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. - - - -Performance Summary -******************* - - -Worst slack in design: 10.000 - -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group ----------------------------------------------------------------------------------------------------------------- -System 100.0 MHz NA 10.000 0.000 10.000 system system_clkgroup -================================================================================================================ -Estimated period and frequency reported as NA means no slack depends directly on the clock waveform - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise ---------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------- -System System | 10.000 10.000 | No paths - | No paths - | No paths - -========================================================================================================= - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -PLLInst_0 System EHXPLLL CLKINTFB CLKFB_t 0.000 10.000 -=================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------- -PLLInst_0 System EHXPLLL CLKFB CLKFB_t 10.000 10.000 -================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.000 - + Clock delay at ending point: 0.000 (ideal) - + Estimated clock delay at ending point: 0.000 - = Required time: 10.000 - - - Propagation time: 0.000 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (critical) : 10.000 - - Number of logic level(s): 0 - Starting point: PLLInst_0 / CLKINTFB - Ending point: PLLInst_0 / CLKFB - The start point is clocked by System [rising] - The end point is clocked by System [rising] - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------- -PLLInst_0 EHXPLLL CLKINTFB Out 0.000 0.000 - -CLKFB_t Net - - - - 1 -PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - -==================================================================================== - - - -##### END OF TIMING REPORT #####] - -Timing exceptions that could not be applied -None - -Finished final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 146MB) - - -Finished timing report (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 146MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 0 of 24288 (0%) -PIC Latch: 0 -I/O cells: 0 - - -Details: -EHXPLLL: 1 -GSR: 1 -PUR: 1 -VHI: 1 -VLO: 1 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 33MB peak: 146MB) - -Process took 0h:00m:02s realtime, 0h:00m:02s cputime -# Fri May 10 14:33:15 2019 - -###########################################################] diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srr.db b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srr.db deleted file mode 100644 index beb0f08ad7a3fb2cdbcf66c3ded4276f26011df7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeHK&2HO95SG<6isLAbTNp+W1Q@u7I%vc{S+uJFZDYqu3n*w}8%X*C2rF_WZcXme zyGz9ia*<9&pCB*MLvOwJ1q$>L`V_r&mXz!!aL}Sie}Hmfn&fgh^UXKk%u)vryG&CU z2$>KK&C0dP!b0U^fJ&uu0goyk^Ra*zW^O*r{U41BmBz2%)N$3q^0yWID@Vyd$w0|K z$w0|K$w0|K$w0|K$w0|K$w0}#|C|B6P+PlkV_|YzlYUGSsuUSgH9uE(_xJ7`?!n=m zo$emYXE#^~BO-%{$XgIIesp#>TXHsW#Q5(Pr}!r0`lk79zH;%W%JTH;&sRUHe_wz1 zN`2{>N(M>>{<91WS88kZ$<}K1{-L++ zAB>fz2?VhSjv%Fyi4YviaU4)uQXNE8ffm5&kZ3ldxFe28obRb|MxoIFHsnGwKAf+| zON$FlrIgoPF0jvhPTpEZr_*}j)H#e8!e@!WCuu6A2Am)qsKQw^31R?g zOah9NAxM$oR7fK0^*f{rM39^AVFBg#Wu`Ld0|*$mWl?dqkq z$#B}bZaClc*X>9o)Fz>1gxf==Z7usP71)>wG^DAWO7S%fw4KIr&vTsoaJ^Q~b)3_= zSKM2pC|qy1{Pw2#=XyIB-BDkhz}_d1A9TB2LqNimGL#S#iv*RWY0Qw`S)_>wX>37G zUP8tY5llQe(*PX|5FF-h{sKXo#wuqv1d$puPf;$IYuI>*DPnqTh~B3;gE!#`ksSR? z`9|h(6r3 z1BLu1D_3go)TfK9)y`wrY59*N(yB$nyOxy5U)1bz@CyM}IPLV}JUSP_WOuPp< zB!BY|MP%4AL<$r;R^VLvraE$wefrrB@~=!x(5IAxN@>6bm@Nw)#FU^G71dz8V=kE( z41j1YSs#^b!HkOWW#jfsEQ*fr`=^ySqEZ>>l$-BqG`O)VlEf6kI@;{bpfv{Uq=(f{ z!yZ2B4JoHGzsq}u0(X6mb*9>!UF&8M@y6oCwfb%2+1uRS&$!9ES?_N)`b>k7+N?^( zm;Nn~wT;@J1X-KDeGyBUP2ay>-R-*m_CHa|jwxlQ?QT8Wr->L91gfGIRFL&aZxpc} z!^VmV35aP=$ZROEa~suQm%;KC*s&xMU%aQVx>0ecS1R$LHf?hL?5x2VQE`#VjHAfL z94(j{51GX3N1N8HjE|pBmfozbO`4ytR(J3EO=m`m5(8~xJJT$ncF0H~cxX2**Xf=3 zn>HFu`zT*KW_>%(0?M^bgS3?;MF-pVT5Z>9=O^#k!OZbdF{f9ciN*P7R^C7~TjX_e<2l<+1E)Cq=dwei6Wl%G^D*73A|^&(#PoOW zN3Pf2Lgw0@`y$vULLOQ3U2e9cS@?yJ1v-;OXE=WT41f1e-n(beZh3z}UAQCKN{5B6 hTo0++Ml8)73`KdOq;Sik*nE|Uv(tX8Ob0uD{u`!^PvHOn diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srs b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srs deleted file mode 100644 index a7fea3b55729989dade87da612da4148724c893f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4742 zcmV;15_#<(iwFP!0000019VbbYuhjse$THsBm_1{BFk|alBbohK_AwRUY?CCEAd%n z$w;#Yk`rNMsy%2R{PB zPc?wdKo;BOaw~JlGr3{()8IOb8fgIcchI7HHDLx-kCxK-ZGQkL(!_efBRk&>0S^tq zm)%#8n@urKM~TXJafmaxb9w>K4aUnJM8Bt_3I>#`L0JnbMz2w^b*R1WTjNL(vRVRh ztS+D-6$T)G&73G&j^mvjpfb=$Ch7VRd*vwMsWCt%wDAnp-qo0>Bltb2OEDbVxX6wU z!I-2EN2KOj?3TTHCJaet2Enkmy4Q(s2Cc7gk6OL2e1Mt@3|RH&B&?R4eC~jO>EOQ= zaB+!76X#>O1}kLHB3&Iaus5^kXiY5Q;5iSU#VyW^Ym|}D&O}?$Nv)7H{Qxsk;|L)dR_d(s_KBs zi35_LP_3dCWZI5pj9|CF`F|9hwPl`V=SSwNQfAp@?_-^$f{AQArthNx3G_G~cA2WuscEzIivUv2b&u z<|;A!IWcq1kSlFHSxXhRUFrO6O*XEq8C6e~-l(2kIVY7Y|8s+}s~KJ(OO@P9-=h7( zmR!{(xycaBKFK#ZSrb>2|Ec6D_Fdl#riLt666(FBE322HatD)7c(LiPjbcTOK)xm6 z*qw}Th&TB92_E0T2mH;?@1a+&(%mNnxIbB}(Z-n5=bFmYxE%+?y$L7e>)8ABHUPkn z{I|WOU?~#Qmr+-uFh}*wFfW5p{+h8wr%E5cA}b~Hau4wpmG7H z{05J`5IkdAxtox<6YOq+5U)U@gF@;-_-H)(@&nwvW;p0Q`e4Wp9y(-*3G2)Wtry_=VH@A2gca-ww2FJumMT>HSk;h9tlEUmbW>;7DE{3{2u#L?Yn#`GXv)E!=C04Vpj%t8nQqTzgxO5%*U2$Vm~c8 zZLoj+$a5Rue)4Mozk0&}hU$x*+fRw9=FJMPT?{}Q1Aq2O1d_Nrp#RA)Q9XaA5~aWS z<|Vzr4YyStGT)P>%%M?4tQ7?(Bm0pB(aI@kRv%!6-obQBC6TiNmS&3NcwVDXT2coI zI4My&0*b_aq>_}DBgF3|g?@Tg*Tk8lQU}JraCB1Z^HSVX*}b__m!LN^oaA`Mc&uiBbh2 zJ)VJ{BBz0;5XBrv&q$m_&a4KD+mO8Lg#r$$6-sp!lQb$J9mRW+eJ@c@67jnT!)mjL zZ?PnUj{|M{g+~Dkr)rD81mJ5Jv*`K)!Iu4k>dS9;G?1&UA6#AkhQ|NHr^AuIfEHB# z_5@egKfB}!j$D8*b7w}%W#x)t&FvUp6@kIep5iTN8^AVnj+q!O|O&fKa?q9a`?-W>^n zRYv;AqDc7BWel_2EZ%ltiQIfD^BF(o5m361h|aQt6rat=Wve0YgiW(9UTT!KY(@dkvH}{)}hXBZCpFQ!_?nkU9CIO z_fQK@cqUzLU~izG_{UjYz4W`&Jo4dL-PM;fpKEG+LZYYDne04IJsow{FZT8MzUdvz zZf`{-GFM%X5>EVwsr!PV?RPf!c1n^Ke$?+`*4QqBHNmpB&`jd9UOUgljr_EK9b+Lp!V}pG zG`|W1g73E&2Nn1eq2DbW`P@i-iN;ON*D-rp?aw4$DBJY%lt3HeR|F;eBSn`%F>qPH`ExSH7yMy`0;1 z$r3B8PG(bMbYy|h&?!)E1XRrC5grKO&s8KlnP_aJqc-}#1HX@t)ad?u+`zf;oh*$lCK?40Vh zOoW1LW@9xJ*xyHbD3Tr@WLPrZ>XX1V(b7(v+yr9n)xYbIN~p`-N1t2TpM}FL?Ig9E z-X(=*{pejBl=8fKYQyELt%^0V6T3ZEI~qOpsvP=2J=b4-73&fujV_=kh^C?k`^XmE zndBX1>GSvOGrKsSZC=mdnk}g0WKvQ8%lzvJ8*^HXe0|eNY_3~FQ@=)2|0|ld*>6@g zZ+p(BZeGbTg`}&0N0&Wivwm*pzNQXdr-v0sbc|P!ksJDUp4w5&@pw;njV|jSIbYrn`Rz9lPYz{&vkfo=nt9>_uUyDi zCadyfBbI?PJ_j;gKa3{_?dc$sM4XD65qR=e_Jd%9weDL=^ZtyY*{sf!P17ujVL#%v zfzOB^=J)pOs>}-}bIxSuUrre9JI%B!SYz=mr2D?2u?cOk#=YSJhUVcb%bm@?skGl? z#P^mErY^`>{9YVwAs&n`=Ib4=M}=7vuo;I5+(Wl-*Y4YlxGxHr$|Ca4?DPGlr4Zi+I zo;;rTcc^g=YiHWTS39fyQD@HQM{>@$i={uw-1_Q4-_EbQ^-uWxPA4~=Lcy*JdVi2v z`0|hJAhXyj8HgC@cn$|W)MNkt2LJ&7|4J)K&B?Lma&QFz00960d{$d;qe>EfHopSV zc^Gsw1Y~58k)@b!Go6(IQ=a%tbS5epJG)#=jKvVIyxRYMx^e6{o|(f-tE;}cS66Wt z!kzsvG={@ZwqXqIdw>9%UW$wvSQIuI0~?Bhlx7n*W=SJYnJu6nM9qd#j9J9OjYi=E zUMmW3rbG~u8zgB!7@yMA3_!8Hs6PWfAv4!z&|yfQqdS{04{QaZSwD`N30rXL!9#a< zH$k~zlmnv=(sls-X2-Wp7EdDBP^}?mS;l7LQLV#Eo6%yE-h(FN_&(8YgWC;_xZ6bA z@RpnAZ9}GmnBJP3C}n0!ZyOr?6{qGcGZ+>|frVY5VGpoKizDrK_gT@OXzus#UU;-k zMLN>3L`uxmG>{AbilSf0uZz{}lMOc+5l(Ch3LVEQ3Mm_G8;*pB<^ls?TFQip!a#da zpj{|hmp6&YXtenyKbyf1$3gwo#~oO?EptVnf3pdZU_%I}rt3jxs~;@by@^CPME@uK zV*N#s-V0QaVk(m2a(b%O;+_S_%kW=|l5?PL@qbS_*LK4RcfU}!jlvV2;7!PSJfv>J zq0rpHe_jT5Y=4eEucFl|jz7E6dQp6Ki^5&R?mAj|?t9(HMnu1DN}l4`*UnB@#?2%m z19&h_agh+4h@_@A`Ph5n+?XZn6VhnhQ{dt5ZaB18538XqPTNoF9CE)-gdW~N-wYTw zA>VerBTZdpXNl zP+Py3vrclKj&h&YZ*pK6N4_EQ%ArA%$@+(~{B8Q&3?Xc7tiw7Xg^AeJE(AiO8JZy#?}u%H*oHTFQD7??I<(uQu0P2gAW?xEAsJL5yJ>l~W96tn2Lp{yn4x#AQ0z)bL#000|jR zBaC}f2)>YMTt*CML5Q5pP36Dwh?Igo^H`wVHE=f&c^wW{1N%kHt3QmhPnUY1K>b#? zb$zA#jP;dyJ<1c=2TDEn^U;3n@9TW5pgG^QPnN1$HL*u0zm=zXyR!s!R2OIeT4nyE z?ks1N=+`u>U8;lfKhUl5(s?|^r?6lyeEw72<(B`LUE=hS zwLIHUm3bz6gS7AdWplOblS^H`n#rCsenDGb(vE#Sl6`&5MP+rmWR9eKJ?i?bMp+zE{cmLzB(rW`|)^@3P*iy1@jhQv1^ zMl_s?UD0s55S`o*peC7`?v0eEQwA($VrvCGiY|lbM$V2-huAZ;gutA+oHs7TFPqZ6 zy2n@d>D4`A5ce=3=0?nN=F%v>5x)1t{4|?QfGIoj(+~Xgf=^*Vd+rp?G@3~4Iv_Y% zq+&_ZIAA@pFfb*Ph`!lbMCpXY^r+(!7q8!OhSU+i^HPju4xuNK5U1}rY3nU_9gZ3p zvS@m;MW*6%zCV#`bz-N-5o@t9|N8_xAB4;3X)}DiYP`|2=nU7hU z;M?5O2DZ`dM)@Bh=cc;Xzh(#3Zyz!7GnBn4c8h)5t(u&5I_y>T>mft`vBf0oX0ic7 z!yD)~_L6H%!ol}jJgB;!X-lxZwcJm<#&)kKs%7cR3RarY*{s3Oodgr(89G0OzP&TX z#D2e5PJ4B?Fd1Cj(J~xJo>wP*;+^5MlXUyk=iSc1otllIRyBD#;yhuovea?5t2Gtg zPUlkZKk(Kkp0i7=E1j(`=U}0}`{-NP%`p#FG8e}gVVr2|ciQ?yD^9ew#l4)1pcKb= zMD6ft-->?}wK&-nGv+7S`iiEC`sO0J%XasafRd<` zhGXg9PjWsue)8Pq`vBEa;1bLs9PQ3SXU5EgWk(Rv$5|cVksz z$JTpYpPY7wmTKwM!T-6gZN1bj*+-CQjwR(ir}I16XUp*p|I9CC6i-q91^@v6|Kf5` U-~s>u0RR6301`9(tzaeq0C|sZvj6}9 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.vhm b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.vhm deleted file mode 100644 index 6274441..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.vhm +++ /dev/null @@ -1,108 +0,0 @@ --- --- Written by Synplicity --- Product Version "M-2017.03L-SP1-1" --- Program "Synplify Pro", Mapper "maplat, Build 1796R" --- Fri May 10 14:33:15 2019 --- - --- --- Written by Synplify Pro version Build 1796R --- Fri May 10 14:33:15 2019 --- - --- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -library synplify; -use synplify.components.all; -library pmi; -use pmi.pmi_components.all; -library ecp5um; -use ecp5um.components.all; - -entity pll_200_125_100 is -port( - CLKI : in std_logic; - CLKOP : out std_logic; - CLKOS : out std_logic; - CLKOS2 : out std_logic; - LOCK : out std_logic); -end pll_200_125_100; - -architecture beh of pll_200_125_100 is - signal CLKOS3 : std_logic ; - signal INTLOCK : std_logic ; - signal CLKFB_T : std_logic ; - signal REFCLK : std_logic ; - signal GND : std_logic ; - signal VCC : std_logic ; -begin -GND_0: VLO port map ( - Z => GND); -VCC_0: VHI port map ( - Z => VCC); -PUR_INST: PUR port map ( - PUR => VCC); -GSR_INST: GSR port map ( - GSR => VCC); -PLLINST_0: EHXPLLL - generic map( - CLKI_DIV => 2, - CLKFB_DIV => 1, - CLKOP_DIV => 1, - CLKOS_DIV => 5, - CLKOS2_DIV => 4, - CLKOS3_DIV => 1, - CLKOP_ENABLE => "ENABLED", - CLKOS_ENABLE => "ENABLED", - CLKOS2_ENABLE => "ENABLED", - CLKOS3_ENABLE => "DISABLED", - CLKOP_CPHASE => 0, - CLKOS_CPHASE => 4, - CLKOS2_CPHASE => 3, - CLKOS3_CPHASE => 0, - CLKOP_FPHASE => 0, - CLKOS_FPHASE => 0, - CLKOS2_FPHASE => 0, - CLKOS3_FPHASE => 0, - FEEDBK_PATH => "INT_OS", - CLKOP_TRIM_POL => "FALLING", - CLKOP_TRIM_DELAY => 0, - CLKOS_TRIM_POL => "FALLING", - CLKOS_TRIM_DELAY => 0, - OUTDIVIDER_MUXA => "REFCLK", - OUTDIVIDER_MUXB => "DIVB", - OUTDIVIDER_MUXC => "DIVC", - OUTDIVIDER_MUXD => "DIVD", - PLL_LOCK_MODE => 0, - STDBY_ENABLE => "DISABLED", - DPHASE_SOURCE => "DISABLED", - PLLRST_ENA => "DISABLED", - INTFB_WAKE => "DISABLED" - ) - port map ( - CLKI => CLKI, - CLKFB => CLKFB_T, - PHASESEL1 => GND, - PHASESEL0 => GND, - PHASEDIR => GND, - PHASESTEP => GND, - PHASELOADREG => GND, - STDBY => GND, - PLLWAKESYNC => GND, - RST => GND, - ENCLKOP => GND, - ENCLKOS => GND, - ENCLKOS2 => GND, - ENCLKOS3 => GND, - CLKOP => CLKOP, - CLKOS => CLKOS, - CLKOS2 => CLKOS2, - CLKOS3 => CLKOS3, - LOCK => LOCK, - INTLOCK => INTLOCK, - REFCLK => REFCLK, - CLKINTFB => CLKFB_T); -end beh; - diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.vm b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.vm deleted file mode 100644 index bae9f65..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.vm +++ /dev/null @@ -1,117 +0,0 @@ -// -// Written by Synplify Pro -// Product Version "M-2017.03L-SP1-1" -// Program "Synplify Pro", Mapper "maplat, Build 1796R" -// Fri May 10 14:33:14 2019 -// -// Source file index table: -// Object locations will have the form : -// file 0 "\/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd " -// file 1 "\/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/snps_haps_pkg.vhd " -// file 2 "\/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std1164.vhd " -// file 3 "\/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/numeric.vhd " -// file 4 "\/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/umr_capim.vhd " -// file 5 "\/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/arith.vhd " -// file 6 "\/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/unsigned.vhd " -// file 7 "\/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/hyperents.vhd " -// file 8 "\/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd " -// file 9 "\/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd " -// file 10 "\/home/soft/lattice/diamond/3.10_x64/synpbase/lib/nlconst.dat " -// file 11 "\/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc " - -`timescale 100 ps/100 ps -(* NGD_DRC_MASK=1 *)module pll_200_125_100 ( - CLKI, - CLKOP, - CLKOS, - CLKOS2, - LOCK -) -; -input CLKI ; -output CLKOP ; -output CLKOS ; -output CLKOS2 ; -output LOCK ; -wire CLKI ; -wire CLKOP ; -wire CLKOS ; -wire CLKOS2 ; -wire LOCK ; -wire CLKOS3 ; -wire INTLOCK ; -wire CLKFB_t ; -wire REFCLK ; -wire GND ; -wire VCC ; - VLO GND_0 ( - .Z(GND) -); - VHI VCC_0 ( - .Z(VCC) -); - PUR PUR_INST ( - .PUR(VCC) -); - GSR GSR_INST ( - .GSR(VCC) -); -// @8:56 -(* LPF_RESISTOR="24" , ICP_CURRENT="13" , FREQUENCY_PIN_CLKI="200.000000" , FREQUENCY_PIN_CLKOP="200.000000" , FREQUENCY_PIN_CLKOS="100.000000" , FREQUENCY_PIN_CLKOS2="125.000000" *) EHXPLLL PLLInst_0 ( - .CLKI(CLKI), - .CLKFB(CLKFB_t), - .PHASESEL1(GND), - .PHASESEL0(GND), - .PHASEDIR(GND), - .PHASESTEP(GND), - .PHASELOADREG(GND), - .STDBY(GND), - .PLLWAKESYNC(GND), - .RST(GND), - .ENCLKOP(GND), - .ENCLKOS(GND), - .ENCLKOS2(GND), - .ENCLKOS3(GND), - .CLKOP(CLKOP), - .CLKOS(CLKOS), - .CLKOS2(CLKOS2), - .CLKOS3(CLKOS3), - .LOCK(LOCK), - .INTLOCK(INTLOCK), - .REFCLK(REFCLK), - .CLKINTFB(CLKFB_t) -); -defparam PLLInst_0.CLKI_DIV = 2; -defparam PLLInst_0.CLKFB_DIV = 1; -defparam PLLInst_0.CLKOP_DIV = 1; -defparam PLLInst_0.CLKOS_DIV = 5; -defparam PLLInst_0.CLKOS2_DIV = 4; -defparam PLLInst_0.CLKOS3_DIV = 1; -defparam PLLInst_0.CLKOP_ENABLE = "ENABLED"; -defparam PLLInst_0.CLKOS_ENABLE = "ENABLED"; -defparam PLLInst_0.CLKOS2_ENABLE = "ENABLED"; -defparam PLLInst_0.CLKOS3_ENABLE = "DISABLED"; -defparam PLLInst_0.CLKOP_CPHASE = 0; -defparam PLLInst_0.CLKOS_CPHASE = 4; -defparam PLLInst_0.CLKOS2_CPHASE = 3; -defparam PLLInst_0.CLKOS3_CPHASE = 0; -defparam PLLInst_0.CLKOP_FPHASE = 0; -defparam PLLInst_0.CLKOS_FPHASE = 0; -defparam PLLInst_0.CLKOS2_FPHASE = 0; -defparam PLLInst_0.CLKOS3_FPHASE = 0; -defparam PLLInst_0.FEEDBK_PATH = "INT_OS"; -defparam PLLInst_0.CLKOP_TRIM_POL = "FALLING"; -defparam PLLInst_0.CLKOP_TRIM_DELAY = 0; -defparam PLLInst_0.CLKOS_TRIM_POL = "FALLING"; -defparam PLLInst_0.CLKOS_TRIM_DELAY = 0; -defparam PLLInst_0.OUTDIVIDER_MUXA = "REFCLK"; -defparam PLLInst_0.OUTDIVIDER_MUXB = "DIVB"; -defparam PLLInst_0.OUTDIVIDER_MUXC = "DIVC"; -defparam PLLInst_0.OUTDIVIDER_MUXD = "DIVD"; -defparam PLLInst_0.PLL_LOCK_MODE = 0; -defparam PLLInst_0.STDBY_ENABLE = "DISABLED"; -defparam PLLInst_0.DPHASE_SOURCE = "DISABLED"; -defparam PLLInst_0.PLLRST_ENA = "DISABLED"; -defparam PLLInst_0.INTFB_WAKE = "DISABLED"; -endmodule /* pll_200_125_100 */ - diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_cck.rpt.db b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_cck.rpt.db deleted file mode 100644 index 029fa7a6cf9014af50ee9d4aa843536a1f7b5c42..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#JqyA>3DEQgKL*xDXO@ z%d=&(imfwzIG4G0Jf%J073BwWN1`{~f``Krs^Nta{J wY>_tId!-qqw)j}S$WL3iQGJ`s(T{)t1Rwwb2tWV=5P$##AOHafK;VZ3UKAiP82|tP diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_scck.rpt.db b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_scck.rpt.db deleted file mode 100644 index 029fa7a6cf9014af50ee9d4aa843536a1f7b5c42..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#JqyA>3DEQgKL*xDXO@ z%d=&(imfwzIG4G0Jf%J073BwWN1`{~f``Krs^Nta{J wY>_tId!-qqw)j}S$WL3iQGJ`s(T{)t1Rwwb2tWV=5P$##AOHafK;VZ3UKAiP82|tP diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify.lpf b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify.lpf deleted file mode 100644 index 8e445e1..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify.lpf +++ /dev/null @@ -1,20 +0,0 @@ -# -# Logical Preferences generated for Lattice by Synplify maplat, Build 1796R. -# - -# Period Constraints - - -# Output Constraints - -# Input Constraints - -# Point-to-point Delay Constraints - - - -# Block Path Constraints - -BLOCK ASYNCPATHS; - -# End of generated Logical Preferences. diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify_tmp2.lpf b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify_tmp2.lpf deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify_tmp4.lpf b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify_tmp4.lpf deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify_tmp8.lpf b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_synplify_tmp8.lpf deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/run_options.txt b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/run_options.txt deleted file mode 100644 index f45a913..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/run_options.txt +++ /dev/null @@ -1,75 +0,0 @@ -#-- Synopsys, Inc. -#-- Version M-2017.03L-SP1-1 -#-- Project file /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/run_options.txt -#-- Written on Fri May 10 14:33:10 2019 - - -#project files -add_file -vhdl -lib work "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd" -add_file -fpga_constraint "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc" - - - -#implementation: "syn_results" -impl -add syn_results -type fpga - -# -#implementation attributes - -set_option -vlog_std v2001 - -#device options -set_option -technology ecp5um -set_option -part LFE5UM_25F -set_option -package MG285C -set_option -speed_grade -6 -set_option -part_companion "" - -#compilation/mapping options -set_option -top_module "pll_200_125_100" - -# hdl_compiler_options -set_option -distributed_compile 0 - -# mapper_without_write_options -set_option -frequency 100 -set_option -srs_instrumentation 1 - -# mapper_options -set_option -write_verilog 1 -set_option -write_vhdl 1 - -# Lattice XP -set_option -maxfan 50 -set_option -disable_io_insertion 1 -set_option -retiming 0 -set_option -pipe 0 -set_option -forcegsr false -set_option -fix_gated_and_generated_clocks 1 -set_option -rw_check_on_ram 1 -set_option -update_models_cp 0 -set_option -syn_edif_array_rename 1 -set_option -Write_declared_clocks_only 1 - -# NFilter -set_option -no_sequential_opt 0 - -# sequential_optimization_options -set_option -symbolic_fsm_compiler 1 - -# Compiler Options -set_option -compiler_compatible 0 -set_option -resource_sharing 1 - -# Compiler Options -set_option -auto_infer_blackbox 0 - -#automatic place and route (vendor) options -set_option -write_apr_constraint 1 - -#set result format/file last -project -result_file "./pll_200_125_100.edn" - -#set log file -set_option log_file "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srf" -impl -active "syn_results" diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/scratchproject.prs b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/scratchproject.prs deleted file mode 100644 index 6e19e6b..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/scratchproject.prs +++ /dev/null @@ -1,73 +0,0 @@ -#-- Synopsys, Inc. -#-- Version M-2017.03L-SP1-1 -#-- Project file /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/scratchproject.prs - -#project files -add_file -vhdl -lib work "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd" -add_file -fpga_constraint "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc" - - - -#implementation: "syn_results" -impl -add /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results -type fpga - -# -#implementation attributes - -set_option -vlog_std v2001 - -#device options -set_option -technology ecp5um -set_option -part LFE5UM_25F -set_option -package MG285C -set_option -speed_grade -6 -set_option -part_companion "" - -#compilation/mapping options -set_option -top_module "pll_200_125_100" - -# hdl_compiler_options -set_option -distributed_compile 0 - -# mapper_without_write_options -set_option -frequency 100 -set_option -srs_instrumentation 1 - -# mapper_options -set_option -write_verilog 1 -set_option -write_vhdl 1 - -# Lattice XP -set_option -maxfan 50 -set_option -disable_io_insertion 1 -set_option -retiming 0 -set_option -pipe 0 -set_option -forcegsr false -set_option -fix_gated_and_generated_clocks 1 -set_option -rw_check_on_ram 1 -set_option -update_models_cp 0 -set_option -syn_edif_array_rename 1 -set_option -Write_declared_clocks_only 1 - -# NFilter -set_option -no_sequential_opt 0 - -# sequential_optimization_options -set_option -symbolic_fsm_compiler 1 - -# Compiler Options -set_option -compiler_compatible 0 -set_option -resource_sharing 1 - -# Compiler Options -set_option -auto_infer_blackbox 0 - -#automatic place and route (vendor) options -set_option -write_apr_constraint 1 - -#set result format/file last -project -result_file "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.edn" - -#set log file -set_option log_file "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.srf" -impl -active "syn_results" diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/layer0.tlg.rptmap b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/layer0.tlg.rptmap deleted file mode 100644 index 3910cac..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/layer0.tlg.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synwork/layer0.tlg,layer0.tlg,An incremental, partial HDL compilation log file that may allow early access to errors or other messages. diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr deleted file mode 100644 index 4501cf1..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr +++ /dev/null @@ -1,51 +0,0 @@ -Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":12:7:12:21|Top entity is set to pll_200_125_100. -VHDL syntax check successful! -@N: CD630 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":12:7:12:21|Synthesizing work.pll_200_125_100.structure. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":2083:10:2083:16|Synthesizing ecp5um.ehxplll.syn_black_box. -Post processing for ecp5um.ehxplll.syn_black_box -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":832:10:832:12|Synthesizing ecp5um.vlo.syn_black_box. -Post processing for ecp5um.vlo.syn_black_box -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":825:10:825:12|Synthesizing ecp5um.vhi.syn_black_box. -Post processing for ecp5um.vhi.syn_black_box -Post processing for work.pll_200_125_100.structure -@W: CL168 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":50:4:50:17|Removing instance scuba_vhi_inst because it does not drive other instances. To preserve this instance, use the syn_noprune synthesis directive. - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:11 2019 - -###########################################################] -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:11 2019 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:11 2019 - -###########################################################] diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr.db b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr.db deleted file mode 100644 index b43ab41e45c47969ad26458f91fdda0c85ae5af4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeH~%}x|S5XWa11QT757{kGkbnu`?oSqN%BkMsCi5D&c7f+j>nSyC|rhDw}S-@~v zPR1wjHGCGI#+x8Rmie(IpD{NIf^=lPG%4a}-7e{t|*hb3SMSOS)SC143y0+xU!UpvM^#&>LRUr~V%t{S}8x7k@v29&R2htNPx$J698+Fvg;j*T`S;T(OZ6mpE;9nMd zELGo~w#K9lTy0btn^M8#4?V+s|M2nKN^Lv%gX+RIqS_?my7B`=*Fi1eNDN?kVo4dE T1HpEXS!uWw#9(*maXI}2tvZET diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr.rptmap b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr.rptmap deleted file mode 100644 index 4edadfd..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synlog/pll_200_125_100_compiler.srr,pll_200_125_100_compiler.srr,Compile Log diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.srr b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.srr deleted file mode 100644 index fbbc4b8..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.srr +++ /dev/null @@ -1,265 +0,0 @@ -# Fri May 10 14:33:13 2019 - -Synopsys Lattice Technology Mapper, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 113MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB) - - - -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Available hyper_sources - for debug and ip models - None Found - - -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - - -@S |Clock Optimization Summary - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - - -Start Writing Netlists (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 106MB peak: 143MB) - -Writing Analyst data base /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -Writing EDIF Netlist and constraint files -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.edn -M-2017.03L-SP1-1 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 146MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 145MB peak: 146MB) - -@W: MT246 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":56:4:56:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) - - -##### START OF TIMING REPORT #####[ -# Timing Report written on Fri May 10 14:33:15 2019 -# - - -Top view: pll_200_125_100 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc - -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. - -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. - - - -Performance Summary -******************* - - -Worst slack in design: 10.000 - -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group ----------------------------------------------------------------------------------------------------------------- -System 100.0 MHz NA 10.000 0.000 10.000 system system_clkgroup -================================================================================================================ -Estimated period and frequency reported as NA means no slack depends directly on the clock waveform - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise ---------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------- -System System | 10.000 10.000 | No paths - | No paths - | No paths - -========================================================================================================= - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -PLLInst_0 System EHXPLLL CLKINTFB CLKFB_t 0.000 10.000 -=================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------- -PLLInst_0 System EHXPLLL CLKFB CLKFB_t 10.000 10.000 -================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.000 - + Clock delay at ending point: 0.000 (ideal) - + Estimated clock delay at ending point: 0.000 - = Required time: 10.000 - - - Propagation time: 0.000 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (critical) : 10.000 - - Number of logic level(s): 0 - Starting point: PLLInst_0 / CLKINTFB - Ending point: PLLInst_0 / CLKFB - The start point is clocked by System [rising] - The end point is clocked by System [rising] - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------- -PLLInst_0 EHXPLLL CLKINTFB Out 0.000 0.000 - -CLKFB_t Net - - - - 1 -PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - -==================================================================================== - - - -##### END OF TIMING REPORT #####] - -Timing exceptions that could not be applied -None - -Finished final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 146MB) - - -Finished timing report (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 146MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 0 of 24288 (0%) -PIC Latch: 0 -I/O cells: 0 - - -Details: -EHXPLLL: 1 -GSR: 1 -PUR: 1 -VHI: 1 -VLO: 1 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 33MB peak: 146MB) - -Process took 0h:00m:02s realtime, 0h:00m:02s cputime -# Fri May 10 14:33:15 2019 - -###########################################################] diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.srr.db b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.srr.db deleted file mode 100644 index b870b13d4949eba0a1365bfb82d753f885ed911c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeH~&u`l{6vt(+YZ|m}wgG`L3;`Z;N`TsyU0W;C%aY9A2Dn(77-+E`3|e}UxJaZx zQf|GQa~k&F?Xttp`xAEAZI7~?30*-(q;0QPZj({WJ2si?c zfFs}tI0FB30;aLkJ~(KspBuKwFh{Lff_i&xo?nhnXJeS1o=wNF9X;X^ESU;Zrk+5? z#r0jUo^m&E&Bb3P7qpTKb8NrOcORzS-Y==;>f^UU>+mAiIp-_cfUc zvob=EB3psNgi|D{V`OK04+S%+2Bx(PIWri+TW(T77N)c~;!7TtEZf9h`&@srLr!m6 z8>jv(%v4Th`Av9x9fG88T|xG zjbKuQ$;DTvutX8j%=c|qN|1l0zWdNhNl8=5dfsOpuAK%;gv~{z)-<-Ve`=j8M;>IRD{>v z&F}>N(=zCln5#{K@0X~Hk=Vpkeqi&xszM5eGZK-dWkE_3JMF-&^zH7bME1?MXT)FI zoM3?{Ko=PDn5xx&_CS9TC29A+dbZ7eAKw# bZauf22g7HVrLc9k^Mhe$!3|ic)w|?REE2@l diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.szr b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.szr deleted file mode 100644 index 1d25a896a07de1ae0a861794aa0e09931330c866..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6127 zcmVVP#^E(!_0p(k8ME5{Qo{ zMJfZ*Sw34&cXeH>Oi9qmzR{a8M6CM$^1jZ2Rgui7aCOO}Kd1-NkQh}=liJ9Wf;lY+ z)>E)6OhG_s=-7ealA{%b5`8PtkYhBh*57H`&NN#8e*4YO)e!PM3U9u&{3B+}lQoOY z8R^I`s`~Q>00960>|ASe+s2apO#BLM23v}94Pq!lG{VFwi!&UJvYdg`S;MJKxljb< zvI0N^eJ2zxmw)_rgAY-%+5Ud!CA2ONZ{+P^c<&HrAmv zb*MS-xe?<@C}fq}kc<2`r$m_WJd?7W6$SktW$j)R7RCCz^=Fp(#+8CekF!Kpv3_Pm zSPhj>Axk9>Exta9MW~gn%vl_Ev#2-2j$T?kyGH}Ml8dNx}tO#T4w9#SBUC2jC zkA)SEjY}(Bn5*PB!DX1sB5N1)e>;nM1$J)z-TJd1G9xm_cqv&9fd$8By~WP^_GQ)! z7Ld1WVxbn7FaBuvf&tXAvtkMX*q}NxsRig2ff~sqXhE7NCu*Zu;2^E_k&U@X5~*4d z1JFTwWNINeJ}=`$v7`k-1bf4m196eGOtoj}bD1%LcbH0x%*2_{Q3~LKmpbDjmLjpS z6PZe6lC_xfjihIkI}y5;3S=&`qFrRY2u&CjT{x+Np*o3k39>EetE?UMvf`xZ4uc9< zRaQ22z6QCpX&hQ-G(x1-;fT9l$Pgr=lEU&3=l?exPPlGktDW#xyP;uz#+)b_0tC-x zsA@!9?s6X9=to*)c~)CQWiF0fw+bZiOyyFD6REN)7NxvBCXhj@s$;M^&rMK6g?7`7 zIS_%0L8lKkj@xr!%%JZA}&5N!?3@XIQgW2UHdvsZaJmvIn zv0KfZ)ksRUq0xqpje3a)d1Z5nmG`k~roEcBI;_3bg|Sz1>%vm(zOj^F(TfwCi0r2;nmft;JixrR6G7caavc>N!L zg5e=|)p+s?-xd+{i#KOy(|%8RgIxO#d&du8updp{ zya}Rq@vRTbHi11k?V1Gic*xe@F$U^5TKqgEWQG<1r5CRN89%>Gz<0~IqJAH!hPr7fhuS)QtV^9kB1O#aU zUMBhpzAvtnPEu_Z*G4@-Ye392cOzYLg_l#bmAGRL6nAJ_?03SHM*hV<#)&8^oB@kM z!eHzGp5XX#eEHBR%|}{zad#d&RYJmFV>qV!E{mWY72kHxI0@DEdPje-n zcbE4}7t`qgKFF3zz$EHqH6AfCR(3Ilse?gfDjPHS1}7`aX?{}(bbXYOe#v5MvbAnW z;*8$IzJUk@jUn4Ne2Vjw3N5?dzV}oMR-YQQaQ=vM(!SjHJTwS?aq7Tm&Fwq6!U_y3 zft-Xr*uwyPZ##1syw@;Bc!Fg3o+5^22m1xP`~h`nrF_C=5)(L`0p5=;i{cO|$U=+G z+lM%QkMJNlC~afn6^%-+){tV8?7;2`d0!iYA7DOy4;t`lE-m?4Q4ISLp(UVJbA9OI%iI`)6PS6HulfT*)qRO~X zC$g7{vR!38o_2BkyQ#EVK->p7S8P}&AX6=nsQ|UVPziSn-)s6}(EpHUb}9BUfiWrN zk|U{yiHIvSe`w;fq8nwCqbX^*oQ;s%Lpc&*ZSajr8cKnBBui1+^XcccjfB6@+8}rc z(kiaCK@qAon3{ZmJpk6D!?O+6qr=|i{=)lfZ)Kmj3eF*6<&gXdS%+ePLoKa5=Pz*XDPSOXDH!hlYnt+C6l%;< zk63t?2f>tgb;Wjl^Wg1rY`0qpzMIGgZ>t`Km`mduk}xeruj*y(RCjrm?jlUJjG+(b zOaa1fNH|9OqV&-&aa{S@TToweR5ofig?}mkA4wfj{JGX5kMqckY z1U2~?zsU8wYRT)QH%MQZT-OiabCa$3GC}{N`0`etSX5IZ)EUD(L(PK{Awgab6r>Iv zX9Q(UnoFZVS27mt-1|j=5euWr7=3n!4FHptBt-@#%}Sg>`lFTWT#RDuLSh-tbBaX7 zP)R4mF__oz^x1}|yuo4zG;5*O72O^DlmioKqIH!lb7Is1tOX2-IgbU55@t(5cwrUZ zV)>Bt8@KM++7@0f^$aqaV8eI}do%1hvRU;G#WD8%>&L7jnry|aNfWb3tD{aIVU$&~ z6yC#_sl)(AoO}}-rfGmLeNmiuG5%H~M`My5LE2-Fy}g7oC6U-KNZXfiUb#`jQ8V^_ zjDJm%qepGy?*-}xD7xpPvJz;1OeH!J{R}Sm-Xav!vTtJWifim8DH5x4=AeE69WmL` z5tFk|=8S5e z;$Y8?<-P3=VE+DI8&;PB1&CkL_bw?h7MlJ9q6jVz^Omr5ezt#k=p`N|EQ~wOv!*W= z5{a0Dqryvg#%zXHux}=*sTmo25FN23!!*!kEB%&8D@7aKevQQ)yw`V@xKp7^D z?Y~4H=9r;dCX?e9j-L;a4xFvzGUj!mn6k)hFtQW>d*_g}GBcXuUXyPi<1{!>HRNP> z{?0b_ig^GQ7;8TWr$je7<;c4alV^8gauUN=jgeb(lIKoX<8-Zn@%C={QmL_%_-&Gzo=gtzwD4-&VKo&1kOSMdo{;#d5#5t;qbDfgprof z8LvpsV06Xd#xL&U&BHgIaH$fcK$BH1<+0*z(g(>)0#ScUpY1jMKpg<=)bPX_JV8tX zoM4ukmIGz_9N=x?r3gGgTw^+$JQU9qpBsNX%!lr>e-uNs<%fJI5<}}4+`luHQeJ@> zII63}0?#dF2DBzIM3MLqHHXi}cN-$_{Q2|#uds+5cC=Ow4sS$gE zVMYxCQ;@^c3t&SWhe6wngjwe>RF4#Mi99UOMx54PN`yYjrPNZhoGQ>=xDU(G;_Tm3 z7ul`}F`Pfj6NWWtivr(IhL3_jk7~ltJ0H|QCKs*i*oJoK&^oW@eDBJ;y6RlPc>Hz` z;9@Zt%r1VP!^QaY^z#2s-;OW#&S7V7elfm+tE+!rU3r)QnLwf1q`G-%j0kFjVpBkCc?M9P;k6jf7f#sK z6+GQJ|I_)x-dSv(HTy}@o`^uUF*gg<3Uldl2e_d6#adGcCY=W zH+eJhUM%xY2QM}0IRWRKNzHKz9-xLjy`6i$B%VQ@dW(7wvjHAk%Yl64Vb}eRUEaER zPgP{yiv8s}^B3o&ceVQfYs(?yf@2Ps9(6f?jCvfBoDR*=S-|qC4tV8vnfaV{x8l_r zBj*z74l(i+F+%Tulvwni`uE?a{=LS*&f@7Q@N*RInk{P-iDJlLc&%9*WdAPA-qr3y z><>Z?L`F(*wA~}%55I=>r5JwhH|xTS;@7D6VG0}j!P5oJUH_ptK4&+tiJ}|t81E^Z zE{-{^zYEjs3D5@|-KRsT8||-vs0YP_)|=1HB5$()(KLOQKFs4-<#Hn|!3rYG3nX&vmVV<==HM}?TA%3!2CdW<#d zFV+t0dc?~ZK54U0?F)!LU9*kutJzMrYPQpL&Gw~h)-j&Gel^q3scb2m+?e=b!Tu9Z z|0eNtv+lFj{;%Nm)6VSSG1I#PGyl=ue-GW=@jR*@fl0OHa#kJp)GT{~O5en4yRHm4y@90SMM$mXE%B0;x!; zCazEo+?Y%B6rjLG$|Kgl$zga`yYqZ|J2g}01RxO2U0_S^w+^nDAN%MZHU2=@? z`q**9iR;WA`$>Dveo`trZ!x+C6uH62bC3 zchJl`vrO59bZ^|~UTkWJaE!G+iDhY5HK?vP_dJ2!cBZmPM?h(-%6;d1-`zgE?@%1R zRE%+uEt}B(%$W9Kg6T)}`vk8bA3i4^eu28b!+j=_3Yz}ATxd`+-Bxg%m4u4481AS% z%WF&JD{ajQGEdy-mGqw7wtbvkP}vaE(!j0d@cw`c_k8`?G6XN3(w3oWQcb z>!Sk=FOojr+~Z);)chdv>EnX%oe0x>5HLsSq1KPjQH>~SyYVgPP_4Q-&&U3{6MvLW zB3i|K%H3s0Ld7GD_ z48VC8Su2q>jrE!L>`{-MXWqu{D;$!~rE9yb{7%>y7>(6d!l1LO)f#t<3I_?FHL=p? z6gQiF$xYqZ!tsd`sq-o-k9*5~7#J>TO=XAy#LL+oe)OG3HFRjP&7^)<{&50xKUyb6 zQboF1UP&>qfRwZs9`&u2>)V;5-?*WwU0=U-FI^A7do&!a_XeP!8Dxf-^Ay4+*XN8|Dlu9R@3ox#QlT^>|}i`$lJlXBtznbdSbh*XJK72g!$) zFO3>3%}N^7LXLQ0tFPeBd!NChIfaM00gkD^yY7SD#VL+4#G!xCZzE92I3qUwri#We z#!EG$z3!>QIA&=p2xzC0bjg>sh=s?x&2V-Bo~Am5ymq@3Z)lB_xSkJJ>xvAHsK&uZ zWwTaCYnsbFB?-c%MZPI8w9xur^KSYL3B?+Gr=YsU>b{0cuubpO^9&|8sX)A>r$$*< zHF@WEABV8U_i)^&$;CC+Xs?uKV(*#v=QhAQo?5{vzkqzN(FU{z)A)U-zr@Jr@EbL@ z-UBqRX(1NL0*?91;cD+oM<(C3?ij%Bv1}cP(RHkX&pjNxbylCqt*^Yz+BY7kJcUbz z5M~(@x8fFqqNz$^~F`*DB`S=;!Si`-R6tkbpOv&rT5+8O5;9Rt%%#*PU1M z*=*LF|2~+VF3!h?bUuT@@7dz88UJ=XTyeugIJUQgm?w@^C^1MA@?$+*?YV09cHD*i zZRp(6QJX!epLy(IE}&y~t)uXDr>phR<}=1XM2(|bD196Ye+nxWs+QuxU$imwkA zZ>iho#Myj!s!Zx=hn%O(y}V+*IoCrlE>#t2nbgqF_?qVp?U#uhWqL~ktu^*M#=OSq z_;yaYI#y%#nmEWi_)HGEUwx#-w~r=YST^&Im9~l{=Cnw`u)=??skXFv0H=}SaeSb~ zzLm|BJO8-W{gm^>EfBu6sFZjUXYwWg_Q`j>rSCpN{Qm4pO?$mQ*~gX5ns(*^IIHrS z=8N+F`<~lsCy+3pSQc9$eKOBF7Jf7?oQQ)0a1cVm{%Q_)Fg91TM|a7mG8WeQ7z0RW zIi4GZNnam=^}IjBXDF^5v|zo@XCLl1);gb~^UeM!RG&5Ir1|aq{sH^=LA-w}2Cdt_ z@0g#?W+r1CCn6l2&VD~X950#%;~n!k&!bOmd@m&b@|ONJMs42g-Jv-|&8QRB%n$wk z!QXyZWblTNDe-`=P-E5)*55KIYJ)?WcX{P)-B-pN=7Keoq<%ZAW|; zZu8pu&@kVS>zqE`qFVRXX?bFs^X|E7R6Ta+oPHm@eT=E;e2J@b()!mJdy0Cj`Ab+@ zwt3B|78a(23yyYs5b=DA2b<%*cuDvAyO(UbwU=yqYtMAE*U#0cGjqj1xyIT4)EZ~|{x#0& z%{9)z`#cxe3p{)kzAzE*Ym_#t8ms5#mdieDe&gl^Q?K3=8nq%4--y$mQL5#(+mjau zFOU`gdw&k6gIV+2s1=``7G8)sh+tB#8LmKcH@QV$GH>NO8}|Dn@;b10O@;_(Z*Tto z20OlvyjO5~^PY>>vm5tZMqcx89MHN5OR)L0{I9o|imd(}0nj@~U)=_0eK@;qf9K5= zwXcAyqIJDqfT*!rqUIJ)Hkd>H&UMMFE8&4&IPfnmMX2a((m(6Q{h003A} BCL90& diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_multi_srs_gen.srr b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_multi_srs_gen.srr deleted file mode 100644 index 667ebaf..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_multi_srs_gen.srr +++ /dev/null @@ -1,11 +0,0 @@ -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 14:33:12 2019 - -###########################################################] diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_multi_srs_gen.srr.db b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_multi_srs_gen.srr.db deleted file mode 100644 index 029fa7a6cf9014af50ee9d4aa843536a1f7b5c42..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#JqyA>3DEQgKL*xDXO@ z%d=&(imfwzIG4G0Jf%J073BwWN1`{~f``Krs^Nta{J wY>_tId!-qqw)j}S$WL3iQGJ`s(T{)t1Rwwb2tWV=5P$##AOHafK;VZ3UKAiP82|tP diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_premap.srr b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_premap.srr deleted file mode 100644 index 889cbd5..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_premap.srr +++ /dev/null @@ -1,63 +0,0 @@ -# Fri May 10 14:33:12 2019 - -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - -Reading constraint file: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc -@L: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_scck.rpt -Printing clock summary report in "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 114MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 116MB) - -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist pll_200_125_100 - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - - -Clock Summary -****************** - - Start Requested Requested Clock Clock Clock -Level Clock Frequency Period Type Group Load -------------------------------------------------------------------------------------- -0 - System 100.0 MHz 10.000 system system_clkgroup 0 -===================================================================================== - -Finished Pre Mapping Phase. - -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - -None -None - -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 56MB peak: 143MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri May 10 14:33:13 2019 - -###########################################################] diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_premap.srr.db b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_premap.srr.db deleted file mode 100644 index d3d49fbbcb447f8a3dbecf9cf1e7bbb3fb033d75..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#F;Buk6bJBYV`L(@7$%YzCP;)tC5;J-NN~bIWMgPb`zR;v9cfRaBb)I9`d!?- zLkMoh+5by>ukY@)O@7;ZxF1Wyw9rK!8M+iF!t=y6kr2Y)-8OB*v+L3B*(F#17QX0w zzEy3PS9=%sLO=il5P$##AOHafKmY;|fB*#kxq$I1^+v4>I-oAHSDyDgc}b5z93s5qlcs@0*|wH!89QvGON+c%{$Z8w|ywV9}W*aZOr2tWV= z5P$##AOHafKmY;|fWTh`Uj0hFx|yB&ceg3fc7^iwFP!0000016+-<4#F@DM0dWzOE-kmN?n+`urjenX=B=;w25qk1mfdK zk;;H{me1DHT~$?(IVlagZSwXSBJH~F{Ju;af;YQ)FA=bU?}lPpsrO>~)_H=tsftk9)3L#a8Rr6uF8 z1R1?8Ir#IWpmf}KQck-N#=p9o&gwFRyRo}I>vEHNU^3&($td)517&O!sFJmkmzJh? z0!poHG;2{-T^)|g&5HTlEWxtUNZs4xuA{LC-C%4dx#Jo*7VKXV;i&o9;0sYGpM>W* z7Kzu3N-Z{H!|;LV~j*L8qK_-Gr&!$niTWoq`^uLg{4} zrlFhFl)^excp8gFoeD2ePUSe%P3u_~PD9lc5n1e`ZBwpM-sV)4HW^J28FabiX;v}< z2}B8&mlXeVI!<}F#s}+^_tq^9%R82!X9N&x%Tf)5IG;Q(KiY>69i27$SRG5kgOa`j zc?z9~s;51DghdRY5DIkJ;)CG3-NdG?%_UWTq_=oUt>F+sLB0z`YuYwt5h&-PYA~&RG4MxiovDM1M`+C z(hE%DA#r*#QRz>4puNOYF(I%3cdB72Z}o>ksmhj1Zn-WUh^Vb9jaY}O?pDW}aX!zM zmH;c4eJLFWQ+jZ-s*k5%VlM4t*9xeLQ&hx#Z*7VV(mWBYCXpJ<$c!?v<;+3JqxF(v zR3=d8?v96Jc;0jIV{Wog=E)ErS7mY!tSrub zGGOCy4%Ltl7375H*``4Yd+vLBA-$gi5z zU&qB8JbX$z$CEIC*=F@U{xCn|Mr&>5Z-X{e_8LGd?&{r1qdDSc#i28Uajl(d>U|CXP4Ol6(Y}Aj{KG7pdGpkXrxgJhy1!oB=FYjeKTPC1*o_yq`k_0 z%TXWovKIJc?{c%>;}O*%{wwwaPFG0FJPGT|`DQj>XMUmbA?fCj z^8~YmjP&(3(aKQrozQY-I*WF}cF&_Gb0ROWZTPAu8&o1?_H9~trmDl*NJEz31l4*K0Q~3!M4-K z!^mILS+ToIK;j*UJCFUy5Zp%mzpXVpEM(QwjC}_h2XodNng|z>0Lc#l_oy&oywE_` z5DT7(2E#mtFlQk=yT-*EjTeMol+1bv0&f924{ZC45Fb@O>VP91fRqgKdA$ww5ump? z8Qbfl>}ht#k9S%-@@+8+N%TOJJhXctKef){8D3w!`6P$73S#Q%!bIaP^$F&N6Enir zA0f=`1lQEgj-F;bI~eaZQYbs-glip5JD_F~zBo^7tk-uurS@v%sm^XBX%NN1sK+h- zPxnXiNW>=%gf!Vcrfp~`aE@0bZauoDr9RRPNRU9e#8v*eYmIj_mo$;3oppVjRVelzX`l;7!a^LXbA7*}mcS}@kdk|-I4GG$Ey zy6KKrBj>GOQW{D;GDl?P+pnG^2fRlg*JsIwLq@-^CqJ4mD=r%}OAVjlYJDyAjS zeC-)7UQ1_5ph@mg@ifjw@&1X0EVrhUboYZKIn$mNmZ^nw2NUe6mZfj=?A!YfW*Oc+_JAk4-H*>+ z4=;dFCB_bwsTZst4b`r@tC_n7?qY)?^NN|jgZrPcu4y!bYk_G? zjM3hk>w&zrWz|8J(e){feuA!5hU;XFD^MmgR|-DRpRX00clU0}4|BbPma#sQ|H$xHzNK;aCgCw^{>A<0 zcDDJ`UdB8SS@}e%cRGtTOXCkiq`~{|&tGHj{5Y1~{7#tDXzLuq3hViNy{@Ng3|W1d z{r>+y|Ag!)9i{Ka9E|!uuP}sl2rt=+*hTmDjAhw>0RRC1|Kf5`-~s>u0RR630G1t~ IUlSSt02(+dTmS$7 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/metrics.db b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/metrics.db deleted file mode 100644 index 96e5995222389d9f350f76d62fee6c792305865b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20480 zcmeI3%Wm676oyG#wkQ*ns3*uDA9_Lit* zla-56^QrWV=)2n6bM++=N~3*mH14}WPmYE?<(qgkd>S=J11}0gsm8Rr+7Dg*d?%A^ zMo}PLwR-UNbaA0twGV4)j9w6V`wcHreW_zVQb)&y$+(X$pUNGan<_3WE!l@F=^f~v zBAPNkO;5&~&z?${Yj$y=T(%D@X_$MhfG~$$KagYHsmXB1R!$@2G&kp;TKrf3g6~oX z4Fo^{1V8`;KmY_l00ck)1V8`;J~{&H1&5XA8T+neBI5>)Hl^}$t+tyCgzAM&sYTQl zcfQ>gzUuajNDZpf4y$m+zRJDAsMSmSj=JUZ*QXp-CG(vUP55nJwi@s75P4OhwP`zS zmEwCca(%xLhYCslKg&O{`0xA|{*pKO1`W_a00ck)1V8`;KmY_l00ck)1VG^bOJH@@ zVN1(PWmfVim8bm$S1;G=8@2Uov82rst+?IoxQ)>5cBTG&#$n5;sg`b#*#NF{Y5A~GYRrHt=U zCVho2@MuCmT{`{(CunsFd9d>(FZuA{-vz58Rn0Dv_Kpks0VV56(4C3voDlSTXx^aA z{yb-k_e;LlX=rI&U(vIWRR>0o!QET8Mc^85PsV~C8bQT_s4rVzP`+O#@7uX|I(edV z?wI8NHh*K$4-EuB00ck)1V8`;KmY_l00ck)1VG?JBCwiN16Jl~C&Qyi$3{F1TyMX& MmhU@I<2xDt0w`XR1poj5 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_notes.txt b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_notes.txt deleted file mode 100644 index 38e4cd2..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_notes.txt +++ /dev/null @@ -1,10 +0,0 @@ -@N|Running in 64-bit mode -@N|Running in 64-bit mode -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":12:7:12:21|Top entity is set to pll_200_125_100. -@N: CD630 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":12:7:12:21|Synthesizing work.pll_200_125_100.structure. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":2083:10:2083:16|Synthesizing ecp5um.ehxplll.syn_black_box. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":832:10:832:12|Synthesizing ecp5um.vlo.syn_black_box. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":825:10:825:12|Synthesizing ecp5um.vhi.syn_black_box. -@N|Running in 64-bit mode - diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_runstatus.xml b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_runstatus.xml deleted file mode 100644 index e391e16..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_runstatus.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - - - /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_compiler.srr - Synopsys HDL Compiler - - - Completed - - - - 9 - /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_notes.txt - - - 1 - /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_warnings.txt - - - 0 - /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_errors.txt - - - - - - - 00h:00m:01s - - - - - - - 1557491591 - - - \ No newline at end of file diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_warnings.txt b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_warnings.txt deleted file mode 100644 index 25c435b..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_compiler_warnings.txt +++ /dev/null @@ -1,2 +0,0 @@ -@W: CL168 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":50:4:50:17|Removing instance scuba_vhi_inst because it does not drive other instances. To preserve this instance, use the syn_noprune synthesis directive. - diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_area_report.xml b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_area_report.xml deleted file mode 100644 index 243c347..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_area_report.xml +++ /dev/null @@ -1,26 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_resourceusage.rpt -Resource Usage - - -0 - - -0 - - -0 - - -0 - - -0 - - diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_errors.txt b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_notes.txt b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_notes.txt deleted file mode 100644 index 5e801a0..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_notes.txt +++ /dev/null @@ -1,8 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.edn -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_opt_report.xml b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_opt_report.xml deleted file mode 100644 index 7832235..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_opt_report.xml +++ /dev/null @@ -1,14 +0,0 @@ - - - - -0 / 0 - -/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_combined_clk.rpt -START OF CLOCK OPTIMIZATION REPORT - - - diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_runstatus.xml b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_runstatus.xml deleted file mode 100644 index b0bf07a..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.srr -Synopsys Lattice Technology Mapper - - -Completed - - - -8 - -/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_notes.txt - - - -1 - -/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_errors.txt - - - -0h:00m:02s - - -0h:00m:02s - - -146MB - - -1557491595 - - - diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_timing_report.xml b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_timing_report.xml deleted file mode 100644 index 60b8b51..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_timing_report.xml +++ /dev/null @@ -1,23 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_fpga_mapper.srr -START OF TIMING REPORT - - -Clock Name -Req Freq -Est Freq -Slack - - -System -100.0 MHz -NA -10.000 - - diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_warnings.txt b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_warnings.txt deleted file mode 100644 index ebff314..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_fpga_mapper_warnings.txt +++ /dev/null @@ -1 +0,0 @@ -@W: MT246 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":56:4:56:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_errors.txt b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_notes.txt b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_notes.txt deleted file mode 100644 index eed8756..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_notes.txt +++ /dev/null @@ -1,2 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_runstatus.xml b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_runstatus.xml deleted file mode 100644 index 00fedf8..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/pll_200_125_100_premap.srr -Synopsys Lattice Technology Pre-mapping - - -Completed - - - -2 - -/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_notes.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_errors.txt - - - -0h:00m:00s - - -0h:00m:00s - - -143MB - - -1557491593 - - - diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_warnings.txt b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/report/pll_200_125_100_premap_warnings.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/syntax_constraint_check.rpt.rptmap b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/syntax_constraint_check.rpt.rptmap deleted file mode 100644 index 0213fed..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synlog/syntax_constraint_check.rpt.rptmap +++ /dev/null @@ -1 +0,0 @@ -./pll_200_125_100_scck.rpt,syntax_constraint_check.rpt,Syntax Constraint Check Report diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100.plg b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100.plg deleted file mode 100644 index bba9612..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100.plg +++ /dev/null @@ -1,8 +0,0 @@ -@P: Worst Slack : 10.000 -@P: System - Estimated Frequency : NA -@P: System - Requested Frequency : 100.0 MHz -@P: System - Estimated Period : 0.000 -@P: System - Requested Period : 10.000 -@P: System - Slack : 10.000 -@P: Total Area : 0.0 -@P: CPU Time : 0h:00m:02s diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100_srr.htm b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100_srr.htm deleted file mode 100644 index 2d8be4d..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100_srr.htm +++ /dev/null @@ -1,428 +0,0 @@ -

    -
    -#Build: Synplify Pro (R) M-2017.03L-SP1-1, Build 086R, Aug  4 2017
    -#install: /home/soft/lattice/diamond/3.10_x64/synpbase
    -#OS: Linux 
    -#Hostname: lxhadeb07
    -
    -# Fri May 10 14:33:10 2019
    -
    -#Implementation: syn_results
    -
    -Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug  4 2017
    -@N: :  | Running in 64-bit mode 
    -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
    -
    -Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug  4 2017
    -@N: :  | Running in 64-bit mode 
    -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
    -
    -Running on host :lxhadeb07
    -@N:CD720 : std.vhd(123) | Setting time resolution to ps
    -@N: : pll_200_125_100.vhd(12) | Top entity is set to pll_200_125_100.
    -VHDL syntax check successful!
    -@N:CD630 : pll_200_125_100.vhd(12) | Synthesizing work.pll_200_125_100.structure.
    -@N:CD630 : ecp5um.vhd(2083) | Synthesizing ecp5um.ehxplll.syn_black_box.
    -Post processing for ecp5um.ehxplll.syn_black_box
    -@N:CD630 : ecp5um.vhd(832) | Synthesizing ecp5um.vlo.syn_black_box.
    -Post processing for ecp5um.vlo.syn_black_box
    -@N:CD630 : ecp5um.vhd(825) | Synthesizing ecp5um.vhi.syn_black_box.
    -Post processing for ecp5um.vhi.syn_black_box
    -Post processing for work.pll_200_125_100.structure
    -@W:CL168 : pll_200_125_100.vhd(50) | Removing instance scuba_vhi_inst because it does not drive other instances. To preserve this instance, use the syn_noprune synthesis directive.
    -
    -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB)
    -
    -Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    -
    -Process completed successfully.
    -# Fri May 10 14:33:11 2019
    -
    -###########################################################]
    -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug  4 2017
    -@N: :  | Running in 64-bit mode 
    -
    -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
    -
    -Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    -
    -Process completed successfully.
    -# Fri May 10 14:33:11 2019
    -
    -###########################################################]
    -@END
    -
    -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB)
    -
    -Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    -
    -Process completed successfully.
    -# Fri May 10 14:33:11 2019
    -
    -###########################################################]
    -
    -
    -
    -
    -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug  4 2017
    -@N: :  | Running in 64-bit mode 
    -
    -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
    -
    -Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    -
    -Process completed successfully.
    -# Fri May 10 14:33:12 2019
    -
    -###########################################################]
    -
    -
    -
    -
    -Pre-mapping Report
    -
    -
    -
    -
    -
    -# Fri May 10 14:33:12 2019
    -
    -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug  4 2017 09:36:35
    -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
    -Product Version M-2017.03L-SP1-1
    -
    -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB)
    -
    -Reading constraint file: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc
    -Linked File: pll_200_125_100_scck.rpt
    -Printing clock  summary report in "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100_scck.rpt" file 
    -@N:MF248 :  | Running in 64-bit mode. 
    -@N:MF666 :  | Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) 
    -
    -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB)
    -
    -
    -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB)
    -
    -
    -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 114MB)
    -
    -
    -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 116MB)
    -
    -ICG Latch Removal Summary:
    -Number of ICG latches removed:	0
    -Number of ICG latches not removed:	0
    -syn_allowed_resources : blockrams=56  set on top level netlist pll_200_125_100
    -
    -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -
    -
    -Clock Summary
    -******************
    -
    -          Start      Requested     Requested     Clock      Clock               Clock
    -Level     Clock      Frequency     Period        Type       Group               Load 
    --------------------------------------------------------------------------------------
    -0 -       System     100.0 MHz     10.000        system     system_clkgroup     0    
    -=====================================================================================
    -
    -Finished Pre Mapping Phase.
    -
    -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -
    -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -None
    -None
    -
    -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -Pre-mapping successful!
    -
    -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 56MB peak: 143MB)
    -
    -Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    -# Fri May 10 14:33:13 2019
    -
    -###########################################################]
    -
    -
    -
    -
    -Map & Optimize Report
    -
    -
    -
    -
    -
    -# Fri May 10 14:33:13 2019
    -
    -Synopsys Lattice Technology Mapper, Version maplat, Build 1796R, Built Aug  4 2017 09:36:35
    -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
    -Product Version M-2017.03L-SP1-1
    -
    -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB)
    -
    -@N:MF248 :  | Running in 64-bit mode. 
    -@N:MF666 :  | Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) 
    -
    -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
    -
    -
    -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB)
    -
    -
    -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 113MB)
    -
    -
    -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB)
    -
    -
    -
    -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
    -
    -
    -Available hyper_sources - for debug and ip models
    -	None Found
    -
    -
    -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
    -
    -
    -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
    -
    -
    -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
    -
    -
    -Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
    -
    -
    -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
    -
    -
    -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -
    -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -
    -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -
    -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -
    -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -
    -Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
    -
    -Pass		 CPU time		Worst Slack		Luts / Registers
    -------------------------------------------------------------
    -
    -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
    -
    -@N:FX164 :  | The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.   
    -
    -Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
    -
    -
    -
    -@S |Clock Optimization Summary
    -
    -
    -#### START OF CLOCK OPTIMIZATION REPORT #####[
    -
    -0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
    -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
    -0 instances converted, 0 sequential instances remain driven by gated/generated clocks
    -
    -
    -
    -##### END OF CLOCK OPTIMIZATION REPORT ######]
    -
    -
    -Start Writing Netlists (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 106MB peak: 143MB)
    -
    -Writing Analyst data base /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_m.srm
    -
    -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB)
    -
    -Writing EDIF Netlist and constraint files
    -@N:FX1056 :  | Writing EDF file: /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/pll_200_125_100.edn 
    -M-2017.03L-SP1-1
    -@N:BW106 :  | Synplicity Constraint File capacitance units using default value of 1pF  
    -
    -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB)
    -
    -Writing Verilog Simulation files
    -
    -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB)
    -
    -Writing VHDL Simulation files
    -
    -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 146MB)
    -
    -
    -Start final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 145MB peak: 146MB)
    -
    -@W:MT246 : pll_200_125_100.vhd(56) | Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) 
    -
    -
    -##### START OF TIMING REPORT #####[
    -# Timing Report written on Fri May 10 14:33:15 2019
    -#
    -
    -
    -Top view:               pll_200_125_100
    -Requested Frequency:    100.0 MHz
    -Wire load mode:         top
    -Paths requested:        5
    -Constraint File(s):    /home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.fdc
    -                       
    -@N:MT320 :  | This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. 
    -
    -@N:MT322 :  | Clock constraints include only register-to-register paths associated with each individual clock. 
    -
    -
    -
    -Performance Summary
    -*******************
    -
    -
    -Worst slack in design: 10.000
    -
    -@N:MT286 :  | System clock period 0.000 stretches to negative invalid value -- ignoring stretching. 
    -                   Requested     Estimated     Requested     Estimated                Clock      Clock          
    -Starting Clock     Frequency     Frequency     Period        Period        Slack      Type       Group          
    -----------------------------------------------------------------------------------------------------------------
    -System             100.0 MHz     NA            10.000        0.000         10.000     system     system_clkgroup
    -================================================================================================================
    -Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
    -
    -
    -
    -
    -
    -Clock Relationships
    -*******************
    -
    -Clocks            |    rise  to  rise    |    fall  to  fall   |    rise  to  fall   |    fall  to  rise 
    ----------------------------------------------------------------------------------------------------------
    -Starting  Ending  |  constraint  slack   |  constraint  slack  |  constraint  slack  |  constraint  slack
    ----------------------------------------------------------------------------------------------------------
    -System    System  |  10.000      10.000  |  No paths    -      |  No paths    -      |  No paths    -    
    -=========================================================================================================
    - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
    -       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
    -
    -
    -
    -Interface Information 
    -*********************
    -
    -No IO constraint found
    -
    -
    -
    -====================================
    -Detailed Report for Clock: System
    -====================================
    -
    -
    -
    -Starting Points with Worst Slack
    -********************************
    -
    -              Starting                                           Arrival           
    -Instance      Reference     Type        Pin          Net         Time        Slack 
    -              Clock                                                                
    ------------------------------------------------------------------------------------
    -PLLInst_0     System        EHXPLLL     CLKINTFB     CLKFB_t     0.000       10.000
    -===================================================================================
    -
    -
    -Ending Points with Worst Slack
    -******************************
    -
    -              Starting                                        Required           
    -Instance      Reference     Type        Pin       Net         Time         Slack 
    -              Clock                                                              
    ----------------------------------------------------------------------------------
    -PLLInst_0     System        EHXPLLL     CLKFB     CLKFB_t     10.000       10.000
    -=================================================================================
    -
    -
    -
    -Worst Path Information
    -View Worst Path in Analyst
    -***********************
    -
    -
    -Path information for path number 1: 
    -      Requested Period:                      10.000
    -    - Setup time:                            0.000
    -    + Clock delay at ending point:           0.000 (ideal)
    -    + Estimated clock delay at ending point: 0.000
    -    = Required time:                         10.000
    -
    -    - Propagation time:                      0.000
    -    - Clock delay at starting point:         0.000 (ideal)
    -    - Estimated clock delay at start point:  -0.000
    -    = Slack (critical) :                     10.000
    -
    -    Number of logic level(s):                0
    -    Starting point:                          PLLInst_0 / CLKINTFB
    -    Ending point:                            PLLInst_0 / CLKFB
    -    The start point is clocked by            System [rising]
    -    The end   point is clocked by            System [rising]
    -
    -Instance / Net                 Pin          Pin               Arrival     No. of    
    -Name               Type        Name         Dir     Delay     Time        Fan Out(s)
    -------------------------------------------------------------------------------------
    -PLLInst_0          EHXPLLL     CLKINTFB     Out     0.000     0.000       -         
    -CLKFB_t            Net         -            -       -         -           1         
    -PLLInst_0          EHXPLLL     CLKFB        In      0.000     0.000       -         
    -====================================================================================
    -
    -
    -
    -##### END OF TIMING REPORT #####]
    -
    -Timing exceptions that could not be applied
    -None
    -
    -Finished final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 146MB)
    -
    -
    -Finished timing report (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 146MB)
    -
    ----------------------------------------
    -Resource Usage Report
    -Part: lfe5um_25f-6
    -
    -Register bits: 0 of 24288 (0%)
    -PIC Latch:       0
    -I/O cells:       0
    -
    -
    -Details:
    -EHXPLLL:        1
    -GSR:            1
    -PUR:            1
    -VHI:            1
    -VLO:            1
    -Mapper successful!
    -
    -At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 33MB peak: 146MB)
    -
    -Process took 0h:00m:02s realtime, 0h:00m:02s cputime
    -# Fri May 10 14:33:15 2019
    -
    -###########################################################]
    -
    -
    diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100_toc.htm b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100_toc.htm deleted file mode 100644 index 75d317d..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/pll_200_125_100_toc.htm +++ /dev/null @@ -1,45 +0,0 @@ - - - - - - - - - - - - - - \ No newline at end of file diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/run_option.xml b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/run_option.xml deleted file mode 100644 index 35a3688..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/run_option.xml +++ /dev/null @@ -1,24 +0,0 @@ - - - - - - - - - - - - - - - - - diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/statusReport.html b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/statusReport.html deleted file mode 100644 index c4d093f..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/syntmp/statusReport.html +++ /dev/null @@ -1,112 +0,0 @@ - - - Project Status Summary Page - - - - - - -
    - - - - - - - - - - -
    Project Settings
    Project Name pll_200_125_100 Device Name syn_results: Lattice ECP5UM : LFE5UM_25F
    Implementation Name syn_results Top Module pll_200_125_100
    Pipelining 0 Retiming 0
    Resource Sharing 1 Fanout Guide 50
    Disable I/O Insertion 1 Disable Sequential Optimizations 0
    Clock Conversion 1 FSM Compiler 1

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Run Status
    Job NameStatusCPU TimeReal TimeMemoryDate/Time
    (compiler)Complete910-00m:01s-5/10/19
    2:33 PM
    (premap)Complete2000m:00s0m:00s143MB5/10/19
    2:33 PM
    (fpga_mapper)Complete8100m:02s0m:02s146MB5/10/19
    2:33 PM
    Multi-srs GeneratorComplete5/10/19
    2:33 PM
    -
    - - - - - - - - - - - - - - - - -
    Area Summary
    Register bits 0I/O cells 0
    Block RAMs -(v_ram) 0DSPs -(dsp_used) 0
    ORCA LUTs -(total_luts) 0

    - - - - - - - - -
    Timing Summary
    Clock NameReq FreqEst FreqSlack
    System100.0 MHzNA10.000
    -
    - - - - - - -
    Optimizations Summary
    Combined Clock Conversion 0 / 0

    -
    -
    - \ No newline at end of file diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/.cckTransfer b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/.cckTransfer deleted file mode 100644 index 8d68b51ffc123b9e9915c50b3dcd0e75f3d66b87..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 456 zcmV;(0XP01iwFP!0000015#C0RVXORFG)=a{vaLcI6*^mGAZ z9&d$5|4m>w&WRYZ^EqN=LB_ZWh}q~zk2Uk~$$!>h^&qn$=dq*jHKwE;j_u+XZtHC~ zDNT4e?*X*S(*o6H9cA=h&$Pzfmm}Kaa{u{+cCnIZV+gS}JPc0_dT99AVHbpaleyTW z4!H}+L1lQ)Hzb*CX3|WO4L&m5Wz@{%6d@nk5$cD=2BdNn=ZsD)GPd9;qzR+vFc}e~ z2Qh;jbI7n%R4Y?LN*0BzTz?sj^@BY}(sz)5lWgP>C2WvXKbnlYvQysXt zlt$8U)tl>rHmTB(wH5=!6KU{Cw^SU!7Z277ipzuK2 z4HO-tYY2GTmY0HmXs%6>Hv#?gpA>+}_^lTNUo9bKj7XSeN{{sNLV`sjt0ssK%qu^!$ diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/_mh_info b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/_mh_info deleted file mode 100644 index 37bc105..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/_mh_info +++ /dev/null @@ -1 +0,0 @@ -|1| diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.fdep b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.fdep deleted file mode 100644 index 0d906a3..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.fdep +++ /dev/null @@ -1,28 +0,0 @@ -#defaultlanguage:vhdl -#OPTIONS:"|-layerid|0|-orig_srs|/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.srs|-top|pll_200_125_100|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_vhdl":1542167766 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/location.map":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/snps_haps_pkg.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std1164.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/numeric.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/umr_capim.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/arith.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/unsigned.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/hyperents.vhd":1542167610 -#CUR:"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":1557491589 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":1542167599 -0 "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd" vhdl - -# Dependency Lists (Uses list) -0 -1 - -# Dependency Lists (Users Of) -0 -1 - -# Design Unit to File Association -arch work pll_200_125_100 structure 0 -module work pll_200_125_100 0 - - -# Configuration files used diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.fdeporig b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.fdeporig deleted file mode 100644 index 7b38ff3..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.fdeporig +++ /dev/null @@ -1,24 +0,0 @@ -#defaultlanguage:vhdl -#OPTIONS:"|-layerid|0|-orig_srs|/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.srs|-top|pll_200_125_100|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_vhdl":1542167766 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/location.map":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/snps_haps_pkg.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std1164.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/numeric.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/umr_capim.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/arith.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/unsigned.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/hyperents.vhd":1542167610 -#CUR:"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":1557491589 -0 "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd" vhdl - -# Dependency Lists (Uses list) -0 -1 - -# Dependency Lists (Users Of) -0 -1 - -# Design Unit to File Association -arch work pll_200_125_100 structure 0 -module work pll_200_125_100 0 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.srs b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.srs deleted file mode 100644 index b9cb7b8176f7c01c4120f5032e22ba2349cb106b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6188 zcma)AcQjn<+f5>)j2b06(MO3+^khf`BcmjQWJE8~OAuuUf{0FnL6k6p=zWyY+vrC0 zE=DJMNyI1a{jGby-_2d$cm6tSowfJ7-)HaVIqx~Fp@f$%E<9}=9UTw|Bc%F3&~G4z zcl$X)ItNBp@ay5(*}Svn?3IhVy!Q}?31O1KBfj%f3fis*FbT6-t&@J>Gou;XKPs-Ywld@-L_9CMGi{aQ`4mxkl#W{qqPg zTrB|#49qVgEBAj(5-VUR2UYjE%z8zQ>{zrWaD4UP*f9`Y^NL?5oa0GS?|jzwH;dCa zSUi?9C9+_=s+XB*4h?oM^TU?Ui15GSB~w>3>RkaIN;?|_>Vo>byLn{jKZoC&Lu@px zJ#@ZXmWP*bMu2=ZOK9Tn>c`7^3g>7bo~W*~LDICpaZ9r?Dk@#B)4XF&vWGrDxLMNLqqF$NJU@0#P+P0 zr~BxA#TLqk&zAtPD0$2MX?rCVXkQ@cmk%~q&3)w^;P za<)6mTehekl@|q4MY@v?m+8ip!{=WbJbaU8MYc?BPqY74Qi2FFHKF`j^ZiEHOgp=l z%7OwB-I(_v4}p5KK<e_K3NIb6LnS%oY2+oK|XTb*LNDyICMu@^CYw4uj8 zTpL8WpnUtrYV9}0?&IY8eg?0|v0@L6q|9Lh!Y9JyN#MqmrDJayPVE6ZB(V&qXjw&e zLZh1s=s}?aevh^^gO`Za0%J8Uj>Ts)wcn5B0nb`|RB7uAMBnsH!>7R{dzSMLwGjG8 zbpfS|JL|L;VQ#@TLR)T7;Lp}*fLF)JUQFbUh0>-5Xr`G{gDzW7R#p~-L_es_j>d~R zCXW@0HRdKw`0`w3ExGY+vX-?bXmXW?>5203+NhGuv2aLc5yn z<;i6+Xfp3*O(dHFdEU=wFJeA8S%l*tVYg1L&)U_vrod_6;uE-p{9442oJYs_opMm; z)ltvNo08W|e0L;iQPh_WR9E;l@3z>gwP~8NE6mOBw=U81t90`&A3n>;ocsy6m;I)x z*`MAyIf#?ZhSn`9h+>52rr1>*kNYdfMfS5{gMI5s)vu>dce~fQ4+YcA=_*3u=rh3L z+&1a|ZfiAu)t=5`oU%uf=8yDw#}h~K2Bx9XwwoaP#tzDGjPY)=B0xSUg5Hp`pl zvyFI1#KrLqr5Icd?qx za3@St)zj@;mmihsVU&!QXtv8VrtI*9FWENrH!K@zXT%d^aAF;rvGag;J4BC8mH4U$ zIxpn>mqW?AV22DD3Izw>K!6uGmp$)p-xp_n+eBwVPL`Z097XsWN}9oMCM_~5$NS_- zuVc#ij8+wz-*$7i_ zJ-LI5?J|Y+-A5FTx~n>$??@}(mLC$ScABrB-5WN1p+fZ`Yk%6w527<0rwXdF)_W7< z0ABf6yR4=x%X||PeYZlVA@qT&SF%;N4h>~SdrXXQAvMp`36ttQMe`B1sl9~l`uXZB zYA|U1;8Ohc}RGXI=%P+Yg-3 zB=%PamuDKc#l?F{utY!OH+y#n8vo;CpP9X#Xr^-~v7U$l8`luO1}sSiBqV0z5=AdL zC!|dSWthAto5gn3m{eU>Rv2-brS#zrd4;F zBn-s`2vsjZ3T?a+{U*nsStgN3=x~yQU74zI7^{wq9*Tt#rr8lDx*aR5X`Dk(l!H=1 zSfUNumF_uVb5Z|izS1?(a28BPkTyN*c(Zy5M+`DoCi&Uii#L{wA*v{Im0D9*&$^gq zM#M}<-g_Y!?{7ctJhDlzok>6$fXSvh+&^3LWqY=#B4#op2b^EYK*Eq7k zEB;+}qNr;hH#s3=7l0XFei%8q;r~vkz_MuM1e5c_&O~_B+$Ys#%ymgPVWHag@Y}Kj zBGqO%>SNuIs6oDzRNFLaG(mQ~J7l_VnRse3Wh{F0C-bz@QuP?@2uD1%T)Npb06_=T zINl1|kYi-Rn9Z;*o$MX1KA17+i53!uHwMYOAD~3sy)O0Du}Uf)*PeEa)#4SH!MP?X zo4+c>eW>3I7u8s6U*@`gxmN$!_%_@(wA=NS3(8Glu9pRV}0=4URs6}$S+4NE;<+mMS=lH1nPGhE-H2I z=&$a^Z1bVf7<(Vgd522l_oBh)?e-t1|3*x3US^9w+Zz@1iy-J<+8Z?-qy_`~u(Y8u zeA_-~d(1J01&x;O#UBQ9|4Gc$+6cUKjp9;&O`lO8RWu%Lai=*Gj)3VFqt?&*iUWgZ z9n`Zg7i%_s1+!8BfIDe%9$W3GtVT*)tm@ zxUlsv#aJvhpdZQr1_U8c7gOn9N_nxBVc!2svh&}V0|t2ko?z7Zqyr#O(BBpKh7;Fq z8$t5L8>TddGcRwM-!_j-_BLF)VTecdw*m1NC1e1CkL~o+2h*cM08l>!MIt};HyPv= z9>}?ID;7c|@QJB#e(m!*?$i2ObgJp>I%A8uNzWfA{J)fT{fa}nhc0+A5eNkX5Q$LW zA^?W?=fnZ3B`%(aU+tHp7;xKpAhzu>J{T71V{|VVjnDnp^azU*u{r;I2y{PvOX1!b z)y&QCwhRVu!@RY5{l0x}GXW*&(9UR;1zowxr2rEZiOng1v5Ka)cQ*>G z-uTY=#Gr|1c;G8Xd~HjCueZwTSY3Paw9M&e7jJ^X)2dS`i#Ykb>kQKcdnVsZ=T{_Y z7ItjpcSk+*NBxT(E+)%=o#tO?Hd?O>lgOQaZyokOW1>m28--zFO<-2ZH%4JkD2|3? zf{;f8TU*@7K!U<6%$f8eVxDxzjUOU#FKeCJm_97ECl(}-sJiKg$*K$^jC1*CpL|D! ztZ!)N+)XFS3A;x1+4l&pOWLmAwVzNnaygZ7l!x}a1(~huE%Kq#2`OFwl;_J;PVh3Z z_H-yQ{yeU%&wQU509$@tYx8(bNxojg>E%tJexc?kAit#bl0zbdk2Z3(7!51l+0bOK zFvv3|*`T*3*1&=*0m7H><=O3E#jccx2p;SXD^Ie8cFokx;2L`kc0Ufq*_c2$+H`m> z2dDzCmL(rr@5JAFrAQ%e1fp%(9Cdp-7VH?OCH}J9k&>N5T2_SMlk?9`<~}dn+5BOl z?_%F+{v^D%-md=i{1yDicorrqSF0!iN{Fadi1HFTV_pDB_={#04fZD*bAj&s4_e~IIa+-0-_Vke{x^EC=-1iOf0BlBa+rDs$DuDv;t1=gYWE)jq4gga01JeA*I$mD@!TRzJH zQz{(g2O9VPbP1yp1Q)s%Ci@KwPPSsdx-M03npy7F-;nO8oe@Kn8!-yI|{4_cXX;U z2T8Ti4O3n#^K|Z!XDcD7A+=&bd5@hq7nFcT_PqN#k}c-iclk&h!CID=@oQn#&mM_P z>?FGAgOW{#;A`M;uP~9A9#!}J8tkx8rtx47qbbw}=9HCRnqQjCYQ>OGxi{iB438}_ z<{IlI-pUm#lgJp`Vror%SuRjBaU!R!qB~q!{6?U5FmH@?bd!bAq!Y!UJGEbzEibuc zN-Dp4>ZNXqYv>u ziEm3qu@Qi2g9TAYt8>g?0)OoYQ zq|INbJ-m`x(34{;1hOYaJQxvf3bGMJISj-9gG*efKIz1JC!} z%$l3h*0f7&2NlaPUOR7_4`tm_^_LCBP?bTw1C&36|yvg*hai$i7BG7tzG~ znqMCAJpD3{B07b5uY&pQ$NEV1Ta7-#JUV9x9nbpvP(xB@-9vBwB7RO!>t^A)lFz}< zGWhkgx$Z#QM&{LP?L(XRHQmff-`nfb>g+#PNRRt+Kb+vBY=$K@ey44}d!howjPQe# zVpBp#n&gWqZ)WFQ*_&5ozSAebKTNB}b%olIyPch#7?p;~qsAjb=3U9Rwyn)%30kH% zZK7x2>$#ihi)-_ITU&vZzcyw|;$ll9??Yr5E>Q0JR!s%E+@XcIv0~R8M+3yN3r@)D zd?Ni0WFWN^C(Opril=BM42oC%bLeNga%^5juO51g=FH^KpyW%Bi7INEJ3^$Y1Zv{B z0~BfLo1fILXdPG(O6)N(oN^NL`s}%Q6@ty$7{T`yOY8C%Zo@};6fCn#>o{J|QF!~v z-ojsQwqJv-tsH=PIx(Y+dySlik?k_7|t%SqVV@IY1C9(J=VRr_WyRX&NV@GZ( zg5t)Ax*U2wkXxZV??0|s8<(ugYMsDi%8RQsVis5EqAkkygu;8SN}|Vk7!!|b&*U>; Z4-*5G#WxHu<|N)Fs%oWCwwsqO{SVFa@|^$x diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.tlg b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.tlg deleted file mode 100644 index 5b9fa01..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.tlg +++ /dev/null @@ -1,9 +0,0 @@ -@N: CD630 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":12:7:12:21|Synthesizing work.pll_200_125_100.structure. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":2083:10:2083:16|Synthesizing ecp5um.ehxplll.syn_black_box. -Post processing for ecp5um.ehxplll.syn_black_box -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":832:10:832:12|Synthesizing ecp5um.vlo.syn_black_box. -Post processing for ecp5um.vlo.syn_black_box -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":825:10:825:12|Synthesizing ecp5um.vhi.syn_black_box. -Post processing for ecp5um.vhi.syn_black_box -Post processing for work.pll_200_125_100.structure -@W: CL168 :"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":50:4:50:17|Removing instance scuba_vhi_inst because it does not drive other instances. To preserve this instance, use the syn_noprune synthesis directive. diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.tlg.db b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/layer0.tlg.db deleted file mode 100644 index ad02b69cc6836287ccc6c9a1b7c7c9ce3fef3e16..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeH~&u`N(6vxxDA4sqbgisG?lC=|v5+~`}Rpr7MyY;fI7fz9ryfvnY9c-uD&@SBx z!9T#2KZHMw8&21DOxna{JMo_4Jp1|C`T7(4;G=^+H$aZ1%9$Z8=ZRCRIqwK@9B0Lr zx-E07*~-$qVSUy9m#sK&et%uFS+$LCj(yOO01`j~NB{{S0VIF~kN^@u0!RP}{O1Hr zZMCtvS)05yEXp7Ut=SOt+}HOG_jd>TWU%|bzfb1Tmpmb3rs9;TCds%MUA1N@SAh{1 zed%dX(&X3N-^z&b;)i2VxTS<&E7LUS6p1O9qP^o!v_i9?fR88e>QIpA= z)jX|RH9PDs*#usPHl>2u5B&pOms}12 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/modulechange.db b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/modulechange.db deleted file mode 100644 index e1d5313a23de42a412a9f6520d12a1be3d7705d8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 28672 zcmeI&%WvF790&06V_%`WQx4GzL4>2KN~45$w{0rK1qxjteN$SgQY)<{_U!Huzcijr z8*ULo;*7)%i8Dv|1K`4`CoXVmFFheu;)IHLNM-EA&2H0h@BymoYstU$*xv8Ve4HFc z>kmITFBEqMu?(4VPLRXIFvweuLkKbTUekNA)%Ag0H1wx2aeUCBNnZQov!=dUZGJ=O z=k#dn>(;fFr5o5F009U<00Izz00bZa0SG|g-vusLtL^y(V<;k@-yR6Rui}0v{4n;% z0rz>rBR{RZbES9sYR_4_c&2yV*}KWPbkUi*@z}d-XBID4CK7d2mZ-lobz^lZaqp)6 zi5GO@6}#GASTML^>j9t2nQByaL$98G`+V<-f$11WL~7a5Bg_sKKelS^`FUge&52ME z4dR`|?8tsfTz3B0ZWbSM=_FEwOl~xUlb)7T&Y z0SG_<0uX=z1Rwwb2>e$9XJ;y8o7(Ou4!N7g1LX!xDdBO~7c7h;-#xL??euS-I_aic zQL@fb?&?*YyE*dRag>T-#QhcBxbfi2H_kP5){7;xLfQ9NBElkUt**nG<-$_sce|%f z7E!&Lj;fT7Dq=2Gb<9g8W1=MOkC-mW#;^!ntCXK&G!D5GUJ-TH)=?!NV-R~xi8xvb zS&~0SOJ|jQjH9iDOCG7T7}$HJ4r`P{V^XM55p>QdH>zOl>G8PSOIF9lAD1hL4!f{B zD&|YUB6ld1tK|A>>bZ)CiT*d>ZX)B4xToAC2>Qo6oqX>eU+s50oyX@6XlLWT`BVI9 zzW%4*5&9?njs8l1q4()s`ZN8J-l0F})7T&Y0SG_<0uX=z1Rwwb2tWV=5cuB(>=}y~ zkL*TX>v^r^wVKyTUhTYEc{THD(83H^&cd=@8& zF+l(V5P$##AOHafKmY;|fB*y_P*%V)tw!B4ta^P$N0{_?LjTqU8w4N#0SG_<0uX=z z1Rwwb2tWV=&y#>{H4M|T>J6;_pQp!)xj+B{5P$##AOHafKmY;|fB*!h1Z=Cmv;O}F DfLJ=C diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.fdep b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.fdep deleted file mode 100644 index fcced78..0000000 --- a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.fdep +++ /dev/null @@ -1,21 +0,0 @@ -#OPTIONS:"|-layerid|0|-orig_srs|/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.srs|-top|pll_200_125_100|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_vhdl":1542167766 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/location.map":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/snps_haps_pkg.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std1164.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/numeric.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/umr_capim.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/arith.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/unsigned.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/hyperents.vhd":1542167610 -#CUR:"/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd":1557491589 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":1542167599 -0 "/home/adrian/git/trb5sc/template/project/pll_200_200_125_100/pll_200_125_100/pll_200_125_100.vhd" vhdl -#Dependency Lists(Uses List) -0 -1 -#Dependency Lists(Users Of) -0 -1 -#Design Unit to File Association -module work pll_200_125_100 0 -arch work pll_200_125_100 structure 0 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.srs b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_comp.srs deleted file mode 100644 index 4c5eb1b432b3465c3bfaeb0d43279208876f1ded..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5092 zcmV;NS~ABCjrL+p*?gy#lZ{FWlmEqP>OjV+BeCL8 zu4APb+fHOqiN|Mog{rf*SZ3Mz)5~?Cv+Pp)*e9ugv9}!4|H+3J@j7707BR%6zTV_p zz2554x0~Mp00960%vfuWn>-f&-24g)Mru)8E&>GvUnZC^t`r~~Y4SE&jVuz}*IJ zYLSx{rbXvBJ1vs0X7VV;L<>ekZR|vvJR8b1rZahFzT1zbq2o>9CxHN4lU@u2OnFGh zjun8%=LwiYhXgNlsb(>z5!;u@U`d~)lRdbKk}EvZ9S@40^!I&d&8=P6f9jv%zqJ-^ z2(FT$Id(wueg+eQdyotHl*r>?=s?eE_9MwK2pmd4)+On)CHy%9Gma{(Ki4@jaWbo} zbihtA@p>?nm|hz!>)}MxgILET(PD>eoG{X?+$?tVW`3o~Q9K*T0rTm2qz%`Own-`) zZ)T+HyZN8tyNacNsSn#Z*Z)&;PF_a@?<1!h*WDix*P)|bRWpp`=ozMb_-Eo8W6g;x z5)o~$SDHRb`m(msnTLZISK2I0{)P2Gn*{|`3;wTCt6wn3p4Z-*cYS;NfA?)L($-Oe z^+dz7O@H0>?fw7Ox69t*01xQ>3@3eay;+#$R;DZmlOTQ<($NjP_gF!UG5G>c4~oUZ z@_;bBPu8>k=FUW4%epMDOgReZD5lA~(fjbm1Nb@qLNL?PvCi_VFX6ku?D}0dC z>-QCI^Qh2^uHEbVTQ^s&+rrH))m0fq>{-HMdj(JXdEpJSb!_VR2FFztcwmWnH3>H~ z4w3)|5so)dN{cPG-y8!O%1up=Sqx>9Q0CtY9|^1dW*OrJNTs*sejJDUicpzE`h=~VbOit!JSI7Sk_Ulh?$j%BjhM;IdAnz4E*uCHCoV%(Pd zQ7_yI*7#ddxUkkMT!FsUF;w0f_pWDfZ56KgwopI1!6cTyVJN^YCUP8Lmh1t@Sp4R4 z@0r~BEz?Y8nKgGm{$Mg)COH8Ie*EA#Bl1qoi(4kN5F=PS;I#qk4XW?HmQa?RIC8&X zFmHIFLEQL}l~@>8n*dYxRS@ym3tp)#&pCI&7M_o-S{{e&I9ZZ?3>z$cMR%;aO75$1 z1tB4O%A%u(>@4ZXi&;v<`?``vp8G31rK!JwaEhA z@6f?G$;dA>zSrHcK7i5eRnueZJN4L-k)LVkh-^Ao)pv(Xe3#W!q3J^n+mg$u6`G~? z14YyF(9n$z-DuOZ?W3Kh3!5V4;qdsiGao#g`_JY!n=|aAYzt<^gIzN{%aU#>b3gOK zGy{X_ITij=5TNBTrG|o#k-?NMeX*V*SeBXKG5joD*;5ccWX3-t#pm)BYGmxILwa0h zg9H6*gmt^qMa&@kaqdj=4 zhf56^ml{%2YA<-M=Ud}KM>SoRhrzi9+O?u~>nOj_L=E`u8fe#w>UV44iFWMP+p$}3 z?K;W@?Z|R)fb7+Q)|r3T?3-rF!BHCU;n{rfZ0>jGwSB3T2eh>>b%dy0!eMF*GJAUV*HJ;K!X}x)%D|ZV=k+XI3Z9G_ z>C|7ron0TOfedB)=<`}MN+sjpE+Nh6aqt&9tOSm>=8#IZ!Qc5OH&ZBm5?p4xvlfF{gf0PmxwA1)Uu9D zV+`4pCEy8%JG6S-#hC(B(HE3ZvtXD01B(>cNUS}=Heh~*9Y&H z{Bh?TD$cg>x<1wAWleo$?R?f1=*iymS=inarp1jkl&N<`Fz9roxoXR zet+`d{?bP$hib^NRR*cue@f?GbIrP$5w1q(-W#)aX%?+1yD80dmEE;A_}u!w(i>YZ zdSfp0wVrkuQPwciC`wqXsaM2_*mZr6aZ-_gtUrG3Zq)S1MD&;{ztrTe<*Mqgbp4f| zLg}s27rnC2?jh1D?eg6VKFiNt9w%{L^#<%rBT!L2;P;i&oyT8E$3chJwRX*@&YSbY zK-L}92g5+fE3Fs%*C6p)F)UO{nF=ArscyXp*e?7DaRVl3Pb!mceU56D)&b6HIHt#YtY@B2$%-$_q&j#bwLuA4aJ zIk)da?z*;-4UDP4_SgI)&b_v8tlTa5$nvBp-MU=;#ZClvo^Y%`|K|6o3QRo=Xce9A z4J_~Y`DXajW!pA(A7j@Z<|C!=8VYMrd)Z4n3`xn_I*3~wo!;qZ}~!;W1`n2;!YmPVJx#4 zZTMA@VN7`T@qJ(TxXfX1)ts~E%k#@~_4%ZzTwy!(kLdfyvNZdvUE7VL8yJ6 zZ~43#=S5mBGnTk3F}@}@WqG&FO5a;ag2pjDjzW1alPKC?ou5%pIj|EsaJzF|)}OMA z+Dh@!vFxp0<`9yUBlFLj?;YV&e(tOW)_1o}9*8?1Kf774`zF3~nm71`&aF2ROa18b zHj}S$EeDx6+b(`!{f;$jVKv7yK3Kbt zqHey`PyD?0I1g-V@!O-;n>w=`6?U#+G--GYQD?uiC&MA}HZ>Wo;WQV#zWSeS=C#w_ z_gqV0~;g%sS4r?bK~ zE+#$`?L;LE+4Th{jXVx3R{P(#+YBMe%sF`oRMl7a>MCv`xN+_#=4A58G0ll{3lKs( z$dNG_AnK+G>;5s%h+N%M3}X#2S)Fd?+`}qouZ^FhYi?QBEm@2cdTs6EoLM=& zZfW?>B)6`a$*?jjtegr>XN*-|op^smFRRhq@V!vUTjd#D57fblz zx;T6Za1VCrs9F&iUF{+y*b>62<@wP28ig6VwUEew=zmpQY?Ouhtwe=6=CUXrr{`W} zw=6_nNB_ub&VhQ>|9tJWw>wU__l2_OI6C7AUPWxcBkHvrD#IK9`)TYX&gb~^Cf;n4 zInIJ9UnRkKLdOc+6FG~Gq-N$EZHdiGh* z{wqEEqW4MZeR6_ruV)GfN>j>t-`|$C7;-si>P-ZVC~e=A`GtLccYS_$6Q`MoK$Ot< zLHSF50*pqw^ds#VoH|0$iPVaS>@rGT4DpC8BZ@S$kdgS=8H4%s>}yd3wIi?-B#kT| zRiC5LlEjo^WHHQQ=b6BhIhJ{}Dv$AIEAtp{9rM#4lbR%pWsHo67y+^VRaFznX)=a- z_XwgdRb!`9c}?&e0?ecC)t#*;tfMiG-! zOn}u}yL$KVO@I*ZYzsJHHLO;$AE}rI!3Jy=^~&%5RWd!rr?GIg?;h+_cZVN&Yu(mKH%;Ptf#H&A536o3b(`Vd)yaFoYB zKj~ZS#h>N9ZWkoD;Oy0K{nkgI7it#Y#;1!SD5s76YD?Evg_^mkZT^P0edPtxr?RE^ zt4`}@O4UMZ?A1^Gp0_ejvU)p>ItN80Qc_unD@PM$6HFykzNS^ttVK|l?=YIa8Xlyr z>0zh`65ZGSP^@2TE_Vr6yQEgTgtjEcT>jfZWN-_!#Vw5oz;ZeI#G(+zUYySfp%^B0 zOC#V`h%6xkv<5u6x&ji>1S1wND1|md9<@|1ObdvIiz~W_VwO{2jA4k$z>6unVvsXs zV>gh?(W9YPTijc6x@)n9FWv__`9Lo|&~Xy70g;cKdpo38EQUeCLQ8H*sU%*k9v3dz6>3C^=z9yZ#`a?Iv5CcTX;zu>kCmt1g(d68jA z5>>-Q)*+WK<1LPEPqjPyifOcvx3QN+NMpKSy)T+yaLU)x<2@gV=>cE%cy)PC{_>ue zvBBcZ^Eh&23H@Hv55up;Q4hL%OoIaZz2|^oR^!ugQ}^ei0!}MVF$QO~VG~ zgiv=*-AI1;I@FKyecY$m6#@gf1v^6?-5#cXH~h{Yl<6;+E)8%P9EWSYfUV&eYDPN{ z2OWp@I<*^P2&TX3eFmv0@zG5|GyOQ=AZF#^L^~&1zOQ$*eWu5+w3(v&=)kC$UDSs!`{OszjefWFI!9>MvajjT)$!ZQapr=N8jrJI^a80TYyRj5eZ3p^xK7>2 zRDT)b5x%*Gw9)selXVvY8#%@=dgJ!JusN*n-5KunVg^DtY&U)FtIMIURfG0X9|j-$ z+6{%gLTfCHJ-GYSp1D$A#a%$UmV5Y5eP6!Q`9A;v0RR8ua!}v`00030{{sNn(zsMq GD*ynvOgK#d diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_m.srm b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_m.srm deleted file mode 100644 index cbb05bb7b732d977f12e9578bc15a1d612001329..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5633 zcmV+c7XIlUiwFP!0000015#C0RVXORFG)=OcNV;JpN4zcQ2s${|u_%{Ey{7~JeKmn6)XEmfnA zoy`ple?tJ?V^G|IRL-)^4FN`WZZ=DdaUC1#O}udceh(i54nvnenH+`eJno!@@Xi=C{>zYPUDDzG= zKx4ggnlxkpjjn*YLLEyRCklq3Jkm+!fGI&VG$w&kL9j3*M;a<%T>BvnyzmP5?qrwk zh>;~TgDvZg8q4jOFr@Q`#UO%8d6uS5-+_Om*9jD07cWZWqmk=>LPvrfFgGC?#IrHP zwYq8O16oZUK{B1l7(!4flfYbnGn68@Hk2@+epp?3fqZxFLm7S8o|)5d5e*)yX9cEx zlq3D)ObU3lI|WoQ_tsn=2pjr3fnBYF>cpGIUc6Fo9{#obIx&#`bNROlXaUMs>zxBq z2;5(gCGViC++X5+-v}pRHTORAcLhe+r)xjF^Qbor9@{gaa!o@&xC*@Lj)5%hP&eMy zCf?-3nMF7sR1c9dg=dr>ygRxo-G^|mz1fBRoSItEKv;p-9ZcqA0b};7W(tGrr;z$r z(SZJV>I*#s!w>sj2+lMW{Ar*lRewG(J=ojvQu&LB`UB5jgpo%;7Q7tR=ratI2e|Wv zT>%)%10CL(enVRs{UBOr3)uq^>oQ}F%zm}Ta8vpn`f|xH^rLlEM-gDE<}Qn4YRwq9 zIoqN9%Sz@Fu}@2q_3(DZd7{ybl|91GrAz%3 zSJ%`XlTrtizjSq4>*G?K)9~EbtxM1w9&UQXp{#9LkM&m8czZmz)sE-5tUYdP5z?!3 zT0$}wa#fnd^@c882CPONaiVSrwHTBm!RHjOpW~O-E{UyKOg1Xr!LRaS-Md)37i+7g zjIn&_QfsqBJ!`h4%(Ze#Myv!&PkLn3_=|wLrE#Vbq9-HJGvYS;nC%It(Q}&Qu{)~4 z;y$E19ZQf)YLzjaBs7al$a=|^=08g!$s!jgQB*A^$+ahAk?_LK`ny*_CkVZ2?R^g+ z=y=|`3cf?Q#xJP8e>l?trCJB!m+)_R{6BJ98s$55!YWvw&?-Tv3Ss>v{GV<30*cz~ zgAvu?I$Bgw=0yv|1)0%27P+xydG9124*AmV@tB@jv50l5r-vNNC@D#giG89Ub45GP z6`iC<9P)S1a^N&qE_2|B!+hHw@@;#WZ>M>*&o=^Fl3P8R)a7_DH^I6~S-liIlA5YC z-dMRdq!7@SnW7Q(`*Jf89{OsUjB>Rrnl|INSb2c5+Tc9c|Z;6Ir)n zeqR>Psd|Y1XKKRl&;mK`=_QkiC1}Q0T~eLs>T>7G1gtXFdln-ijxKW;YqPjp#}c*q zROd5!>JUh_jj4`RK~BzjCZJoiHxhODQr=~qDYQc9$ZAIMnlOSkRzaFxk}P-V^_fM9 zy0Lb@AeOD!Ua!mACgc6MT(9L2c5!0ONNlM)y7?vd!0N>_A^Y;)xu4`&DPhyw+e zb5?_825KDZne#5UI8nc?O=F8xIFUO%*6}5+nTj$pJ$~o9ZP8~vV&x^R6L}1Rp6_!S z-ox6QX!+ot_Q_tGA4TKOV;^e$w$E$#bAd}#az?dQyM?d&UEK>>iKB1ezC64*Uju;wreYnzvapI9%!V@`{5w0luqOYe1cquI4=(NGvwJ@ z;$-hLUJIP`Xh-q*`{lDact1ND``OVrogF`W6PPRWR`B^@cpdC&5uXEbKE1c^-!#qC zY%R4-Thms|c5^od=HxNzhZAVkp?oIf^CjNf8w>$>6QV;D201fc@ z{e=8(nC#~Aws%Hro2v$D)26TJ#2iCiVu2+NGe5|$aUR8Z*GJS~|fe zlHg-GvHF!hmK>|+c1@of*j+U}QBUf75R8fIhB3FS4|g*aF?ZWQEfsiJ>H<1T{lmTRddF*;qo4Y4 zidiCHN>W?kLDvKioQb~F194~euP|0QOzo+#MbvI+W+^HC=!;Bla88Q4^jlrJ&3$%l zTl9z;zVgMPhaq2HUqYoHVL@3hI-YoU_gf#ob%l?+yc{%rNA#7ickacxeTMkaq|y68OTd4Yr;3Y zKKE%D;S+uBg^SSa@cP;pao6SXmQEWuEn=1>7`VBBpYe?`?v@F2om>_;{$C*q`^s7g z!f@erc<(sIz)Hlx^UAijDsh43s!O&^%0I>sk<~5whxdnI-yix)qy&p~=t0;q`^WfP znN3+=JvVU>Z?mMw`!k?M1UA&sV^HEs6+Ud{8{`Pm6y$iYNH)`;hR(O0&PYnl0wLS5y20yK@K4yyuz? z#QUC{)O}HBzu7B|#}T*VwI}GFVE*F9Ea&fHIQ0Y0G3Ml?R`Yr$`r+!E$eY*tNY8VR z(*VA~__ssNFLlNk6?V?y>7}93MV|eQOsqplX~WCY9QNz|`|iKn%yZ{dZktPh^XZ>- zjx}4v>T7+KE;`qC_MDRhq`eb+3p++}qsS-5FW9 zbS8vQg3=T%!~O4j917F+cJ^gon)-bEe4Ed)`0U?~`lHb&zuzDE*FsQHca$WgFN?yL z{h=?40fKNhmTp$0=gLy9*bj4KMB9k zXC^#EC0gM+5r#kJ-b`dAt$9KujtSwS#1>IR7X3mFeNgWgt(>kvF_j8U5hD~kYAk$1 z@R>JbXd*)5pJY$uEcA&O&kQbVk0)%Bkz0H`Q?Cs1ie#7(VcfR5>857eNuA`Fm%_0S zTrXK!HE!v<9*RquaZ8Eo4z0!Di#5Tt9Mn8y10HV%aOq(^MNTrnq*Q$1VD;~s;z8n^ ze0TQWpeWw>^ZnT?irJS*Xjxz4RyXkyyyCHPB*Iel9n4Ay0ZoPB|0StBHI=rEymp_%Bi zSfZIuDM$|aipz(VH&lHIt*II9yJVs_7~b7+G{m65grHA-Z@ptTyLbd8)=>I!Y2kJ1dFG zy5wC${NOJ56eFh`i|>Z<*RejX36&f<&dWVLnvrX82V=jjvXJ3(9cemBsG5TRFzv*r z8qxH+P8|%vVoD;87~aI;YkVx3#Hv<+Yh!zo=kN@`vgTMJABmUT%qY5+j-;wac*SJA zDK|94e*6=kT}$F{2Z!-n`;rh-QL46HGvN_Quc2}j_QRjNlWWO1uIp|xk*LNFru7?J zGszu^Yr^Oz=}L&FGeLqOU&m3)h&_+!Sg=+6n^@simnXcyp>Y$}%%M%K99(zNGe($i z&{!0$Z0$GfO*k9+C29>j<#r3m6*CboDhb? z4U12H^khtGf)#fXj8!tZF6y6rB;rZkKxPH?4DzLTv31UQL}=2$?E@ls_Y6mTk5yVi zd}n9*`#DDx+biLm?;3L=SBXO@^nv0s`h z;HBh>GUZSaBdht(dY-3i*BX5})ICMJp`#E!bV;n3%)07O(;n1c?dX32Umtoa7Ke3u z_!b;eyH|r7c8AJ*9`5C?KRocBN;VyipAOIc=ynJ^8-56JulIN;Gk(Q~@u8R=dZa^> z1MOvXoIo~g(1G=`D`&$o2@{Hv&Y|ZYvJJb!bquY@!EIgn=y3R>XGh5dckFe{{qk@? zy%mP~1gcU>7Go$N&HIN_Gk}LlO*n=Yp!Ig_(1wZw@cag zhqCAuhtgRQhENUmXW0MkQ0QI_aPa3WVVF!OhXEawP}wvaI0OoVB?A~EWk}3=nWSBRUseI{h&LIVeTPJAjnrz^2hRi0PO(F7rVar)@bP8 z_aFUFpFW*t8}*WsfGIG~p?5gi4nh8*2)GjlravsCUl{*5_ex$nZL3j2Sh;)(0%=Fj z%dIP3`YBA@jKm=fJvqbdTAq6aqEi~Sd3&sjE8!*3fnw^w$^v7xj8{{E>*9n{&}IcX z)}RA>3o2pJ0NaNC@RNW4eK`KUXywX8B|4`{v=aSc|NHR%`~Ay4v2qw>RmnC9bXh^L zujjIsHxV9Z(AV3(aO{UGJtT>yOFAvxX@{oCPaH1daj)E`yNaxIoo zwKf{i&0v3Uh~A6ZxKviOwARf}zDLdX*z&n2KKECA?oYl?n(vbzEn2>QC8A{?@T}cs zYEnze_$Dr4hm6+M(jE8Vezd*cZF|4lk-r{QQMDB7akTu~{VHLtK_%#`zZJzuMAhCD z`TRjt>*m=`Mevl%o5J{4e(@C4V?&I9YA>p2ZssC=_$t!IevZULWXR&?;a?Y#5sm9Y zd>d7T*yoWs-;2h!MUytqVxQVFH{AJ+-Rv)-hp4?njJi_Aw8pD8zHfM4@znA@Jq!2D z`{e$T_p|s6ZXS+?_oGKx$N$-Y&*CaYg(J1yZLZ)#Br7#6zY6ous;R2u{sHSy(wPc+ z)udGg&E6>@<5c+HH9vGjDU`HQj}~XmR@gsJYNNd%VxuZSzInv(2lE zHm_RTV(nfW^K)?npXK_Zif)!hTIKUKkhNbUTHfqzGrFjjc4Y1aU1+V^fV zH$Q?`!Fp?t%_pb_?7d7K%dxw7qkj!GY%Jf>&C|SEuA?{p zvXwBaU9^0!=Oxy!X>~8t{dZOCrx%jEtYKjmRy=hw*|~$`t?QHwf@$9!}U4QS+?(sZ4pM$G_j z+Z&JXm?M27Bi;XmaX;n}6K(H5!xm@Q;tVT}Fo=Qtx@)%L*neN{lKE6mq-?tcJV zyn&fJGmw|d=IeGYax0y?a&5ix(H!;;2>M!VtzDH@>64Dz$%b@pKbtbB#P;sB9lzvp zKj7Jm_52}7Q#&x_pU?ZPEv&Z3X`VHMJ!Kv|m}y||<@2A`r3fz52kQgANc-G+*+u5- zJzyRJY1+@h{!fuwkQ>K1HL|L7UzNf0`Vn0ssI2|NjF3Buq(y5-b1!fAM3o diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_m_srm/fileinfo.srm b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_m_srm/fileinfo.srm deleted file mode 100644 index 81ac1580f5febc851d415da58eb19fc16dcf5633..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 316 zcmV-C0mJ?uiwFP!000001I*OTZo?o90N{I{0%huPF|u@!&2F7_pNb^A86p_^k#;R%d2DYu>@_E05 zboSw>5`wcwcp42PGHs4c&u%V#7+N z3tCqTZSl#TJeEVduSGYW175nPf_L~co-)xY4<}1N@z^B|gj2{~guEXg@_vNioZN8! zdc>xag7eSX4|##VtKn_kqH|wB9lE8Qnfn@e-s#yVYK@}nmgfKPaf8uUfcOUR0ssL2 O{{sMaJ9nT$2LJ$uAeRLI diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_mult.srs b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_mult.srs deleted file mode 100644 index a7fea3b55729989dade87da612da4148724c893f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4742 zcmV;15_#<(iwFP!0000019VbbYuhjse$THsBm_1{BFk|alBbohK_AwRUY?CCEAd%n z$w;#Yk`rNMsy%2R{PB zPc?wdKo;BOaw~JlGr3{()8IOb8fgIcchI7HHDLx-kCxK-ZGQkL(!_efBRk&>0S^tq zm)%#8n@urKM~TXJafmaxb9w>K4aUnJM8Bt_3I>#`L0JnbMz2w^b*R1WTjNL(vRVRh ztS+D-6$T)G&73G&j^mvjpfb=$Ch7VRd*vwMsWCt%wDAnp-qo0>Bltb2OEDbVxX6wU z!I-2EN2KOj?3TTHCJaet2Enkmy4Q(s2Cc7gk6OL2e1Mt@3|RH&B&?R4eC~jO>EOQ= zaB+!76X#>O1}kLHB3&Iaus5^kXiY5Q;5iSU#VyW^Ym|}D&O}?$Nv)7H{Qxsk;|L)dR_d(s_KBs zi35_LP_3dCWZI5pj9|CF`F|9hwPl`V=SSwNQfAp@?_-^$f{AQArthNx3G_G~cA2WuscEzIivUv2b&u z<|;A!IWcq1kSlFHSxXhRUFrO6O*XEq8C6e~-l(2kIVY7Y|8s+}s~KJ(OO@P9-=h7( zmR!{(xycaBKFK#ZSrb>2|Ec6D_Fdl#riLt666(FBE322HatD)7c(LiPjbcTOK)xm6 z*qw}Th&TB92_E0T2mH;?@1a+&(%mNnxIbB}(Z-n5=bFmYxE%+?y$L7e>)8ABHUPkn z{I|WOU?~#Qmr+-uFh}*wFfW5p{+h8wr%E5cA}b~Hau4wpmG7H z{05J`5IkdAxtox<6YOq+5U)U@gF@;-_-H)(@&nwvW;p0Q`e4Wp9y(-*3G2)Wtry_=VH@A2gca-ww2FJumMT>HSk;h9tlEUmbW>;7DE{3{2u#L?Yn#`GXv)E!=C04Vpj%t8nQqTzgxO5%*U2$Vm~c8 zZLoj+$a5Rue)4Mozk0&}hU$x*+fRw9=FJMPT?{}Q1Aq2O1d_Nrp#RA)Q9XaA5~aWS z<|Vzr4YyStGT)P>%%M?4tQ7?(Bm0pB(aI@kRv%!6-obQBC6TiNmS&3NcwVDXT2coI zI4My&0*b_aq>_}DBgF3|g?@Tg*Tk8lQU}JraCB1Z^HSVX*}b__m!LN^oaA`Mc&uiBbh2 zJ)VJ{BBz0;5XBrv&q$m_&a4KD+mO8Lg#r$$6-sp!lQb$J9mRW+eJ@c@67jnT!)mjL zZ?PnUj{|M{g+~Dkr)rD81mJ5Jv*`K)!Iu4k>dS9;G?1&UA6#AkhQ|NHr^AuIfEHB# z_5@egKfB}!j$D8*b7w}%W#x)t&FvUp6@kIep5iTN8^AVnj+q!O|O&fKa?q9a`?-W>^n zRYv;AqDc7BWel_2EZ%ltiQIfD^BF(o5m361h|aQt6rat=Wve0YgiW(9UTT!KY(@dkvH}{)}hXBZCpFQ!_?nkU9CIO z_fQK@cqUzLU~izG_{UjYz4W`&Jo4dL-PM;fpKEG+LZYYDne04IJsow{FZT8MzUdvz zZf`{-GFM%X5>EVwsr!PV?RPf!c1n^Ke$?+`*4QqBHNmpB&`jd9UOUgljr_EK9b+Lp!V}pG zG`|W1g73E&2Nn1eq2DbW`P@i-iN;ON*D-rp?aw4$DBJY%lt3HeR|F;eBSn`%F>qPH`ExSH7yMy`0;1 z$r3B8PG(bMbYy|h&?!)E1XRrC5grKO&s8KlnP_aJqc-}#1HX@t)ad?u+`zf;oh*$lCK?40Vh zOoW1LW@9xJ*xyHbD3Tr@WLPrZ>XX1V(b7(v+yr9n)xYbIN~p`-N1t2TpM}FL?Ig9E z-X(=*{pejBl=8fKYQyELt%^0V6T3ZEI~qOpsvP=2J=b4-73&fujV_=kh^C?k`^XmE zndBX1>GSvOGrKsSZC=mdnk}g0WKvQ8%lzvJ8*^HXe0|eNY_3~FQ@=)2|0|ld*>6@g zZ+p(BZeGbTg`}&0N0&Wivwm*pzNQXdr-v0sbc|P!ksJDUp4w5&@pw;njV|jSIbYrn`Rz9lPYz{&vkfo=nt9>_uUyDi zCadyfBbI?PJ_j;gKa3{_?dc$sM4XD65qR=e_Jd%9weDL=^ZtyY*{sf!P17ujVL#%v zfzOB^=J)pOs>}-}bIxSuUrre9JI%B!SYz=mr2D?2u?cOk#=YSJhUVcb%bm@?skGl? z#P^mErY^`>{9YVwAs&n`=Ib4=M}=7vuo;I5+(Wl-*Y4YlxGxHr$|Ca4?DPGlr4Zi+I zo;;rTcc^g=YiHWTS39fyQD@HQM{>@$i={uw-1_Q4-_EbQ^-uWxPA4~=Lcy*JdVi2v z`0|hJAhXyj8HgC@cn$|W)MNkt2LJ&7|4J)K&B?Lma&QFz00960d{$d;qe>EfHopSV zc^Gsw1Y~58k)@b!Go6(IQ=a%tbS5epJG)#=jKvVIyxRYMx^e6{o|(f-tE;}cS66Wt z!kzsvG={@ZwqXqIdw>9%UW$wvSQIuI0~?Bhlx7n*W=SJYnJu6nM9qd#j9J9OjYi=E zUMmW3rbG~u8zgB!7@yMA3_!8Hs6PWfAv4!z&|yfQqdS{04{QaZSwD`N30rXL!9#a< zH$k~zlmnv=(sls-X2-Wp7EdDBP^}?mS;l7LQLV#Eo6%yE-h(FN_&(8YgWC;_xZ6bA z@RpnAZ9}GmnBJP3C}n0!ZyOr?6{qGcGZ+>|frVY5VGpoKizDrK_gT@OXzus#UU;-k zMLN>3L`uxmG>{AbilSf0uZz{}lMOc+5l(Ch3LVEQ3Mm_G8;*pB<^ls?TFQip!a#da zpj{|hmp6&YXtenyKbyf1$3gwo#~oO?EptVnf3pdZU_%I}rt3jxs~;@by@^CPME@uK zV*N#s-V0QaVk(m2a(b%O;+_S_%kW=|l5?PL@qbS_*LK4RcfU}!jlvV2;7!PSJfv>J zq0rpHe_jT5Y=4eEucFl|jz7E6dQp6Ki^5&R?mAj|?t9(HMnu1DN}l4`*UnB@#?2%m z19&h_agh+4h@_@A`Ph5n+?XZn6VhnhQ{dt5ZaB18538XqPTNoF9CE)-gdW~N-wYTw zA>VerBTZdpXNl zP+Py3vrclKj&h&YZ*pK6N4_EQ%ArA%$@+(~{B8Q&3?Xc7tiw7Xg^AeJE(AiO8JZy#?}u%H*oHTFQD7??I<(uQu0P2gAW?xEAsJL5yJ>l~W96tn2Lp{yn4x#AQ0z)bL#000|jR zBaC}f2)>YMTt*CML5Q5pP36Dwh?Igo^H`wVHE=f&c^wW{1N%kHt3QmhPnUY1K>b#? zb$zA#jP;dyJ<1c=2TDEn^U;3n@9TW5pgG^QPnN1$HL*u0zm=zXyR!s!R2OIeT4nyE z?ks1N=+`u>U8;lfKhUl5(s?|^r?6lyeEw72<(B`LUE=hS zwLIHUm3bz6gS7AdWplOblS^H`n#rCsenDGb(vE#Sl6`&5MP+rmWR9eKJ?i?bMp+zE{cmLzB(rW`|)^@3P*iy1@jhQv1^ zMl_s?UD0s55S`o*peC7`?v0eEQwA($VrvCGiY|lbM$V2-huAZ;gutA+oHs7TFPqZ6 zy2n@d>D4`A5ce=3=0?nN=F%v>5x)1t{4|?QfGIoj(+~Xgf=^*Vd+rp?G@3~4Iv_Y% zq+&_ZIAA@pFfb*Ph`!lbMCpXY^r+(!7q8!OhSU+i^HPju4xuNK5U1}rY3nU_9gZ3p zvS@m;MW*6%zCV#`bz-N-5o@t9|N8_xAB4;3X)}DiYP`|2=nU7hU z;M?5O2DZ`dM)@Bh=cc;Xzh(#3Zyz!7GnBn4c8h)5t(u&5I_y>T>mft`vBf0oX0ic7 z!yD)~_L6H%!ol}jJgB;!X-lxZwcJm<#&)kKs%7cR3RarY*{s3Oodgr(89G0OzP&TX z#D2e5PJ4B?Fd1Cj(J~xJo>wP*;+^5MlXUyk=iSc1otllIRyBD#;yhuovea?5t2Gtg zPUlkZKk(Kkp0i7=E1j(`=U}0}`{-NP%`p#FG8e}gVVr2|ciQ?yD^9ew#l4)1pcKb= zMD6ft-->?}wK&-nGv+7S`iiEC`sO0J%XasafRd<` zhGXg9PjWsue)8Pq`vBEa;1bLs9PQ3SXU5EgWk(Rv$5|cVksz z$JTpYpPY7wmTKwM!T-6gZN1bj*+-CQjwR(ir}I16XUp*p|I9CC6i-q91^@v6|Kf5` U-~s>u0RR6301`9(tzaeq0C|sZvj6}9 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_mult_srs/fileinfo.srs b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_mult_srs/fileinfo.srs deleted file mode 100644 index 473d9a89be31f46ad790e4574fb0dff3f17c22cf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 296 zcmV+@0oVQ?iwFP!000001I*OTY6Bq<2k?8ILbl}Cj3(K%B@ZJ;XS36~uIOxO-oB<^ zAf=bmf(MoPABG>o%zC{RG0lvYKVNiuAdjm-bTG~nLY@Z(ZX*YF z@+HKR$q1fK@H{%m>^9}?j^gIpN63{KgxFBlCG|ob-)lRPciK~C(cP-cDEslT>_>>+>#dY; uM{Rqpr2NW$s4M(^3xDeuTlxap*e~_WJp4896#xML{{sLOAli{+1^@t_D3N^t diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_mult_srs/skeleton.srs b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_mult_srs/skeleton.srs deleted file mode 100644 index 99ef1b9eb4af8dd87459bd73ae0e83f82f03d7be..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 578 zcmV-I0=@koiwFP!0000015#C0RVXORFG)=ip(U z`L)|Fz2CXDDHeR-D~!XGc2GFTH;>z*a@Ay_S2>@Mei{j^qtQbfZOby2DPGFJ}uY6>`3 z(AxPkki@c)^F4{t)|}_1N1SJjCK}JhJUIb+|ADn0&ie3$wGPfd4@V8mwa4>e<1~Xq zdp_z-$<7Xrx;?O$1O#_9@Mz8JY};k08F%+WltGNo2HNKqTGndz7XSeN|Kf5`-~s>u Q0RR630J_h}wE_bG0PMFEbN~PV diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_prem.fse b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_prem.fse deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_prem.srd b/gbe/cores/pll_200_200_125_100/pll_200_125_100/syn_results/synwork/pll_200_125_100_prem.srd deleted file mode 100644 index 9e1c93bce10fddb7167a072bb160f7c59ff0eac2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4854 zcmVK`0p-^u zKSnKc(!j57RyxHa+&C3n-#W^f zRc*wZq{3rPK@-uYsnB}k)yl~D(auuQHG3-$*?q*jxfqWLrf?K;kJxHWM`iih$Y@GQS$@a+0%|~=hnr~T5?&9#LIqibnq0~%QziwQ@Q8_S#;MJW^V6#Qd>V*QO3b_epb1(chC9@x)B|Z5tW^$E{ThCj$PvE(J5Ui(=aQXtq z)3DxpE@50=u$5g9vSdoFCn*GF>_2&r_Z_eQq>x9r_jJxXg>jwwWe8hWenG2-QY!QF z8m7Kql7J|qsk}Iq7mmFkESp*hw?JhlGa?c>Z$RyAPu`3OGKUsM)1Kk1=+6k&42a&G zf@auAT6)9i6-sTONSvnxRmi5@ePpKvol6lf z6#+{35y2`#IEE!j9dRBZNNFs1uoFj_u>=uA=KLp89WGxbIA*u1XrIP*MSf-$;`#kF zW;h6Mw(%>tiahcIw=Uhg0Q`~bmbHHeLCJnWedoKusJwG)IKQLZ!6>Nx^4j_p8UIGl zfJgC1bpYj&w^l~}T~Js{qPw}=t#oG`^iJNxs?{6C>aHNH#0VKpyi+4W4OBVHwoaVVaZfLn@EOI%8;T6|lk8rK>TGYH?VZzo zWAs?rp~C2Kgor_*T4FmkmR-E|DvDILi7|r#UOL@*9HoLMCOBF;8LW(^A{b${_;ffH zF;!!JH-#uBd;2G z>$k9NZy;nh8DYhHC3AkOkvkr|Pg+b27)O z*X8KwZ`TWlI#JTr2L1*%KIX@;ugd5&?}l~cGOg)oCpmY(J@4($L)&?slAc?iyE&lS zH)s29cHlD#-;*rj^2Cf1vKeJDe`I5r`_A`UHt%@%8`oR-t_AO+Kl#(ToLBWDudNEm zH?x3v-@-ZhF`Eke6&T-a*fcY9>zM_*;Bncw^crl4tBhVp8u8f-l;`6-xAOc?y8b6U zP;LmD`G_}ny-p@SQyxPy-vrZ9pwvO=yX8G`>%jH(dHZJkPB`=Cvu|Xo|2Upql2vaN z#brYn`1g6|hZhjm!@kw*Oy;xW_%J(;&t}I%-{EJ$e6xL2!W!1PF66i{{!x$I076eM zEh*q{CPh~11mZ2MKq`Uf8Ji#|dy}#WfafsFJKEDCWT>2X1r4L1*g}m2Wgv2~V(sg< zio{(5$F{T-GH6AAW=n)q$+1c`04&8;i2_J@4oS`6H$MKhu*T&gnhKl~L3LKuWBV22fbcHFg%!H12+@4%$;GYx&bGimkoP&qr>(5wg5Rs7P*0=ef#a;6K2_ zyKZV)qM7Wk&i;Pz9?tbfET(=9fkH)oE zlc^t;Vt#k_G_!}iOxp)vHO*YFOc8I^b@a9;W29fw-@Tuu%hH1n58AugintYP(oD?m zO^f``Qrl*znq1lM^G1cr70IH94%eO)mVRM!`PT(C?Fcyb$XlUG^;r-X9)# z#1rPAmN5VDt;zdim&bp^hj&xiUiPu!JmUL~uUg*xq_n~rT0-jdzpd0^8286sJtDkz zZG+HlK<@Fud*ji!bs`*Gn`X?~H~V+V4^_r-Z^N4D)}95gj@D+{&WkR zF_+caH_@HMOLOd(_KYjW9Bw(D&xH)-em>vEGX z$~~-!Ml&{i^Evrqez0@Vll-epH0LnaE3tLGhZ*_iT4OAlLbyi&km+a zsrW&#bw|8MKTNy)WD@mub)HSpPhfBJ-jLey<@$c0UA6iDJ^4#L`IY5N&H$scf13F{ z=Xb&UmFG2#HY4`c4;xqTgY?SsHRt#A?+jR>x$r=7*-cZ(UAPdsp3>=fJ@573&5xX# zVg}Z8_+{SbFh9)1dbhqF^Gtw&FZsuJ-s{Exr*OVm4jX3y=Q$$cq&MVXXWL)JprEnJ z_g&P1X2{>wFQ++)#YLN;z{1bPY}4{!=xf#A=)}J?$id8KuvwhcqA&Q&YxId;w(slC zU)kJYzVkO)Kw8AqiL!QO9{nYMVN&N;*4y3Jq`$M7efv8=v)<;zUgYIK=YxbK>3;wK z0RR6=D@o1CvF37c1poj5|Nnd!+iv2>@)>`H<>q0~(KxnjVG9&#;_i4mE4qy<13S^# zsDwjyjWNN$O?yZK<4Ea}EJXk!)$3ffY_=Fb77t5Mc@*tjfHXb=t~|Ar*{CsHwvWhLv04 zI8J?K6Es*nI&dD{zEbMh%<2()-Oy`z_Hjo*=Ua|!}ztf6R@iXJ9Ri?sVVs!*!dLRMy}*M46p!ot7Hbp4o_ztRS{^xbO-7 zC>E|qd96aLsH)1~y@1r*V?>!yR}>6F$1|Nni+s;LK@igAFJqZP(3X7ve`Ea&Wi-Ml zIpB*QZs08z1nZU%q_M|A>mqX)a)IQxno}7w5$@kD0gCrpQ`aV{5$;kkV%>8 z{|_J6ALC^lSJiS|WipN*j9vo4uok%76Ksogi@@WmhYNw*>pD|OC5!3UHMyT9&H=Jsp!oHpvN=DP0m|{!XbejPsPC8?m9JKYATs~Qjv5DYN6h%uV5@PJ$BB|S2 z4z=IDvV#(@p2FX~56c^tq9mU8m7aZ0m+VG`kMrNR%a~K>`%crPEG=d#-ZUMEDO7Lt z5{uTOD!IHsA_=E^5$m3N)e)dza?6<5^55wjUl57b>v$(TC9h~-ydjl@?3G_8j{yXp zkh*@54w+Q-DSG&7FLOcDc12sdqQjz$sHbUqTZ6+&l3I-s*`zV|uT=}jqI#`25d=)d zu*jICnMbeN;^vJnSxMQkER9-a6Q7gbRvE04OtQ{P+elJ2{04r?39Xg+^YC?Utb#z& z6B&8wmiBXp1p+;}O+}Ux@Y>!Fq1s7}JJtW9jkVkq>$rNAQv`u(=2$hy-sDHag|&xG z(&YQ8B^pRJ(_Lr5UL?C_%>)8gr!&p-3|zvT13 zSJUmFNvzFJc|o%q1rRFd=&9t$CEEiD-RbyA*TWV?*yT;58q%x&E#F$#)#iwnH{Fi} z*v&C9HB-WKq(2jQOxiv^-S=&<#W{2b}Rdhw(;%V=n&b@&zI$GWcgRC=935; z#4dI0jg0cvHaXWkwsl+TTwMC@8=cC>TH_KWPxEN}ZD*b9OZi~!{M%O^1G{z4xb6D# zyS&|omac(I-J7}6vs*REcJm#LW#5`!x;&2TL{XcV9m~~QtaLr<9k*54RU3@x*-O+t zA{_4Fa&|-I0MwptRqiPW8O!3Ds6mou+m;I8UWzOu1M~(w8|uA6Gd-PWltQ~iE?TM< zga;(X*^thJRC^2<({m~tm>I>OU|L2!z!YMd##@iab583PxA0s1!#n%oJ^SG;vy=@; ziwahoIS&K1v^=$AP%TkNnZ_4C;fpu?GNyD^3{W-OwUM=4tHbYFSqj;}O$lBv3#mHA z;0jz`t0iM(EQ@!#wWiD7=`!~nhbhT)3^NsnLRIv)baZ`=U9U@0F;f?-!Kr4GbjFM> zTD;R~UGtb5I1)DoJ~#9np$LMhi4@REuvuKTZ_;`+w_SNpNYz|kp4UYMoM$Q{;iT1(1YM^w? zXQboR%l#MJy2s^CoCzX$=ngW&G$)n0N;Mlv3T9fJ#5{>*6*;YhvBX}>Ume$ z@BSQp?EL$KeZD)+ejMFjz}DZvj*)F&bsIdu>fTx9B|7Rh=w+@t9AW;_!LD(dZ?d&%5Pmiqf2 c00030|Kf5`-~s>u0RR630F`*_)AK9<0R6Ack^lez diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr b/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr deleted file mode 100644 index cd2e67c..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr +++ /dev/null @@ -1,201 +0,0 @@ -Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":24:7:24:10|Top entity is set to PCSD. -VHDL syntax check successful! -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd changed - recompiling - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 72MB) - - -Process completed successfully. -# Tue Apr 30 12:09:44 2019 - -###########################################################] -Synopsys Verilog Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps) -@I::"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v" (library work) -Verilog syntax check successful! - -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - - -Process completed successfully. -# Tue Apr 30 12:09:45 2019 - -###########################################################] -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":24:7:24:10|Top entity is set to PCSD. -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd changed - recompiling -File /home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd changed - recompiling -VHDL syntax check successful! -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd changed - recompiling -@N: CD630 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":24:7:24:10|Synthesizing work.pcsd.v1. -Post processing for work.pcsd.v1 - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 74MB peak: 76MB) - - -Process completed successfully. -# Tue Apr 30 12:09:45 2019 - -###########################################################] -Running on host :lxhadeb07 -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps) -@I::"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v" (library work) -Verilog syntax check successful! -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":92:7:92:18|Synthesizing module PCSDrsl_core in library work. - - pnum_channels=32'b00000000000000000000000000000001 - pprotocol=24'b010001110100001001000101 - pserdes_mode=72'b010100100101100000100000010000010100111001000100001000000101010001011000 - pport_tx_rdy=64'b0100010001001001010100110100000101000010010011000100010101000100 - pwait_tx_rdy=32'b00000000000000000000101110111000 - pport_rx_rdy=64'b0100010001001001010100110100000101000010010011000100010101000100 - pwait_rx_rdy=32'b00000000000000000000101110111000 - wa_num_cycles=32'b00000000000000000000010000000000 - dac_num_cycles=32'b00000000000000000000000000000011 - lreset_pwidth=32'b00000000000000000000000000000011 - lwait_b4_trst=32'b00000000000010111110101111000010 - lwait_b4_trst_s=32'b00000000000000000000001100001101 - lplol_cnt_width=32'b00000000000000000000000000010100 - lwait_after_plol0=32'b00000000000000000000000000000100 - lwait_b4_rrst=32'b00000000000000101100000000000000 - lrrst_wait_width=32'b00000000000000000000000000010100 - lwait_after_rrst=32'b00000000000011000011010100000000 - lwait_b4_rrst_s=32'b00000000000000000000000111001100 - lrlol_cnt_width=32'b00000000000000000000000000010011 - lwait_after_lols=32'b00000000000000001100010000000000 - lwait_after_lols_s=32'b00000000000000000000000010010110 - llols_cnt_width=32'b00000000000000000000000000010010 - lrdb_max=32'b00000000000000000000000000001111 - ltxr_wait_width=32'b00000000000000000000000000001100 - lrxr_wait_width=32'b00000000000000000000000000001100 - Generated name = PCSDrsl_core_Z1_layer1 -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":274:33:274:48|Removing wire dual_or_serd_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":275:33:275:46|Removing wire tx_any_pcs_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":276:33:276:42|Removing wire tx_any_rst, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":277:33:277:41|Object txsr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":278:33:278:42|Object txdpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":279:33:279:41|Object txpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":280:33:280:41|Object txr_wt_en is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":281:33:281:42|Object txr_wt_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":282:33:282:41|Removing wire txr_wt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":283:33:283:43|Object ruo_tx_rdyr is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":356:33:356:41|Object rxsr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":357:33:357:41|Object rxpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":358:33:358:42|Object rxsdr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":359:33:359:42|Object rxdpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":360:33:360:48|Removing wire rxsdr_or_sr_appd, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":361:33:361:49|Removing wire dual_or_rserd_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":362:33:362:46|Removing wire rx_any_pcs_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":363:33:363:42|Removing wire rx_any_rst, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":364:33:364:41|Object rxr_wt_en is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":365:33:365:42|Object rxr_wt_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":366:33:366:41|Removing wire rxr_wt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":367:33:367:43|Object ruo_rx_rdyr is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":507:10:507:10|Object m is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":880:10:880:10|Object l is declared but not assigned. Either assign a value or remove the declaration. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. - -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 75MB) - - -Process completed successfully. -# Tue Apr 30 12:09:45 2019 - -###########################################################] -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/layer0.srs changed - recompiling -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/layer1.srs changed - recompiling - -======================================================================================= -For a summary of linker messages for components that did not bind, please see log file: -@L: /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/PCSD_comp.linkerlog -======================================================================================= - - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 69MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Tue Apr 30 12:09:46 2019 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Tue Apr 30 12:09:46 2019 - -###########################################################] diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr.db b/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr.db deleted file mode 100644 index 64aab840978977f4a582ac1d60aca86e02f0c33d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20480 zcmeHP&2JmW6(=Rqlq|_i(%5dBx*aQ(W5)`~{osd~2B_pXjwBj(EC)Uq!D7iBQClr{ z7qdf3G6WP93N$eK7xdQl-b;~N3-sJ;kv||n?*)n?K-x>+%q&gHN+eO4u@Q6$d!Mx; zx$pO8=FPnKd(RsmHC&3(WA~VMx``g+zL9lXgkEU{bJjtMM|3Gs8yu66M8nq#gGKQ zz%5#g+8x&|-oLf+KEGH|ut~k3?Y0WN`MP0N>xRLFS>AtuJ3-I&+i1rn7!fb{0Q_I0E&nDPG%`OEUX{;o63h1`Wl#g#98^+t~ViXWW7-r0%#UHn+8~7t= z7GCFQ(y|Q?R%eDc7@Fq{zZ;&x>K}fO>v>1E)pemKh^1#%2L(w)m6`m**VI7K1QZa5 zpuh}Q24(G44rN{PsOU8g1%#4>t z7!CH7O`x^&O2AZ?I2aJ4U_xTqVF+hHMTd*^X(jWl%C`p{#OOS8RHIp&QZqDsf6%M2 zKRny)=x(HVXclrDjO25lUgdiT6GzT{;CgL;kDaW>Ic?uK$Mu|+>`|*_u^!5GvvS0v zay`RVG_I~Uvs~9X*}9rSSF>)`p3~Lo+MX2Jp z_bbNP1HnRX%bBn1Ca41!t#nu&{yZ3Ar_j^Hb2IxB@Z7iVu;*6w!E;$OS%i3#x-DFE zT)PwaPSGf6C2O~07Nb2sY}yen0*oR&uNYDILiSuuH^2m3=-U1UhA#jkP`3kdCyoNI z%c3wAS%wiuo!N)D|3yC?BKXYPaGAWsxcN&E(&rEInG3&}`*#xmC-MKcOuQuV|2L*w zlKB6mv|SSaADe+p;{THpze)Um>;zg*;{Ox5gCzcE49K@57n1n@WR8jj{w&Xw#Q$H* zwk7euUQOcv@rmFh{y%m0Vfn8t{(n97k5vBAghuCr@x|32xm8UfVx zyAjaz1h?IY0xjRhe$(653#{b83XKA~Yj0x|bs+~vx1ixd6dnMz&nge%4?|f7CLIhp zCuqzVd{Z<++&{R%;aGCv)Jl-Rt+VSX;0PjLf2`L_pU45Va-C;T-(?w8d9@Wa;Bd53 zU5Ei2=qY18R^CzpqFkyn5JC(nWKpGb*A^3d9k?2xPxOx!7Bie|P%1)g3zt7=3l6&?y%3?PF zVO9sn<`Foo{8AaDYnM4B*%hT_v15QZT2UIuWaCXG7XQy?K2PPf3%BMzo^8*x&Rf%u zr)ci!xj$t848KTTi3Ji1Bo_ECS)lJ!xc6nn*bUvRNJ{wWkcVM>4G1`|ulgZ0OsH zTDttU=-zRM4}y|9Jdy}>%4%SWN>0cGo(85DL;!O~B}`KdOi>70g51clquJ%783(ym zOWA0)4F>ZdD|^xk4yk0;%i3|1R={M@G3Mp33XwXxngQj9BfZ4K>0_2BAccx#gflt^*ZKGD;N7RN8uv9<~1GJ5C$Y-(3 zZ?`aH+``b?6YnE7tP=!P-&P1!+?e$6d$83*9XFJE4n8oP_5JO4l%O4%^(Uj{t+tQ# g7lC$B1=>+RcRX64S6|@RHf}PsFPTh^WRc1L0}i|FPXGV_ diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr.rptmap b/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr.rptmap deleted file mode 100644 index 0168f12..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synlog/PCSD_compiler.srr,PCSD_compiler.srr,Compile Log diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.srr b/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.srr deleted file mode 100644 index da6761e..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.srr +++ /dev/null @@ -1,537 +0,0 @@ -# Tue Apr 30 12:09:48 2019 - -Synopsys Lattice Technology Mapper, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB) - -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 113MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB) - - - -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Available hyper_sources - for debug and ip models - None Found - - -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":412:3:412:8|Found counter in view:work.PCSDrsl_core_Z1_layer1(verilog) instance genblk1\.plol_cnt[19:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":778:3:778:8|Found counter in view:work.PCSDrsl_core_Z1_layer1(verilog) instance genblk2\.rlols0_cnt[17:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":680:3:680:8|Found counter in view:work.PCSDrsl_core_Z1_layer1(verilog) instance genblk2\.rlol1_cnt[18:0] - -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) - - -Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB) - - -Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- - 1 0h:00m:00s 5.36ns 63 / 92 - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 143MB peak: 144MB) - - - -@S |Clock Optimization Summary - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -2 non-gated/non-generated clock tree(s) driving 92 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - -=================================== Non-Gated/Non-Generated Clocks ==================================== -Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance -------------------------------------------------------------------------------------------------------- -@K:CKID0001 rxrefclk port 59 rsl_inst.genblk2\.rlol1_cnt[18] -@K:CKID0002 pll_refclki port 33 rsl_inst.genblk1\.pll_lol_p1 -======================================================================================================= - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - - -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 107MB peak: 144MB) - -Writing Analyst data base /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/PCSD_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 144MB) - -Writing EDIF Netlist and constraint files -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/PCSD.edn -M-2017.03L-SP1-1 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 148MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 148MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 147MB peak: 148MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 147MB peak: 148MB) - -@W: MT246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":118:4:118:12|Blackbox DCUA is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) -@W: MT420 |Found inferred clock PCSD|rxrefclk with period 10.00ns. Please declare a user-defined clock on object "p:rxrefclk" -@W: MT420 |Found inferred clock PCSD|pll_refclki with period 10.00ns. Please declare a user-defined clock on object "p:pll_refclki" - - -##### START OF TIMING REPORT #####[ -# Timing Report written on Tue Apr 30 12:09:50 2019 -# - - -Top view: PCSD -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.fdc - -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. - -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. - - - -Performance Summary -******************* - - -Worst slack in design: 4.079 - -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group -------------------------------------------------------------------------------------------------------------------------- -PCSD|pll_refclki 100.0 MHz 168.9 MHz 10.000 5.921 4.079 inferred Inferred_clkgroup_0 -PCSD|rxrefclk 100.0 MHz 170.5 MHz 10.000 5.864 4.136 inferred Inferred_clkgroup_1 -System 100.0 MHz 18518.5 MHz 10.000 0.054 9.946 system system_clkgroup -========================================================================================================================= - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise ---------------------------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------------------------- -System System | 10.000 10.000 | No paths - | No paths - | No paths - -System PCSD|rxrefclk | 10.000 9.946 | No paths - | No paths - | No paths - -PCSD|pll_refclki System | 10.000 8.385 | No paths - | No paths - | No paths - -PCSD|pll_refclki PCSD|pll_refclki | 10.000 4.079 | No paths - | No paths - | No paths - -PCSD|rxrefclk System | 10.000 8.283 | No paths - | No paths - | No paths - -PCSD|rxrefclk PCSD|rxrefclk | 10.000 4.136 | No paths - | No paths - | No paths - -=========================================================================================================================== - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: PCSD|pll_refclki -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock --------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk1\.plol_cnt[1] PCSD|pll_refclki FD1S3DX Q plol_cnt[1] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[6] PCSD|pll_refclki FD1S3DX Q plol_cnt[6] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[7] PCSD|pll_refclki FD1S3DX Q plol_cnt[7] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[12] PCSD|pll_refclki FD1S3DX Q plol_cnt[12] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[2] PCSD|pll_refclki FD1S3DX Q plol_cnt[2] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[3] PCSD|pll_refclki FD1S3DX Q plol_cnt[3] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[4] PCSD|pll_refclki FD1S3DX Q plol_cnt[4] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[5] PCSD|pll_refclki FD1S3DX Q plol_cnt[5] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[8] PCSD|pll_refclki FD1S3DX Q plol_cnt[8] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[9] PCSD|pll_refclki FD1S3DX Q plol_cnt[9] 0.907 4.684 -============================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------ -rsl_inst.genblk1\.plol_cnt[19] PCSD|pll_refclki FD1S3DX D plol_cnt_s[19] 9.946 4.079 -rsl_inst.genblk1\.plol_cnt[17] PCSD|pll_refclki FD1S3DX D plol_cnt_s[17] 9.946 4.139 -rsl_inst.genblk1\.plol_cnt[18] PCSD|pll_refclki FD1S3DX D plol_cnt_s[18] 9.946 4.139 -rsl_inst.genblk1\.plol_cnt[15] PCSD|pll_refclki FD1S3DX D plol_cnt_s[15] 9.946 4.200 -rsl_inst.genblk1\.plol_cnt[16] PCSD|pll_refclki FD1S3DX D plol_cnt_s[16] 9.946 4.200 -rsl_inst.genblk1\.plol_cnt[13] PCSD|pll_refclki FD1S3DX D plol_cnt_s[13] 9.946 4.261 -rsl_inst.genblk1\.plol_cnt[14] PCSD|pll_refclki FD1S3DX D plol_cnt_s[14] 9.946 4.261 -rsl_inst.genblk1\.plol_cnt[11] PCSD|pll_refclki FD1S3DX D plol_cnt_s[11] 9.946 4.322 -rsl_inst.genblk1\.plol_cnt[12] PCSD|pll_refclki FD1S3DX D plol_cnt_s[12] 9.946 4.322 -rsl_inst.genblk1\.plol_cnt[9] PCSD|pll_refclki FD1S3DX D plol_cnt_s[9] 9.946 4.383 -================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 5.867 - - Clock delay at starting point: 0.000 (ideal) - = Slack (critical) : 4.079 - - Number of logic level(s): 15 - Starting point: rsl_inst.genblk1\.plol_cnt[1] / Q - Ending point: rsl_inst.genblk1\.plol_cnt[19] / D - The start point is clocked by PCSD|pll_refclki [rising] on pin CK - The end point is clocked by PCSD|pll_refclki [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) -------------------------------------------------------------------------------------------------------- -rsl_inst.genblk1\.plol_cnt[1] FD1S3DX Q Out 0.907 0.907 - -plol_cnt[1] Net - - - - 2 -rsl_inst.genblk1\.un1_plol_cnt_tc_10 ORCALUT4 A In 0.000 0.907 - -rsl_inst.genblk1\.un1_plol_cnt_tc_10 ORCALUT4 Z Out 0.606 1.513 - -un1_plol_cnt_tc_10 Net - - - - 1 -rsl_inst.genblk1\.un1_plol_cnt_tc_14 ORCALUT4 D In 0.000 1.513 - -rsl_inst.genblk1\.un1_plol_cnt_tc_14 ORCALUT4 Z Out 0.606 2.119 - -un1_plol_cnt_tc_14 Net - - - - 1 -rsl_inst.genblk1\.un1_plol_cnt_tc ORCALUT4 D In 0.000 2.119 - -rsl_inst.genblk1\.un1_plol_cnt_tc ORCALUT4 Z Out 0.762 2.881 - -un1_plol_cnt_tc Net - - - - 5 -rsl_inst.genblk1\.plol_cnt11_i ORCALUT4 B In 0.000 2.881 - -rsl_inst.genblk1\.plol_cnt11_i ORCALUT4 Z Out 0.840 3.721 - -plol_cnt Net - - - - 21 -rsl_inst.genblk1\.plol_cnt_cry_0[0] CCU2C A1 In 0.000 3.721 - -rsl_inst.genblk1\.plol_cnt_cry_0[0] CCU2C COUT Out 0.900 4.621 - -plol_cnt_cry[0] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[1] CCU2C CIN In 0.000 4.621 - -rsl_inst.genblk1\.plol_cnt_cry_0[1] CCU2C COUT Out 0.061 4.682 - -plol_cnt_cry[2] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[3] CCU2C CIN In 0.000 4.682 - -rsl_inst.genblk1\.plol_cnt_cry_0[3] CCU2C COUT Out 0.061 4.743 - -plol_cnt_cry[4] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[5] CCU2C CIN In 0.000 4.743 - -rsl_inst.genblk1\.plol_cnt_cry_0[5] CCU2C COUT Out 0.061 4.804 - -plol_cnt_cry[6] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[7] CCU2C CIN In 0.000 4.804 - -rsl_inst.genblk1\.plol_cnt_cry_0[7] CCU2C COUT Out 0.061 4.865 - -plol_cnt_cry[8] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[9] CCU2C CIN In 0.000 4.865 - -rsl_inst.genblk1\.plol_cnt_cry_0[9] CCU2C COUT Out 0.061 4.926 - -plol_cnt_cry[10] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[11] CCU2C CIN In 0.000 4.926 - -rsl_inst.genblk1\.plol_cnt_cry_0[11] CCU2C COUT Out 0.061 4.987 - -plol_cnt_cry[12] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[13] CCU2C CIN In 0.000 4.987 - -rsl_inst.genblk1\.plol_cnt_cry_0[13] CCU2C COUT Out 0.061 5.048 - -plol_cnt_cry[14] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[15] CCU2C CIN In 0.000 5.048 - -rsl_inst.genblk1\.plol_cnt_cry_0[15] CCU2C COUT Out 0.061 5.109 - -plol_cnt_cry[16] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[17] CCU2C CIN In 0.000 5.109 - -rsl_inst.genblk1\.plol_cnt_cry_0[17] CCU2C COUT Out 0.061 5.170 - -plol_cnt_cry[18] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_s_0[19] CCU2C CIN In 0.000 5.170 - -rsl_inst.genblk1\.plol_cnt_s_0[19] CCU2C S0 Out 0.698 5.867 - -plol_cnt_s[19] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt[19] FD1S3DX D In 0.000 5.867 - -======================================================================================================= - - - - -==================================== -Detailed Report for Clock: PCSD|rxrefclk -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rlol1_cnt[14] PCSD|rxrefclk FD1P3DX Q rlol1_cnt[14] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[15] PCSD|rxrefclk FD1P3DX Q rlol1_cnt[15] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[16] PCSD|rxrefclk FD1P3DX Q rlol1_cnt[16] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[17] PCSD|rxrefclk FD1P3DX Q rlol1_cnt[17] 0.907 4.136 -rsl_inst.genblk2\.rlols0_cnt[10] PCSD|rxrefclk FD1P3DX Q rlols0_cnt[10] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[14] PCSD|rxrefclk FD1P3DX Q rlols0_cnt[14] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[16] PCSD|rxrefclk FD1P3DX Q rlols0_cnt[16] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[17] PCSD|rxrefclk FD1P3DX Q rlols0_cnt[17] 0.907 4.170 -rsl_inst.genblk2\.rlol1_cnt[0] PCSD|rxrefclk FD1P3DX Q rlol1_cnt[0] 0.907 4.742 -rsl_inst.genblk2\.rlol1_cnt[1] PCSD|rxrefclk FD1P3DX Q rlol1_cnt[1] 0.907 4.742 -=============================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rlol1_cnt[17] PCSD|rxrefclk FD1P3DX D rlol1_cnt_s[17] 9.946 4.136 -rsl_inst.genblk2\.rlol1_cnt[18] PCSD|rxrefclk FD1P3DX D rlol1_cnt_s[18] 9.946 4.136 -rsl_inst.genblk2\.rlols0_cnt[17] PCSD|rxrefclk FD1P3DX D rlols0_cnt_s[17] 9.946 4.170 -rsl_inst.genblk2\.rlol1_cnt[15] PCSD|rxrefclk FD1P3DX D rlol1_cnt_s[15] 9.946 4.197 -rsl_inst.genblk2\.rlol1_cnt[16] PCSD|rxrefclk FD1P3DX D rlol1_cnt_s[16] 9.946 4.197 -rsl_inst.genblk2\.rlols0_cnt[15] PCSD|rxrefclk FD1P3DX D rlols0_cnt_s[15] 9.946 4.231 -rsl_inst.genblk2\.rlols0_cnt[16] PCSD|rxrefclk FD1P3DX D rlols0_cnt_s[16] 9.946 4.231 -rsl_inst.genblk2\.rlol1_cnt[13] PCSD|rxrefclk FD1P3DX D rlol1_cnt_s[13] 9.946 4.258 -rsl_inst.genblk2\.rlol1_cnt[14] PCSD|rxrefclk FD1P3DX D rlol1_cnt_s[14] 9.946 4.258 -rsl_inst.genblk2\.rlols0_cnt[13] PCSD|rxrefclk FD1P3DX D rlols0_cnt_s[13] 9.946 4.292 -================================================================================================================== - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 5.809 - - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 4.136 - - Number of logic level(s): 14 - Starting point: rsl_inst.genblk2\.rlol1_cnt[14] / Q - Ending point: rsl_inst.genblk2\.rlol1_cnt[18] / D - The start point is clocked by PCSD|rxrefclk [rising] on pin CK - The end point is clocked by PCSD|rxrefclk [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rlol1_cnt[14] FD1P3DX Q Out 0.907 0.907 - -rlol1_cnt[14] Net - - - - 2 -rsl_inst.rlol1_cnt_tc_1_10 ORCALUT4 A In 0.000 0.907 - -rsl_inst.rlol1_cnt_tc_1_10 ORCALUT4 Z Out 0.606 1.513 - -rlol1_cnt_tc_1_10 Net - - - - 1 -rsl_inst.rlol1_cnt_tc_1_14 ORCALUT4 D In 0.000 1.513 - -rsl_inst.rlol1_cnt_tc_1_14 ORCALUT4 Z Out 0.606 2.119 - -rlol1_cnt_tc_1_14 Net - - - - 1 -rsl_inst.rlol1_cnt_tc_1 ORCALUT4 D In 0.000 2.119 - -rsl_inst.rlol1_cnt_tc_1 ORCALUT4 Z Out 0.768 2.887 - -rlol1_cnt_tc_1 Net - - - - 6 -rsl_inst.genblk2\.rxs_rst_RNIS0OP ORCALUT4 A In 0.000 2.887 - -rsl_inst.genblk2\.rxs_rst_RNIS0OP ORCALUT4 Z Out 0.837 3.724 - -rlol1_cnt Net - - - - 20 -rsl_inst.genblk2\.rlol1_cnt_cry_0[0] CCU2C A1 In 0.000 3.724 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[0] CCU2C COUT Out 0.900 4.624 - -rlol1_cnt_cry[0] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[1] CCU2C CIN In 0.000 4.624 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[1] CCU2C COUT Out 0.061 4.685 - -rlol1_cnt_cry[2] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[3] CCU2C CIN In 0.000 4.685 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[3] CCU2C COUT Out 0.061 4.746 - -rlol1_cnt_cry[4] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[5] CCU2C CIN In 0.000 4.746 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[5] CCU2C COUT Out 0.061 4.807 - -rlol1_cnt_cry[6] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[7] CCU2C CIN In 0.000 4.807 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[7] CCU2C COUT Out 0.061 4.868 - -rlol1_cnt_cry[8] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[9] CCU2C CIN In 0.000 4.868 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[9] CCU2C COUT Out 0.061 4.929 - -rlol1_cnt_cry[10] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[11] CCU2C CIN In 0.000 4.929 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[11] CCU2C COUT Out 0.061 4.990 - -rlol1_cnt_cry[12] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[13] CCU2C CIN In 0.000 4.990 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[13] CCU2C COUT Out 0.061 5.051 - -rlol1_cnt_cry[14] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[15] CCU2C CIN In 0.000 5.051 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[15] CCU2C COUT Out 0.061 5.112 - -rlol1_cnt_cry[16] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[17] CCU2C CIN In 0.000 5.112 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[17] CCU2C S1 Out 0.698 5.809 - -rlol1_cnt_s[18] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt[18] FD1P3DX D In 0.000 5.809 - -======================================================================================================== - - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock -------------------------------------------------------------------------------------------- -DCU0_inst System DCUA CH0_FFS_RLOL rx_cdr_lol_s 0.000 9.946 -DCU0_inst System DCUA CH0_FFS_RLOS rx_los_low_s 0.000 9.946 -DCU0_inst System DCUA CH0_FF_TX_PCLK tx_pclk 0.000 10.000 -=========================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rlol_p1 System FD1S3DX D rx_cdr_lol_s 9.946 9.946 -rsl_inst.genblk2\.rlos_p1 System FD1S3DX D rx_los_low_s 9.946 9.946 -DCU0_inst System DCUA CH0_FF_EBRD_CLK tx_pclk 10.000 10.000 -DCU0_inst System DCUA CH0_FF_RXI_CLK tx_pclk 10.000 10.000 -================================================================================================================ - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 0.000 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 9.946 - - Number of logic level(s): 0 - Starting point: DCU0_inst / CH0_FFS_RLOL - Ending point: rsl_inst.genblk2\.rlol_p1 / D - The start point is clocked by System [rising] - The end point is clocked by PCSD|rxrefclk [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ---------------------------------------------------------------------------------------------------- -DCU0_inst DCUA CH0_FFS_RLOL Out 0.000 0.000 - -rx_cdr_lol_s Net - - - - 2 -rsl_inst.genblk2\.rlol_p1 FD1S3DX D In 0.000 0.000 - -=================================================================================================== - - - -##### END OF TIMING REPORT #####] - -Timing exceptions that could not be applied -None - -Finished final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 147MB peak: 148MB) - - -Finished timing report (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 147MB peak: 148MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 92 of 24288 (0%) -PIC Latch: 0 -I/O cells: 0 - - -Details: -CCU2C: 37 -DCUA: 1 -FD1P3BX: 4 -FD1P3DX: 42 -FD1S3BX: 10 -FD1S3DX: 36 -GSR: 1 -ORCALUT4: 63 -PFUMX: 2 -PUR: 1 -VHI: 2 -VLO: 2 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 32MB peak: 148MB) - -Process took 0h:00m:02s realtime, 0h:00m:02s cputime -# Tue Apr 30 12:09:51 2019 - -###########################################################] diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.srr.db b/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.srr.db deleted file mode 100644 index b2fe294f4efe46b9adcc1481761fbc4514e1da1b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeHK&u`l{6t>;2`O!ET3IxG01bFa(A^~dIa$LuAFLRu@2-c!$lA%M>!Js9|=1h?q zNjdSb9o*Bf`>_9G*Zl{(?XdkXyX{f3onRPHpvbKfY)YcY_ulut?~&5k%V*3`2&4*$ zfxXiN0QIX!BhAHjM1;Moz( zdv}-*W1_qvQICK#F}l8+4Y}?ZF>zyXgfp2iyY}0Befc=I@%P5X`me=5i)(AYtUbK{ z$Le3JU#|SV@^JY#e3%^>0~rGu0~rGu0~rGu1OLasV0~$;INjeYJU_2B8)p-3Xb2vc z-UuS9nDn9QRI63cMp5GpsRkoK&;c=QOz~A@OnCA#!($5NGO&S=iiyE|JYG6N8rs=Z zMeFFfb+lKjbrKJr6lj;=3ynro9*=zrQt$~VI$#)yDjQjzUqeL9Py^9gdW;zA!zD9A zpu`*EWS@B|N3qif zC1dRJi6IVjrGkJ7w7;0IV{Y^#h&2T>q|iNi+J-R|K92majnvsZsN#Lg#E+jM6ZKlP zD`WJ5?dcM07T%ORF}X@7@`Yx=N$DrC{dfZbYJ1mba##y{*_#YV}5` zGL#{$5MMDODg$OJM)eu$u-B}a|bQ!1M zk%-^}B2b>OU|2G7f&lYPP>T4)U~3S4xKS6Nj%`ox2H${3xmtoXn2q4ONw zf*~p@4?7uADc9tbu&M7&jS_NkW@?9X8Om! zM=~aS7?O}Sy)*Bl*=`KjIyy(^TSxMH%i^voh^l(iW<04X-xXC~PO5^^x;iB^s4_2! z{3>P9?~1c(K#7P-=D7hUK>^ARbSL73Dam{n2M~C?;1Gb1<-=Cc zW`)B`={pG^?jy!ReOD5OLb9$a^s^tS68OCvD9>ks8)|q&hTL+JSF&a0xi4N zI4dW>-LtmWf@$rnVYl%!Nk)m^RYd_FdlHSRln}2d5yvW15vQ6Lx{WXjCq8mY#7>l* zl#!Alk37cCJRT-48+lNhwi;ce6Y%%?J^^x4_L32zMF@wo!IM|piO-N>l#rr2p{hzx zgF2}u$bZNiOjRQu{Lbj4N|LG&(?+XSMV<(WVk6?ws)pg(Od=cw>=(z5;~3(&jGVYv z-)Vo{pbb@CO_(oS_ewX~zhlF+gXc9(rhRDpin(8i1*|s$ zbsYf=VkfRNhn;30oJu^bSynNUoIsIWQJ)G3Sg*|j$+K!U_I)dv-A3*n68baJ`4#tC4-Qp@L3OZ@S(Qym!ANJ#N#i^CR zz--hIz}1<1AF`5q9Ta6b?fad$^NK#`R`r$QowG6fMAkwV(| zMGh2Xt;mZJA?L3^LSXwK-30KbqVxbx*~ldfR5T)TB|ZTexWI*UsT7=a zfx~+re-e~=5(MgX;xm{q#WZ}N??t-^HA#3>1oG9fu=mpuDn7|+7uq$pNdw@DA}9KspwI~)DF8ePM$DH~_X~jf zQ&1HYDXP{;wS_pPHghdLRW2O`p#EY+-&eUQ$F@_7%Y&<0dFnVfmSyIaLd_p38gXbP z4CnTk!66DZBt5}AV0aI4+c=*|95+cE|9c9pTLHaJpZpI1zBRBs>9!)sT z>IiKV{!b|+RMWYM<9BGreJG^Y){*tL`St?GH0yuuz@Z#T&BJ0Wp)bm(RMX00wz+3~ zGaG*$LrdFB4uMo>DLy`vr>@8B794XwN#qL`NXRJi0!3#4x}i9kpnh^?=({YONaPe6 zGB>lkFK|lD+|TtFcwNCP`^X{k0y?$i51-#J#dZ_D}?X;qj{wzfup`* zK-sZ>$h>WETK|S=?-r55Un38>nYnS?ZpLxajDh_7hONs4%ULr{hxhN8 zKyt%4sA4LA0dgFZO$VF-+`Nkl%s1CZH}5QA%{+AOkPec+?1HgByh^%g-hEWTfy=4_ zCtMEPsvSDXc$^s?OAav{DD5==>E`5pki>~iA844;~KtM)q0bLD832+hbfLp3K(_>*gl3LHS zUmAO;WxhK1fvaeC5|_)WGqB@vc+%JQ&yP-V8meM)TGf6e+WTsE#Og^staR=2_@S^Z zITM1Bw#!kwN>@zKSR@l6jSL)<@4)NM;Evawy5{vK;eT>k719$Yq&kSu5vR6D$jhSK zm+pJ}A+%a$_#?L^&ie`d?(_bQ@qRE|ig4|O(U*buLwH-*H2D$oT&{P=4~1=6Rtx?(KX0qtF}O*J9K)Isad7}jDn^|q^xru* zfMQrM*Ml3hBG$Dy^F7Z$WkqO5AyY(gbOabFbLc7t?kS8W+Ak7Ggto;wE10b%I7Ue}BH!FLI!=FK8wYr;ZDaGNpCP#zouZcGS6#S;9^rw6Hi*cp zciE_tg!OT^jGcDk3mf%ca;h{=UJXYT&_i;41EVgN;5Os8*4vAlCR{p|0aTkW9vy2; z8`V~bu@=geC-@|IVV^ZCeW%$UG@VYR`E@ko#-GKI0a+Ad1vq2x-#59f3Ocq`A@Ovk zA`E|XP~8{RnR9G%2rxMkRHCbk{w&JFspA#?@ND#By-+&z^YArd_dUkmDV3U~L9^89 ze7mt%%-9u=JrdGDer}@wYrTfK?|F%of*1Sd1$aohoO%;m1ZU$H&CUQro_O48&N^SY zi(%R*;1U%g`secOyL<(kxzPlSvWO?o#3aKTbre5C zt<%KWE^uX=SX_}%1)MqIZ($MV<5)Rof+_EaPoR6MeM)A={*OxaEyf6>PT!PZ_$q4q zG!!Gr7tX&P5tIb4Jx&sW(!v4uv z=d&Vmisq*3or>y-cOqmp8jvy_mjy}eBFL9r&ljl1n*bM_v`1;|qHvCtD;N)`vWiQ# zXrl9lX?{}2)7r*Sb@!bN_!(hJ$DrR_hgP=eQ17C}YeAuK&fX^&f1TkSU2S^+;t2^} zYMBWl^epuJ>pVycF7#My?+ff)B@u6)F~h?+K6p6^h>qn%(2jJz(`r~~B_z={>Awwk z^iO145H>*@s(l<9Af}0!tl6@%4^yIZ!{0jI(ixvD-s;cet-v}7eL@wbp&5%0froK5 zoWxc@L*j?{i;e+|I>(W<fzkLOg4QFCG4XDLJ1;V5b&NxZS;F_&d)IB)Hw@++G31?Y)SGH z{M@|YSG{P4VSy+8=10^3R1xsecD*l{{G3d<@)Ixh!EA`f#E}agIdFe04VQE#N{v(~ zV1^G+?M8au=|8?8Xhx=G@PUxZbLi6(Ya34va(S|kJozo{02f4dHd!P&+yj^*6>1Oa7j54jvB#aw-+{RDv# zy)TdrA)*Q;1AQ_|+Dv?x+}H!Uz^`$xxu#DWjj-QVL2)PlIlK7Jc`*N3I??m?EqGsB7-|$&>~c~YB}HFZ zUo7hjeECv4>|OU}a0!>KtJdWwnBMfx&wIaJpTp(F;pM^f@JkQx`ts#-#j-$3Ue^Aq zbgO(K%UPWOVBj6l0;prghzZj?DuRfTz5WdEG#Jv)Om($7})`XcIYaI-Vy2^^rZ1g;URo{;)mI z)72uOM&N!%_%_YRC^l#uTmW3oTCJzqZ< zgm!@isKS%3UY9-NQM8tuIzBpcpxgw<8FV`1QtVizG!|FzQRoQrGt>=={RBtZ7Lap`8$Ac{8DH5<@fEt-{O4)}fpTjFbi^ zRWe4afVR&@yY$kBu0Wg9#987aAVC*Bcb!ww>*oRLXw=!caQCg-sFEtBsN2xxw<$f@ z8~$_PoyCeDU2PYryqKWxMm^HNkoJ`NJ|R2TY@MBPiSwWwLjPN=*?LHUsyrE#Ni-H^ zniOp!_g(LKLakDjP)23IyC*pF&#~ULFW$;Zbx8vb&M#)^oTFH$Ide%A+FYuACySB) zruD}EAJ$pT+JZCtHHP~5tN5r)4t93XFge<4^Jz6@Q)24qnB~fYS>qhUdquTpuWOMg z9E)1QXyhlRt_z?)aAK%nR9d&UE;p1caB2Ow9Vfa`I#2 zxI4e-FU5s75l~C~k!Rwm`|D9ETm7WU&X{|=ovyNNovyUBPS=^Q(|ttS*n^u2^vBKii^uC^ZMXHli>CX+X*!+0 zV@fidul_qP;DytZ7Bp_fH4t4tBhk_W_#=h98d0mTa^)$C1~<5KRTG`lj* zU-1ISQ{bk~w)rAb>_l}7f%oFA_4eaU6MAvK0{p94rxQ$em8;U{rUhtytu><1^X54X z@xCo6sEDBsaGf}|V+@vnO+6n7bG5qyN@q1k%vELVN@O`E&^6ZV^!MNeg~)409@Rf=%0bCsZeHZ_K{_@4b3qxZDhY>}+k@;TOZx6v;L!^wqHEhOB zsK=EdhW_Sr8ervZ~ieIL>`20Om7{`}{oE`aep z?&|eL3Yx*!1X_m;SI-$(^Oaeh(^ns$M(M7G|r|a<}#i@%L+@?5fGY&Xk$fJwrjZZUr1!%UQ zJ!3G1zpu|+v_+9x?L)7f=)Fjmoz|5PZhDokm8F{Tloq;9AaK1A(ZkZP{+#mi6zDJG`ICA%MWiJdop$nA{%f(DSL2~2uG1Zp)D<@EPo4s}kKn9m20 z&S7?M)><%^ulYw!^X1uU+;*u66Q0aanb!%-BstZ7X(zMg2f zFo$dUj_+W_PRYKhr{mDgcFaCGb6v-e{mytnL#J;K06z)@r;ynp)idXFJsqMBouCXx zR_GYMg=Ce-)^P50>dpf8I?ni^u8}qP<2c5{^aCM9l+CnbG~dXFcX0K0Z=Ne_yq-kH z|8&!QeON$w^o*@-6A@V(N&t0$XSK{;(B17TXswC%Ot0&q1PeC*8hnhSzypdVysP&` zeVwyD!`NEaA2rp z-b;EN=5;%{_x?z{(UsM_x{PFwjC@^@zs2=exQIBC0o6U|C8cy)O-xNAzl~mO_I2*P zIj~oJxjfjzq21TA{#4jn2Stsq%j-k;;yvit9j$!61MD1Kyb4>}V6AYz1?)B5doSJ< zjrZWYz`l3BGu{4F*gs1D>ULoPZ|ZgOm)Tff3e+vSeud~72ptdLKe-sQka|BQ1{!37 z5hgm1&<3AI3SB9TbItxhbhIZnBbmqavfB_{1(Qg;)H!wxudrL6wyCZUfi_{}MDKq+ zr5YZp9i!`l2`uVPU1s(-n!fd(Rqg+EUC~8DE(4n0$0urJM?{+ww5?PHU47qqSJw#Y zJmtS2&!lL-@&c{#AKcHU8UTspYopD?hP4s|7@0E42h``$t~SX|*2L>#t|E zhBJW1ulo8w^RY*s$IadiaLl7HM28VlSJ>!nHMEGpzHLG$r%ZZ(6dar5Q+m(D>(8kl ztLwtoY=VwC8Uv@+fM1t@VQdj{PuDz*Aq9sn>2MAW`XxIa5=&vEqh#sVLDb4AETG=iQQ{6oG`K`QqiTM~EsHEh~i#42C zqxS2du?!9}x>P==#IzwE!aT09p&whN;numUJZ0`Gn{zkr+a1;4HFtx3=WdWUcO84& z-#K^v{pPN}W$yap&aSzu&&T-axqJP&4moo-wmSW-bJuyw+;#SuyWzfbH{3RN&+KDZ z2bLxE-snATnDr1HpV`U#@7!!UH^YQl5+UYz2$4(xOjy2ecWBmy@*7I$-{AARVrI? z96sExZs0g1>9gxNcI?66x#MY_$NXqnW)BUW`t6mtbBmqRYaeZ&>U^|b|1k9$I(F1C z_y}a8PSI94O=BUJ*BNv!zsVP7Yo+Nm#W;@5Gqp0X2%~Dfzh`~zY`LKy6kByezyI;T z)a1K9^NKnfI*+>}$pu~RLnob&V-UW}JUFu84cysNz4p4a&bHo$eS0_t%QA@%K2?$L zPtw}|?c=PE?M^ARm1VO&!oc;wFJj2Kh+$X8sC`L&be(%F*pct0^mvo5d#K|;@5J-L zJIaMNw;|@4Y)_BZ1s+TUoOz59@AznaoON)_{daMDB=p+&wnkuwAML!ZTB&Dea=g(* zZ*G-4W-z}dd=cXeb$>>DdeCNOe~%s?;6MXnawqysisEvA>+-MQv(W48{IxzyAd;V; zW$_WjNKF&yn8BRe+L%qC@?ehAtb=BAVICZ;D|H%2i6s_0hdD>ij_J;G@2HnQ`KWvU; z*9YrxMqtUv2@UN+NvRWri}kv1K)1yNKMbG#CO(a=bj!tOZ#diOR>2;I>u_@DwlMO~ zOt9k0oE>zl_wBfIFWve-kZ$!y(JdFBec|k)TbvV@ zY|w4|1L;LSxygZ;L4ex09jOw!wAUf3N4^Vy9hRK^x zn`WIQ+duSQRgb3IzU%o4u9Yv&yzKKs*79}h9-32q7U$1AupSNc{<-WK4{E-3Z%umI zzSUa(bFbsC*QBkVZ?jzcbMp1>{G7B_Wx7(UqL5?`_g2iuxEE_Z8t2!+IaVpY2VQ?Kc>TTMjrW2#-Wy(N zFL$mRF|DF5w{{rBheCfKMEI*ajSr=rH| z{&2O5eu}2987?`)d*<@4p8e5tIo>jt!_qyrp#G@2%xr;ynff^^b6L@I z>D)7ybWEph-BcHO(e^o)U8PT9wYc1B?|f@Y^&WoJ<%vFHS?~SQZ@ARwu%C_+<|thi zmD-DJ-}}^^??cb8UNYxTK%CZs(HcGzfoSfo&57%F+)u&$&q^&AqcE>GU#-2$s|7oJ z15Erf(tEKf+Ptl`RhlQQ{@6S-ErarTG|{;0^Fp?b(}sG-icMOoyk1YI&jQY?56i2k z)Askc0J6+wpwIB}0{;j$390LkO+6jj^u^ih6OZHlz`Qed+$2XEbcepRy#H&XzHhDF z7yCW6UTsaTJJ6`YqP|SiETZ?exjj4Df5>Vy>!2x zKF?_}o*9bor}W4C-ivD-)uk4^1h+vM4)GF<|nqaZ&k0huray@ z%5gU9yjqus*S)LTIg)9?+PO|O!kwO4#%&p@wIqj`{iHMuLWBfskPhLpb$fl)(}wi7 zyRR={Wbj0FGd4EJ26RrGjU{R8zW%ee7DtpfuF9K)TdqjVdRsyeHcjVfJf83UuGkK&tv*;we#W-`v$kC0xEaZ(N(VMk%F}fx z`l~}4Uc~3MOPE7io1=xuX1cBu+XJl+26|s)sj`7urt7|2?b=-aH`i1u3tbe@P{qY? zkyE;DwJI=QC31G?x)KH#uvXoXH%Ci+9)zkGzy6#)--6aHR9f?EBxTBfOS*12pCb$| zDjQ`a*6R2_?^wk9Ho7h^etJUv+5_rha^}d{oTE!k$KI;0bJF@;vtJd~DoK`gogV+| z&kK{d(Y}?g%kde)VxYo7oX#7f(rVo<#?gZFi}Y$u*Xj7Op6a4pUAJS7_NigZt0h?; z|L0x)$zHl{PP&K5QGHIV6*)SGlyo_|u3yUH^m}yO${f|V%u(<1HpO$U>$G#C$8YjE zo$Y?z^VhNE$Wduij?STVk^bG(b)Eihzi#^+WjV;*x^8TzFR{Qm>db8|Y}0j}AFJ#3 zsbzaWjZDrQ4IiiLhJCb0JN!C5{&+{-!uQs7PMq%Te2lIe4mW*<2ic=X*0T-gwib5i zx@@0lUi;pf-d;Il516-*Xk(x^1*#tuyBDLNoK70mk12>q;#oMWU4@62aFzZRs*@mem9C#O zCkig@adf(ZZ1>ZpYwu;GjK8t8^ zKJz|J%fx&wYVqBD=jkoic;wZ0EVp~k(=$54x~F<$zK-<>tnO{kUgfdHPKOW~d$e-S zXx>>T%k2c+7^M%5*3XlT^>VYuyTx7;Crr;2Aho+QnU~M>+Jt7-l26b{BKYq;gk%9@ z0dQ#dtuZv>)~&Ipc?2)Q%6Ybp>(*^{-3tGVX9u(JU3l1N!PO`I9gR-H#rfB|t(^Bd zm)T`~u->-m2lIUE&oG?L4#Qv1Gk6<1Vh+PxXXji$|G6$!cJ6$7R?GR~td?yW!emwGuhSYD3phr%#6L=P-kZY_`$g#YN$fgDX3qa}Jz1Vciu>kl zeJ;0-a~><0CtBsyc6%=K{UZ7w^D|)A$2hke$4t1s3M(=WH0W)(f-= zc6mX=H*^2tSidtidslJuCe2vp5Ax67S#KNX$=vC-N1y#N*Gt(N`lHWz*|iRDeXr$o@EN$B!!rPHr;VmSW4(_vANmom zmiQj!$@oC}y;7rV>}a3>zjd0;cv!DEXoa1j5!OB1Yi7Mu?^Hv}o{pEA+V|+h@sNxG j%@=nqVp;zW00960;&M>n0ssI2|NjF3<}rCzsGtA<5JZb0 diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.xck b/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.xck deleted file mode 100644 index 090e73d..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.xck +++ /dev/null @@ -1,2 +0,0 @@ -CKID0001:@|S:rxrefclk@|E:rsl_inst.genblk2\.rlol1_cnt[18]@|F:@syn_sample_clock_path==CKID0001@|M:ClockId0001 -CKID0002:@|S:pll_refclki@|E:rsl_inst.genblk1\.pll_lol_p1@|F:@syn_sample_clock_path==CKID0002@|M:ClockId0002 diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_multi_srs_gen.srr b/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_multi_srs_gen.srr deleted file mode 100644 index fc78ac1..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_multi_srs_gen.srr +++ /dev/null @@ -1,12 +0,0 @@ -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/PCSD_comp.srs changed - recompiling - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 69MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Tue Apr 30 12:09:47 2019 - -###########################################################] diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_multi_srs_gen.srr.db b/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_multi_srs_gen.srr.db deleted file mode 100644 index 029fa7a6cf9014af50ee9d4aa843536a1f7b5c42..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#JqyA>3DEQgKL*xDXO@ z%d=&(imfwzIG4G0Jf%J073BwWN1`{~f``Krs^Nta{J wY>_tId!-qqw)j}S$WL3iQGJ`s(T{)t1Rwwb2tWV=5P$##AOHafK;VZ3UKAiP82|tP diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_premap.srr b/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_premap.srr deleted file mode 100644 index fe3b5ab..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_premap.srr +++ /dev/null @@ -1,70 +0,0 @@ -# Tue Apr 30 12:09:47 2019 - -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB) - -Reading constraint file: /home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.fdc -@L: /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/PCSD_scck.rpt -Printing clock summary report in "/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/PCSD_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 114MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 116MB) - -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist PCSD - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - - -Clock Summary -****************** - - Start Requested Requested Clock Clock Clock -Level Clock Frequency Period Type Group Load ------------------------------------------------------------------------------------------------------ -0 - System 100.0 MHz 10.000 system system_clkgroup 0 - -0 - PCSD|rxrefclk 100.0 MHz 10.000 inferred Inferred_clkgroup_1 59 - -0 - PCSD|pll_refclki 100.0 MHz 10.000 inferred Inferred_clkgroup_0 33 -===================================================================================================== - -@W: MT529 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":412:3:412:8|Found inferred clock PCSD|pll_refclki which controls 33 sequential elements including rsl_inst.genblk1\.plol_cnt[19:0]. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":567:3:567:8|Found inferred clock PCSD|rxrefclk which controls 59 sequential elements including rsl_inst.genblk2\.rlos_db_p1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. - -Finished Pre Mapping Phase. - -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - -None -None - -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Tue Apr 30 12:09:48 2019 - -###########################################################] diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_premap.srr.db b/gbe/cores/sgmii/PCSD/syn_results/synlog/PCSD_premap.srr.db deleted file mode 100644 index 46a9c99e597e5b88457b2128a10d19c50cbb47d0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI1&u`N(6vxvtF%DGYf+~b2J@vvSv4pm3*^dib*-o1TTW=eRT<0Y*b?jif-6nC_ z!~yYN?4RNv;IH6@opo&D07BXU2|p$N`RuR!@yp>Uhi~_oK}e-4h=FeKD5%wfR{%i} z)Mu})Dy!LYZq{~{>;K8>!OHi~4eM1~_!8L1nAMx;{AA*&6P^aBF*S2d+n#SOQa`Xin5~;7p8fdNY@s zfiV-eoJ+fs3A5~S^SOsASoped)c9r_-aG+Mz!UHUJONL@6YvB)0Z+gaxHAG5V(vln zy#49P)B66f*I92xxhyauiDE=V88eYlqn@Ub!J_2EU{osk9;u0RRxlR5863W@idf6k zaG5b0PFowj{>zPSRj%#IiA{BwNKq+FfO1L4;Ff(D9JVS)2hvkei&M(o71FDP;D{2}lK23su#rj-Y|qW4U!Caqh^^ zfE6X71`^aP6Hub6e&h;@A)F8;tn&8d#pGTE{Mp?CUu}0Ra992Yc*%Kug`EA$yxaXd z=I!IKwtn{?tasYGYss=ik>>zG2|^Zs&Rea)RfAJKH#Rrcdu5hPbO`j%CD`~m;~|Nq2T zZFAhXk^VgV6*+@dwys1GGU*Y*&8Ak!c0mEi45()BduNj@z)qh zj&GB#WLLX3OI0E{U^n{h>8Ej?WlF@EF5|Z?s8B{LbZO00YR>0z$+#;)25(Ca{&iGP zI?nVI^rGAyvy(E9rU%JJ?pPMIkfww?IUQ5nmXbTJL6^dsphQ(}>a(HWvUQm+4asC6 zM{Umf-fqLX?9Bu{mzINe$OvQ@Vm_*Ks=q-fllc3?e{!t zdM{j*7M$plK4y9)>l|@aD3DRB-Gqzk_+(WCRASZ21@4YlCsj+&ER4Z+G1n;JQ9dd; zV=^~nV+qkKo^rvT^wC1_p*oWs3ne|}#fo+t)JXbvCyQf*LQ#CW$Ldb9Dg%Oi?aa)z zJ^1LEWvI$FSfFH!+URoPvE)lPXJw$=rM!YzdgWdNpGp==t&^OR@~LMLMkaZAyjz^O z-pza!d51+rcv)A&FyJs0l*zwHev&Dw&b3&oGJw@0+R3EqDkEFY9h5v+FUj^Yf_m*3 zFDD)J{=2+nhVp>%QL=O&&($pXI-K-lB{{>$3f0N;h#r`?Y{J5Mm*U`ALGBPNKH4XA ze?C)jzaOeOV4;eIK%rsfG|1(>U5u-)yszDoAS4K7%6d}`+x~2w_HO->QQB#_EJv!3 z1S>ww$EsL8UREf*rcCRwqAE~wqWZOEz(?U3V`Ux;_jkEn$zno9zWA;DN%;1fF!*tM zMphQD{T??k$(K}z<@9(zC?luOYdlsgz9!jrX@Upbzw=ml)S|Ap&$bz6f51OBr;)eU zi!tn;e|UkM>?hz8YuskdAO48?!f>grHb3a8rC$YDbl%RuPRP%7OY4xn2WCZf`5wo& z)B`pVha;?;X1H1(J;NcZuQGF-tK2hY@y)*10A4!P8kwsJVHXQe)ir5z2>6$%m^Kze ziqqkUr-XxowtIDOdWa*}5~sH#B9iE#M$)m_Y@4~t7M{8dd6B=s#q)Z7byd_y!?PTZ z4O2cxc6d=4hx)Q*5APV&-g(C@Ml#9>i&9#}2;j5@L9i9v2-a|Jv_h~{ql8}&HP7iD zxjI(4A&c@ugBQ+LXo5hiax^IO*@=5eVUuwui zwWFRtqT_#IuIxueht>-c@c!t$}BH7meY1 zlISQsW*FEQQm%QDLPe&Z82mMQa_`uE?|g!ZV`SH4%PnyxT)+Bg4n{+Dh^WnWxh{0z zs4DSk%@()Yk7^+uZBKz&?Q7Mf_$;M(QQ;z}kZS1(XT%YePx(-sb-GXd$_k>6qJQ93 zy>imwjMe%Hnv|1d=^m3)e4ayPDUQ&6WWEAW{;9b%* z16zE9yUPz`7yas`O*J?SZ8Hp^8IXN*qaegF-`A##V}!NLfh+(4{CIUaBG z$LWG{G9=M;r3B(DeRnjk$X`z_b~Nrlb4^l5f;Ixby&e%!JF=VnkjYyOp3*sCV~J0H z)?8HTZ@5DO;(QEfrV$8dW{Qgz)y5QUYO!q~eE#ACC+tN%F z4nWBjv8v;JPie;NI>hCEPZzj&C!OUj3YaU2+P=!ymuE1BrfJyOYmzH z2I@y*1x@y+A@vrkq&)9|SO730BaSBJW|?N@5G~t&J4d1v+>jj46|M+94Q00GlI=Jy zvg4D?+-eQ16^ZOHsX3t{G1}-N9~0jF26(^z4DZ+b8dzl6{#@YRKiPl(=%N=H-e1SW z<`ORd=sFnTCD2qP(gWbZOY#Oaiv8mv`Qu?>>Yq6K@#lB%-^{W!kN@Plh_?VQN8*5a zdfZXOiKgBCmgA+zyHD{QE%j>rCmnA{EYZ)b_yX5ZkjsiYz3ezAUxfd+7wU5ZRz%qT z_lNXY4?{&W{DUowQS-R_mLAEkLDI~xrZ}R1_ndiJAw)+sKhO1stiNmFl*n&xO-H@B}oDnaGeX#)_Wxaa2SyN}Y# zo}A&doS{B%ooi7=Lm(&FhHY?Prv&km;0{b6UdzyoPVTv!jI1Z>O!R0nv!$&4pDWob z>HcnbJzO=vzpRkD7~7vcT5Opj&ja&L>kbHE_8cM2kTgk<3bWTATB2_`O>(d@j{k$7 zCk#*gTM7CNCqrIHxO69*-Oa5wM-f-Ra$<~L6Nh&+odTOYsa3IyCRm5W$-k#L;1X}< zcy!gYPk#RVb3gMa*>UA2T{WvG^Je=jjquMtHm`1t8pT77Ie~jj{=DAKXV|vdp>Y%~ zvhIp1=Ou%YIJ71{oTeaPgz-)rsYz6vkZIYQit%Ylo#)T9qe?}|mc8gJU+>QY?qf>c zV>A>nn9e(V*b_iYHespfpivx{$_s`gm%}mjB+lW z8>G4T^Lz)_x;TSheGXfHHixb6=dd&fQIOhHGY`2y_0`V6Q5s;3;3>vbENNd~U_UCm zHrGJ^41D5FU&}W!+kq{DH(pPPdnZ50Zv3yeG`m{7pP_$u#((X3b>85!f3cT+90={y zFuUW3HF3mr^rx%}@{2MP4O|N5j?oEPMY;uk8Kr zPYJ_L;PilWUy|-7wb9{fb3`=&iW!mp9{>OV|Nr80P~ZXp009600|2*BC#QED006c$ B@wxy2 diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/layer0.tlg.rptmap b/gbe/cores/sgmii/PCSD/syn_results/synlog/layer0.tlg.rptmap deleted file mode 100644 index 3910cac..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/layer0.tlg.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synwork/layer0.tlg,layer0.tlg,An incremental, partial HDL compilation log file that may allow early access to errors or other messages. diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/layer1.tlg.rptmap b/gbe/cores/sgmii/PCSD/syn_results/synlog/layer1.tlg.rptmap deleted file mode 100644 index af92e0b..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/layer1.tlg.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synwork/layer1.tlg,layer1.tlg,An incremental, partial HDL compilation log file that may allow early access to errors or other messages. diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/linker.rpt.rptmap b/gbe/cores/sgmii/PCSD/syn_results/synlog/linker.rpt.rptmap deleted file mode 100644 index e21637c..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/linker.rpt.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synwork/PCSD_comp.linkerlog,linker.rpt,Summary of linker messages for components that did not bind diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_notes.txt b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_notes.txt deleted file mode 100644 index 64eb40e..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_notes.txt +++ /dev/null @@ -1,11 +0,0 @@ -@N|Running in 64-bit mode -@N|Running in 64-bit mode -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":24:7:24:10|Top entity is set to PCSD. -@N|Running in 64-bit mode -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":24:7:24:10|Top entity is set to PCSD. -@N: CD630 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":24:7:24:10|Synthesizing work.pcsd.v1. -@N: CG364 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":92:7:92:18|Synthesizing module PCSDrsl_core in library work. -@N|Running in 64-bit mode - diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_runstatus.xml b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_runstatus.xml deleted file mode 100644 index 5ab9b80..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_runstatus.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - - - /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/PCSD_compiler.srr - Synopsys HDL Compiler - - - Completed - - - - 10 - /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_notes.txt - - - 50 - /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_warnings.txt - - - 0 - /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_errors.txt - - - - - - - 00h:00m:02s - - - - - - - 1556618986 - - - \ No newline at end of file diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_warnings.txt b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_warnings.txt deleted file mode 100644 index 664f602..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_compiler_warnings.txt +++ /dev/null @@ -1,51 +0,0 @@ -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":274:33:274:48|Removing wire dual_or_serd_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":275:33:275:46|Removing wire tx_any_pcs_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":276:33:276:42|Removing wire tx_any_rst, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":277:33:277:41|Object txsr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":278:33:278:42|Object txdpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":279:33:279:41|Object txpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":280:33:280:41|Object txr_wt_en is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":281:33:281:42|Object txr_wt_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":282:33:282:41|Removing wire txr_wt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":283:33:283:43|Object ruo_tx_rdyr is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":356:33:356:41|Object rxsr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":357:33:357:41|Object rxpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":358:33:358:42|Object rxsdr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":359:33:359:42|Object rxdpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":360:33:360:48|Removing wire rxsdr_or_sr_appd, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":361:33:361:49|Removing wire dual_or_rserd_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":362:33:362:46|Removing wire rx_any_pcs_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":363:33:363:42|Removing wire rx_any_rst, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":364:33:364:41|Object rxr_wt_en is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":365:33:365:42|Object rxr_wt_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":366:33:366:41|Removing wire rxr_wt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":367:33:367:43|Object ruo_rx_rdyr is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":507:10:507:10|Object m is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":880:10:880:10|Object l is declared but not assigned. Either assign a value or remove the declaration. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. - diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_area_report.xml b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_area_report.xml deleted file mode 100644 index 194415f..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_area_report.xml +++ /dev/null @@ -1,26 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_resourceusage.rpt -Resource Usage - - -92 - - -0 - - -0 - - -0 - - -63 - - diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_errors.txt b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_notes.txt b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_notes.txt deleted file mode 100644 index b4c4fcb..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_notes.txt +++ /dev/null @@ -1,11 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":412:3:412:8|Found counter in view:work.PCSDrsl_core_Z1_layer1(verilog) instance genblk1\.plol_cnt[19:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":778:3:778:8|Found counter in view:work.PCSDrsl_core_Z1_layer1(verilog) instance genblk2\.rlols0_cnt[17:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":680:3:680:8|Found counter in view:work.PCSDrsl_core_Z1_layer1(verilog) instance genblk2\.rlol1_cnt[18:0] -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/PCSD.edn -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_opt_report.xml b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_opt_report.xml deleted file mode 100644 index 2e79d15..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_opt_report.xml +++ /dev/null @@ -1,14 +0,0 @@ - - - - -2 / 0 - -/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_combined_clk.rpt -START OF CLOCK OPTIMIZATION REPORT - - - diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_runstatus.xml b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_runstatus.xml deleted file mode 100644 index f5531ea..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.srr -Synopsys Lattice Technology Mapper - - -Completed - - - -11 - -/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_notes.txt - - - -3 - -/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_errors.txt - - - -0h:00m:02s - - -0h:00m:02s - - -148MB - - -1556618991 - - - diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_timing_report.xml b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_timing_report.xml deleted file mode 100644 index 04932ce..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_timing_report.xml +++ /dev/null @@ -1,35 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/PCSD_fpga_mapper.srr -START OF TIMING REPORT - - -Clock Name -Req Freq -Est Freq -Slack - - -PCSD|pll_refclki -100.0 MHz -168.9 MHz -4.079 - - -PCSD|rxrefclk -100.0 MHz -170.5 MHz -4.136 - - -System -100.0 MHz -18518.5 MHz -9.946 - - diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_warnings.txt b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_warnings.txt deleted file mode 100644 index 5df4948..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_fpga_mapper_warnings.txt +++ /dev/null @@ -1,3 +0,0 @@ -@W: MT246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":118:4:118:12|Blackbox DCUA is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) -@W: MT420 |Found inferred clock PCSD|rxrefclk with period 10.00ns. Please declare a user-defined clock on object "p:rxrefclk" -@W: MT420 |Found inferred clock PCSD|pll_refclki with period 10.00ns. Please declare a user-defined clock on object "p:pll_refclki" diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_errors.txt b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_notes.txt b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_notes.txt deleted file mode 100644 index eed8756..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_notes.txt +++ /dev/null @@ -1,2 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_runstatus.xml b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_runstatus.xml deleted file mode 100644 index 3302c41..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/PCSD_premap.srr -Synopsys Lattice Technology Pre-mapping - - -Completed - - - -2 - -/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_notes.txt - - - -2 - -/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_errors.txt - - - -0h:00m:00s - - -0h:00m:00s - - -143MB - - -1556618988 - - - diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_warnings.txt b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_warnings.txt deleted file mode 100644 index 22d5ea5..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/PCSD_premap_warnings.txt +++ /dev/null @@ -1,2 +0,0 @@ -@W: MT529 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":412:3:412:8|Found inferred clock PCSD|pll_refclki which controls 33 sequential elements including rsl_inst.genblk1\.plol_cnt[19:0]. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":567:3:567:8|Found inferred clock PCSD|rxrefclk which controls 59 sequential elements including rsl_inst.genblk2\.rlos_db_p1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. diff --git a/gbe/cores/sgmii/PCSD/syn_results/synlog/report/metrics.db b/gbe/cores/sgmii/PCSD/syn_results/synlog/report/metrics.db deleted file mode 100644 index 3d974e4e3831441084f7ad4e1dd75d9e6311c32e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20480 zcmeI3O>Y}T7{_TOUB3l4lnYU+XOxZS<$3<|-(NO|nH@j=cE?wo zbt4(NirqG@8m4L7Wy~;)CR~@`8kGg8B-f~zqsl3tO{4PH3jzTPWT=}6ddg<>=_a1F;?QOHYtuJ=A zSvl=2-;CPNxTo0AmEOK9KV>0T()T9qp&JbNq&X12iYLuyQG3$xqA=uQN~6-YN1tC@EL1AyaaDWtg2+2)d65`$8T*kq*cqXJXSa7Yn75d0f^u_d5Y#4*PzYlb?M{uHg=M`q&%HG1&ori+ zxtHhgUl1)L0VIF~kN^@u0!RP}AOR$R1dsp{_!k13Z(F34C&jOA6)87pbz!LMGj5+3;luCbHC=k&lSmUK;C+kSf(f3?=u3TTh(~#~C zOdf>$_nItlmAB7h29FJc&4XyjI}O;7C_#2J%hsKzCTEGs`ro9#8}Ne#2_OL^fCP{L y5 -
    -
    -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug  4 2017
    -@N: :  | Running in 64-bit mode 
    -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/PCSD_comp.srs changed - recompiling
    -
    -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 69MB)
    -
    -Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    -
    -Process completed successfully.
    -# Tue Apr 30 12:09:47 2019
    -
    -###########################################################]
    -
    -
    -
    -
    -# Tue Apr 30 12:09:47 2019
    -
    -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug  4 2017 09:36:35
    -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
    -Product Version M-2017.03L-SP1-1
    -
    -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB)
    -
    -Reading constraint file: /home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.fdc
    -Linked File: PCSD_scck.rpt
    -Printing clock  summary report in "/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/PCSD_scck.rpt" file 
    -@N:MF248 :  | Running in 64-bit mode. 
    -@N:MF666 :  | Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) 
    -
    -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB)
    -
    -
    -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB)
    -
    -
    -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 114MB)
    -
    -
    -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 116MB)
    -
    -ICG Latch Removal Summary:
    -Number of ICG latches removed:	0
    -Number of ICG latches not removed:	0
    -syn_allowed_resources : blockrams=56  set on top level netlist PCSD
    -
    -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -
    -
    -Clock Summary
    -******************
    -
    -          Start                Requested     Requested     Clock        Clock                   Clock
    -Level     Clock                Frequency     Period        Type         Group                   Load 
    ------------------------------------------------------------------------------------------------------
    -0 -       System               100.0 MHz     10.000        system       system_clkgroup         0    
    -                                                                                                     
    -0 -       PCSD|rxrefclk        100.0 MHz     10.000        inferred     Inferred_clkgroup_1     59   
    -                                                                                                     
    -0 -       PCSD|pll_refclki     100.0 MHz     10.000        inferred     Inferred_clkgroup_0     33   
    -=====================================================================================================
    -
    -@W:MT529 : PCSD_softlogic.v(412) | Found inferred clock PCSD|pll_refclki which controls 33 sequential elements including rsl_inst.genblk1\.plol_cnt[19:0]. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. 
    -@W:MT529 : PCSD_softlogic.v(567) | Found inferred clock PCSD|rxrefclk which controls 59 sequential elements including rsl_inst.genblk2\.rlos_db_p1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. 
    -
    -Finished Pre Mapping Phase.
    -
    -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -
    -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -None
    -None
    -
    -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -Pre-mapping successful!
    -
    -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB)
    -
    -Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    -# Tue Apr 30 12:09:48 2019
    -
    -###########################################################]
    -
    -
    -
    -
    -# Tue Apr 30 12:09:48 2019
    -
    -Synopsys Lattice Technology Mapper, Version maplat, Build 1796R, Built Aug  4 2017 09:36:35
    -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
    -Product Version M-2017.03L-SP1-1
    -
    -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB)
    -
    -@N:MF248 :  | Running in 64-bit mode. 
    -@N:MF666 :  | Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) 
    -
    -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB)
    -
    -
    -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB)
    -
    -
    -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 113MB)
    -
    -
    -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB)
    -
    -
    -
    -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -
    -Available hyper_sources - for debug and ip models
    -	None Found
    -
    -
    -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -@N:MO231 : PCSD_softlogic.v(412) | Found counter in view:work.PCSDrsl_core_Z1_layer1(verilog) instance genblk1\.plol_cnt[19:0] 
    -@N:MO231 : PCSD_softlogic.v(778) | Found counter in view:work.PCSDrsl_core_Z1_layer1(verilog) instance genblk2\.rlols0_cnt[17:0] 
    -@N:MO231 : PCSD_softlogic.v(680) | Found counter in view:work.PCSDrsl_core_Z1_layer1(verilog) instance genblk2\.rlol1_cnt[18:0] 
    -
    -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB)
    -
    -
    -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
    -
    -
    -Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
    -
    -
    -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
    -
    -
    -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
    -
    -
    -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
    -
    -
    -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
    -
    -
    -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB)
    -
    -
    -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
    -
    -
    -Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB)
    -
    -Pass		 CPU time		Worst Slack		Luts / Registers
    -------------------------------------------------------------
    -   1		0h:00m:00s		     5.36ns		  63 /        92
    -
    -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB)
    -
    -@N:FX164 :  | The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.   
    -
    -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 143MB peak: 144MB)
    -
    -
    -
    -@S |Clock Optimization Summary
    -
    -
    -#### START OF CLOCK OPTIMIZATION REPORT #####[
    -
    -2 non-gated/non-generated clock tree(s) driving 92 clock pin(s) of sequential element(s)
    -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
    -0 instances converted, 0 sequential instances remain driven by gated/generated clocks
    -
    -=================================== Non-Gated/Non-Generated Clocks ====================================
    -Clock Tree ID     Driving Element     Drive Element Type     Fanout     Sample Instance                
    --------------------------------------------------------------------------------------------------------
    -ClockId0001        rxrefclk            port                   59         rsl_inst.genblk2\.rlol1_cnt[18]
    -ClockId0002        pll_refclki         port                   33         rsl_inst.genblk1\.pll_lol_p1   
    -=======================================================================================================
    -
    -
    -##### END OF CLOCK OPTIMIZATION REPORT ######]
    -
    -
    -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 107MB peak: 144MB)
    -
    -Writing Analyst data base /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/PCSD_m.srm
    -
    -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 142MB peak: 144MB)
    -
    -Writing EDIF Netlist and constraint files
    -@N:FX1056 :  | Writing EDF file: /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/PCSD.edn 
    -M-2017.03L-SP1-1
    -@N:BW106 :  | Synplicity Constraint File capacitance units using default value of 1pF  
    -
    -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 148MB)
    -
    -Writing Verilog Simulation files
    -
    -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 148MB)
    -
    -Writing VHDL Simulation files
    -
    -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 147MB peak: 148MB)
    -
    -
    -Start final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 147MB peak: 148MB)
    -
    -@W:MT246 : PCSD.vhd(118) | Blackbox DCUA is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) 
    -@W:MT420 :  | Found inferred clock PCSD|rxrefclk with period 10.00ns. Please declare a user-defined clock on object "p:rxrefclk" 
    -@W:MT420 :  | Found inferred clock PCSD|pll_refclki with period 10.00ns. Please declare a user-defined clock on object "p:pll_refclki" 
    -
    -
    -##### START OF TIMING REPORT #####[
    -# Timing Report written on Tue Apr 30 12:09:50 2019
    -#
    -
    -
    -Top view:               PCSD
    -Requested Frequency:    100.0 MHz
    -Wire load mode:         top
    -Paths requested:        5
    -Constraint File(s):    /home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.fdc
    -                       
    -@N:MT320 :  | This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. 
    -
    -@N:MT322 :  | Clock constraints include only register-to-register paths associated with each individual clock. 
    -
    -
    -
    -Performance Summary
    -*******************
    -
    -
    -Worst slack in design: 4.079
    -
    -@N:MT286 :  | System clock period 0.000 stretches to negative invalid value -- ignoring stretching. 
    -                     Requested     Estimated       Requested     Estimated               Clock        Clock              
    -Starting Clock       Frequency     Frequency       Period        Period        Slack     Type         Group              
    --------------------------------------------------------------------------------------------------------------------------
    -PCSD|pll_refclki     100.0 MHz     168.9 MHz       10.000        5.921         4.079     inferred     Inferred_clkgroup_0
    -PCSD|rxrefclk        100.0 MHz     170.5 MHz       10.000        5.864         4.136     inferred     Inferred_clkgroup_1
    -System               100.0 MHz     18518.5 MHz     10.000        0.054         9.946     system       system_clkgroup    
    -=========================================================================================================================
    -
    -
    -
    -
    -
    -Clock Relationships
    -*******************
    -
    -Clocks                              |    rise  to  rise    |    fall  to  fall   |    rise  to  fall   |    fall  to  rise 
    ----------------------------------------------------------------------------------------------------------------------------
    -Starting          Ending            |  constraint  slack   |  constraint  slack  |  constraint  slack  |  constraint  slack
    ----------------------------------------------------------------------------------------------------------------------------
    -System            System            |  10.000      10.000  |  No paths    -      |  No paths    -      |  No paths    -    
    -System            PCSD|rxrefclk     |  10.000      9.946   |  No paths    -      |  No paths    -      |  No paths    -    
    -PCSD|pll_refclki  System            |  10.000      8.385   |  No paths    -      |  No paths    -      |  No paths    -    
    -PCSD|pll_refclki  PCSD|pll_refclki  |  10.000      4.079   |  No paths    -      |  No paths    -      |  No paths    -    
    -PCSD|rxrefclk     System            |  10.000      8.283   |  No paths    -      |  No paths    -      |  No paths    -    
    -PCSD|rxrefclk     PCSD|rxrefclk     |  10.000      4.136   |  No paths    -      |  No paths    -      |  No paths    -    
    -===========================================================================================================================
    - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
    -       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
    -
    -
    -
    -Interface Information 
    -*********************
    -
    -No IO constraint found
    -
    -
    -
    -====================================
    -Detailed Report for Clock: PCSD|pll_refclki
    -====================================
    -
    -
    -
    -Starting Points with Worst Slack
    -********************************
    -
    -                                   Starting                                                  Arrival          
    -Instance                           Reference            Type        Pin     Net              Time        Slack
    -                                   Clock                                                                      
    ---------------------------------------------------------------------------------------------------------------
    -rsl_inst.genblk1\.plol_cnt[1]      PCSD|pll_refclki     FD1S3DX     Q       plol_cnt[1]      0.907       4.079
    -rsl_inst.genblk1\.plol_cnt[6]      PCSD|pll_refclki     FD1S3DX     Q       plol_cnt[6]      0.907       4.079
    -rsl_inst.genblk1\.plol_cnt[7]      PCSD|pll_refclki     FD1S3DX     Q       plol_cnt[7]      0.907       4.079
    -rsl_inst.genblk1\.plol_cnt[12]     PCSD|pll_refclki     FD1S3DX     Q       plol_cnt[12]     0.907       4.079
    -rsl_inst.genblk1\.plol_cnt[2]      PCSD|pll_refclki     FD1S3DX     Q       plol_cnt[2]      0.907       4.684
    -rsl_inst.genblk1\.plol_cnt[3]      PCSD|pll_refclki     FD1S3DX     Q       plol_cnt[3]      0.907       4.684
    -rsl_inst.genblk1\.plol_cnt[4]      PCSD|pll_refclki     FD1S3DX     Q       plol_cnt[4]      0.907       4.684
    -rsl_inst.genblk1\.plol_cnt[5]      PCSD|pll_refclki     FD1S3DX     Q       plol_cnt[5]      0.907       4.684
    -rsl_inst.genblk1\.plol_cnt[8]      PCSD|pll_refclki     FD1S3DX     Q       plol_cnt[8]      0.907       4.684
    -rsl_inst.genblk1\.plol_cnt[9]      PCSD|pll_refclki     FD1S3DX     Q       plol_cnt[9]      0.907       4.684
    -==============================================================================================================
    -
    -
    -Ending Points with Worst Slack
    -******************************
    -
    -                                   Starting                                                    Required          
    -Instance                           Reference            Type        Pin     Net                Time         Slack
    -                                   Clock                                                                         
    ------------------------------------------------------------------------------------------------------------------
    -rsl_inst.genblk1\.plol_cnt[19]     PCSD|pll_refclki     FD1S3DX     D       plol_cnt_s[19]     9.946        4.079
    -rsl_inst.genblk1\.plol_cnt[17]     PCSD|pll_refclki     FD1S3DX     D       plol_cnt_s[17]     9.946        4.139
    -rsl_inst.genblk1\.plol_cnt[18]     PCSD|pll_refclki     FD1S3DX     D       plol_cnt_s[18]     9.946        4.139
    -rsl_inst.genblk1\.plol_cnt[15]     PCSD|pll_refclki     FD1S3DX     D       plol_cnt_s[15]     9.946        4.200
    -rsl_inst.genblk1\.plol_cnt[16]     PCSD|pll_refclki     FD1S3DX     D       plol_cnt_s[16]     9.946        4.200
    -rsl_inst.genblk1\.plol_cnt[13]     PCSD|pll_refclki     FD1S3DX     D       plol_cnt_s[13]     9.946        4.261
    -rsl_inst.genblk1\.plol_cnt[14]     PCSD|pll_refclki     FD1S3DX     D       plol_cnt_s[14]     9.946        4.261
    -rsl_inst.genblk1\.plol_cnt[11]     PCSD|pll_refclki     FD1S3DX     D       plol_cnt_s[11]     9.946        4.322
    -rsl_inst.genblk1\.plol_cnt[12]     PCSD|pll_refclki     FD1S3DX     D       plol_cnt_s[12]     9.946        4.322
    -rsl_inst.genblk1\.plol_cnt[9]      PCSD|pll_refclki     FD1S3DX     D       plol_cnt_s[9]      9.946        4.383
    -=================================================================================================================
    -
    -
    -
    -Worst Path Information
    -View Worst Path in Analyst
    -***********************
    -
    -
    -Path information for path number 1: 
    -      Requested Period:                      10.000
    -    - Setup time:                            0.054
    -    + Clock delay at ending point:           0.000 (ideal)
    -    = Required time:                         9.946
    -
    -    - Propagation time:                      5.867
    -    - Clock delay at starting point:         0.000 (ideal)
    -    = Slack (critical) :                     4.079
    -
    -    Number of logic level(s):                15
    -    Starting point:                          rsl_inst.genblk1\.plol_cnt[1] / Q
    -    Ending point:                            rsl_inst.genblk1\.plol_cnt[19] / D
    -    The start point is clocked by            PCSD|pll_refclki [rising] on pin CK
    -    The end   point is clocked by            PCSD|pll_refclki [rising] on pin CK
    -
    -Instance / Net                                        Pin      Pin               Arrival     No. of    
    -Name                                     Type         Name     Dir     Delay     Time        Fan Out(s)
    --------------------------------------------------------------------------------------------------------
    -rsl_inst.genblk1\.plol_cnt[1]            FD1S3DX      Q        Out     0.907     0.907       -         
    -plol_cnt[1]                              Net          -        -       -         -           2         
    -rsl_inst.genblk1\.un1_plol_cnt_tc_10     ORCALUT4     A        In      0.000     0.907       -         
    -rsl_inst.genblk1\.un1_plol_cnt_tc_10     ORCALUT4     Z        Out     0.606     1.513       -         
    -un1_plol_cnt_tc_10                       Net          -        -       -         -           1         
    -rsl_inst.genblk1\.un1_plol_cnt_tc_14     ORCALUT4     D        In      0.000     1.513       -         
    -rsl_inst.genblk1\.un1_plol_cnt_tc_14     ORCALUT4     Z        Out     0.606     2.119       -         
    -un1_plol_cnt_tc_14                       Net          -        -       -         -           1         
    -rsl_inst.genblk1\.un1_plol_cnt_tc        ORCALUT4     D        In      0.000     2.119       -         
    -rsl_inst.genblk1\.un1_plol_cnt_tc        ORCALUT4     Z        Out     0.762     2.881       -         
    -un1_plol_cnt_tc                          Net          -        -       -         -           5         
    -rsl_inst.genblk1\.plol_cnt11_i           ORCALUT4     B        In      0.000     2.881       -         
    -rsl_inst.genblk1\.plol_cnt11_i           ORCALUT4     Z        Out     0.840     3.721       -         
    -plol_cnt                                 Net          -        -       -         -           21        
    -rsl_inst.genblk1\.plol_cnt_cry_0[0]      CCU2C        A1       In      0.000     3.721       -         
    -rsl_inst.genblk1\.plol_cnt_cry_0[0]      CCU2C        COUT     Out     0.900     4.621       -         
    -plol_cnt_cry[0]                          Net          -        -       -         -           1         
    -rsl_inst.genblk1\.plol_cnt_cry_0[1]      CCU2C        CIN      In      0.000     4.621       -         
    -rsl_inst.genblk1\.plol_cnt_cry_0[1]      CCU2C        COUT     Out     0.061     4.682       -         
    -plol_cnt_cry[2]                          Net          -        -       -         -           1         
    -rsl_inst.genblk1\.plol_cnt_cry_0[3]      CCU2C        CIN      In      0.000     4.682       -         
    -rsl_inst.genblk1\.plol_cnt_cry_0[3]      CCU2C        COUT     Out     0.061     4.743       -         
    -plol_cnt_cry[4]                          Net          -        -       -         -           1         
    -rsl_inst.genblk1\.plol_cnt_cry_0[5]      CCU2C        CIN      In      0.000     4.743       -         
    -rsl_inst.genblk1\.plol_cnt_cry_0[5]      CCU2C        COUT     Out     0.061     4.804       -         
    -plol_cnt_cry[6]                          Net          -        -       -         -           1         
    -rsl_inst.genblk1\.plol_cnt_cry_0[7]      CCU2C        CIN      In      0.000     4.804       -         
    -rsl_inst.genblk1\.plol_cnt_cry_0[7]      CCU2C        COUT     Out     0.061     4.865       -         
    -plol_cnt_cry[8]                          Net          -        -       -         -           1         
    -rsl_inst.genblk1\.plol_cnt_cry_0[9]      CCU2C        CIN      In      0.000     4.865       -         
    -rsl_inst.genblk1\.plol_cnt_cry_0[9]      CCU2C        COUT     Out     0.061     4.926       -         
    -plol_cnt_cry[10]                         Net          -        -       -         -           1         
    -rsl_inst.genblk1\.plol_cnt_cry_0[11]     CCU2C        CIN      In      0.000     4.926       -         
    -rsl_inst.genblk1\.plol_cnt_cry_0[11]     CCU2C        COUT     Out     0.061     4.987       -         
    -plol_cnt_cry[12]                         Net          -        -       -         -           1         
    -rsl_inst.genblk1\.plol_cnt_cry_0[13]     CCU2C        CIN      In      0.000     4.987       -         
    -rsl_inst.genblk1\.plol_cnt_cry_0[13]     CCU2C        COUT     Out     0.061     5.048       -         
    -plol_cnt_cry[14]                         Net          -        -       -         -           1         
    -rsl_inst.genblk1\.plol_cnt_cry_0[15]     CCU2C        CIN      In      0.000     5.048       -         
    -rsl_inst.genblk1\.plol_cnt_cry_0[15]     CCU2C        COUT     Out     0.061     5.109       -         
    -plol_cnt_cry[16]                         Net          -        -       -         -           1         
    -rsl_inst.genblk1\.plol_cnt_cry_0[17]     CCU2C        CIN      In      0.000     5.109       -         
    -rsl_inst.genblk1\.plol_cnt_cry_0[17]     CCU2C        COUT     Out     0.061     5.170       -         
    -plol_cnt_cry[18]                         Net          -        -       -         -           1         
    -rsl_inst.genblk1\.plol_cnt_s_0[19]       CCU2C        CIN      In      0.000     5.170       -         
    -rsl_inst.genblk1\.plol_cnt_s_0[19]       CCU2C        S0       Out     0.698     5.867       -         
    -plol_cnt_s[19]                           Net          -        -       -         -           1         
    -rsl_inst.genblk1\.plol_cnt[19]           FD1S3DX      D        In      0.000     5.867       -         
    -=======================================================================================================
    -
    -
    -
    -
    -====================================
    -Detailed Report for Clock: PCSD|rxrefclk
    -====================================
    -
    -
    -
    -Starting Points with Worst Slack
    -********************************
    -
    -                                     Starting                                                 Arrival          
    -Instance                             Reference         Type        Pin     Net                Time        Slack
    -                                     Clock                                                                     
    ----------------------------------------------------------------------------------------------------------------
    -rsl_inst.genblk2\.rlol1_cnt[14]      PCSD|rxrefclk     FD1P3DX     Q       rlol1_cnt[14]      0.907       4.136
    -rsl_inst.genblk2\.rlol1_cnt[15]      PCSD|rxrefclk     FD1P3DX     Q       rlol1_cnt[15]      0.907       4.136
    -rsl_inst.genblk2\.rlol1_cnt[16]      PCSD|rxrefclk     FD1P3DX     Q       rlol1_cnt[16]      0.907       4.136
    -rsl_inst.genblk2\.rlol1_cnt[17]      PCSD|rxrefclk     FD1P3DX     Q       rlol1_cnt[17]      0.907       4.136
    -rsl_inst.genblk2\.rlols0_cnt[10]     PCSD|rxrefclk     FD1P3DX     Q       rlols0_cnt[10]     0.907       4.170
    -rsl_inst.genblk2\.rlols0_cnt[14]     PCSD|rxrefclk     FD1P3DX     Q       rlols0_cnt[14]     0.907       4.170
    -rsl_inst.genblk2\.rlols0_cnt[16]     PCSD|rxrefclk     FD1P3DX     Q       rlols0_cnt[16]     0.907       4.170
    -rsl_inst.genblk2\.rlols0_cnt[17]     PCSD|rxrefclk     FD1P3DX     Q       rlols0_cnt[17]     0.907       4.170
    -rsl_inst.genblk2\.rlol1_cnt[0]       PCSD|rxrefclk     FD1P3DX     Q       rlol1_cnt[0]       0.907       4.742
    -rsl_inst.genblk2\.rlol1_cnt[1]       PCSD|rxrefclk     FD1P3DX     Q       rlol1_cnt[1]       0.907       4.742
    -===============================================================================================================
    -
    -
    -Ending Points with Worst Slack
    -******************************
    -
    -                                     Starting                                                   Required          
    -Instance                             Reference         Type        Pin     Net                  Time         Slack
    -                                     Clock                                                                        
    -------------------------------------------------------------------------------------------------------------------
    -rsl_inst.genblk2\.rlol1_cnt[17]      PCSD|rxrefclk     FD1P3DX     D       rlol1_cnt_s[17]      9.946        4.136
    -rsl_inst.genblk2\.rlol1_cnt[18]      PCSD|rxrefclk     FD1P3DX     D       rlol1_cnt_s[18]      9.946        4.136
    -rsl_inst.genblk2\.rlols0_cnt[17]     PCSD|rxrefclk     FD1P3DX     D       rlols0_cnt_s[17]     9.946        4.170
    -rsl_inst.genblk2\.rlol1_cnt[15]      PCSD|rxrefclk     FD1P3DX     D       rlol1_cnt_s[15]      9.946        4.197
    -rsl_inst.genblk2\.rlol1_cnt[16]      PCSD|rxrefclk     FD1P3DX     D       rlol1_cnt_s[16]      9.946        4.197
    -rsl_inst.genblk2\.rlols0_cnt[15]     PCSD|rxrefclk     FD1P3DX     D       rlols0_cnt_s[15]     9.946        4.231
    -rsl_inst.genblk2\.rlols0_cnt[16]     PCSD|rxrefclk     FD1P3DX     D       rlols0_cnt_s[16]     9.946        4.231
    -rsl_inst.genblk2\.rlol1_cnt[13]      PCSD|rxrefclk     FD1P3DX     D       rlol1_cnt_s[13]      9.946        4.258
    -rsl_inst.genblk2\.rlol1_cnt[14]      PCSD|rxrefclk     FD1P3DX     D       rlol1_cnt_s[14]      9.946        4.258
    -rsl_inst.genblk2\.rlols0_cnt[13]     PCSD|rxrefclk     FD1P3DX     D       rlols0_cnt_s[13]     9.946        4.292
    -==================================================================================================================
    -
    -
    -
    -Worst Path Information
    -View Worst Path in Analyst
    -***********************
    -
    -
    -Path information for path number 1: 
    -      Requested Period:                      10.000
    -    - Setup time:                            0.054
    -    + Clock delay at ending point:           0.000 (ideal)
    -    = Required time:                         9.946
    -
    -    - Propagation time:                      5.809
    -    - Clock delay at starting point:         0.000 (ideal)
    -    = Slack (non-critical) :                 4.136
    -
    -    Number of logic level(s):                14
    -    Starting point:                          rsl_inst.genblk2\.rlol1_cnt[14] / Q
    -    Ending point:                            rsl_inst.genblk2\.rlol1_cnt[18] / D
    -    The start point is clocked by            PCSD|rxrefclk [rising] on pin CK
    -    The end   point is clocked by            PCSD|rxrefclk [rising] on pin CK
    -
    -Instance / Net                                         Pin      Pin               Arrival     No. of    
    -Name                                      Type         Name     Dir     Delay     Time        Fan Out(s)
    ---------------------------------------------------------------------------------------------------------
    -rsl_inst.genblk2\.rlol1_cnt[14]           FD1P3DX      Q        Out     0.907     0.907       -         
    -rlol1_cnt[14]                             Net          -        -       -         -           2         
    -rsl_inst.rlol1_cnt_tc_1_10                ORCALUT4     A        In      0.000     0.907       -         
    -rsl_inst.rlol1_cnt_tc_1_10                ORCALUT4     Z        Out     0.606     1.513       -         
    -rlol1_cnt_tc_1_10                         Net          -        -       -         -           1         
    -rsl_inst.rlol1_cnt_tc_1_14                ORCALUT4     D        In      0.000     1.513       -         
    -rsl_inst.rlol1_cnt_tc_1_14                ORCALUT4     Z        Out     0.606     2.119       -         
    -rlol1_cnt_tc_1_14                         Net          -        -       -         -           1         
    -rsl_inst.rlol1_cnt_tc_1                   ORCALUT4     D        In      0.000     2.119       -         
    -rsl_inst.rlol1_cnt_tc_1                   ORCALUT4     Z        Out     0.768     2.887       -         
    -rlol1_cnt_tc_1                            Net          -        -       -         -           6         
    -rsl_inst.genblk2\.rxs_rst_RNIS0OP         ORCALUT4     A        In      0.000     2.887       -         
    -rsl_inst.genblk2\.rxs_rst_RNIS0OP         ORCALUT4     Z        Out     0.837     3.724       -         
    -rlol1_cnt                                 Net          -        -       -         -           20        
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[0]      CCU2C        A1       In      0.000     3.724       -         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[0]      CCU2C        COUT     Out     0.900     4.624       -         
    -rlol1_cnt_cry[0]                          Net          -        -       -         -           1         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[1]      CCU2C        CIN      In      0.000     4.624       -         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[1]      CCU2C        COUT     Out     0.061     4.685       -         
    -rlol1_cnt_cry[2]                          Net          -        -       -         -           1         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[3]      CCU2C        CIN      In      0.000     4.685       -         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[3]      CCU2C        COUT     Out     0.061     4.746       -         
    -rlol1_cnt_cry[4]                          Net          -        -       -         -           1         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[5]      CCU2C        CIN      In      0.000     4.746       -         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[5]      CCU2C        COUT     Out     0.061     4.807       -         
    -rlol1_cnt_cry[6]                          Net          -        -       -         -           1         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[7]      CCU2C        CIN      In      0.000     4.807       -         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[7]      CCU2C        COUT     Out     0.061     4.868       -         
    -rlol1_cnt_cry[8]                          Net          -        -       -         -           1         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[9]      CCU2C        CIN      In      0.000     4.868       -         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[9]      CCU2C        COUT     Out     0.061     4.929       -         
    -rlol1_cnt_cry[10]                         Net          -        -       -         -           1         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[11]     CCU2C        CIN      In      0.000     4.929       -         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[11]     CCU2C        COUT     Out     0.061     4.990       -         
    -rlol1_cnt_cry[12]                         Net          -        -       -         -           1         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[13]     CCU2C        CIN      In      0.000     4.990       -         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[13]     CCU2C        COUT     Out     0.061     5.051       -         
    -rlol1_cnt_cry[14]                         Net          -        -       -         -           1         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[15]     CCU2C        CIN      In      0.000     5.051       -         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[15]     CCU2C        COUT     Out     0.061     5.112       -         
    -rlol1_cnt_cry[16]                         Net          -        -       -         -           1         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[17]     CCU2C        CIN      In      0.000     5.112       -         
    -rsl_inst.genblk2\.rlol1_cnt_cry_0[17]     CCU2C        S1       Out     0.698     5.809       -         
    -rlol1_cnt_s[18]                           Net          -        -       -         -           1         
    -rsl_inst.genblk2\.rlol1_cnt[18]           FD1P3DX      D        In      0.000     5.809       -         
    -========================================================================================================
    -
    -
    -
    -
    -====================================
    -Detailed Report for Clock: System
    -====================================
    -
    -
    -
    -Starting Points with Worst Slack
    -********************************
    -
    -              Starting                                                   Arrival           
    -Instance      Reference     Type     Pin                Net              Time        Slack 
    -              Clock                                                                        
    --------------------------------------------------------------------------------------------
    -DCU0_inst     System        DCUA     CH0_FFS_RLOL       rx_cdr_lol_s     0.000       9.946 
    -DCU0_inst     System        DCUA     CH0_FFS_RLOS       rx_los_low_s     0.000       9.946 
    -DCU0_inst     System        DCUA     CH0_FF_TX_PCLK     tx_pclk          0.000       10.000
    -===========================================================================================
    -
    -
    -Ending Points with Worst Slack
    -******************************
    -
    -                              Starting                                                       Required           
    -Instance                      Reference     Type        Pin                 Net              Time         Slack 
    -                              Clock                                                                             
    -----------------------------------------------------------------------------------------------------------------
    -rsl_inst.genblk2\.rlol_p1     System        FD1S3DX     D                   rx_cdr_lol_s     9.946        9.946 
    -rsl_inst.genblk2\.rlos_p1     System        FD1S3DX     D                   rx_los_low_s     9.946        9.946 
    -DCU0_inst                     System        DCUA        CH0_FF_EBRD_CLK     tx_pclk          10.000       10.000
    -DCU0_inst                     System        DCUA        CH0_FF_RXI_CLK      tx_pclk          10.000       10.000
    -================================================================================================================
    -
    -
    -
    -Worst Path Information
    -View Worst Path in Analyst
    -***********************
    -
    -
    -Path information for path number 1: 
    -      Requested Period:                      10.000
    -    - Setup time:                            0.054
    -    + Clock delay at ending point:           0.000 (ideal)
    -    = Required time:                         9.946
    -
    -    - Propagation time:                      0.000
    -    - Clock delay at starting point:         0.000 (ideal)
    -    - Estimated clock delay at start point:  -0.000
    -    = Slack (non-critical) :                 9.946
    -
    -    Number of logic level(s):                0
    -    Starting point:                          DCU0_inst / CH0_FFS_RLOL
    -    Ending point:                            rsl_inst.genblk2\.rlol_p1 / D
    -    The start point is clocked by            System [rising]
    -    The end   point is clocked by            PCSD|rxrefclk [rising] on pin CK
    -
    -Instance / Net                            Pin              Pin               Arrival     No. of    
    -Name                          Type        Name             Dir     Delay     Time        Fan Out(s)
    ----------------------------------------------------------------------------------------------------
    -DCU0_inst                     DCUA        CH0_FFS_RLOL     Out     0.000     0.000       -         
    -rx_cdr_lol_s                  Net         -                -       -         -           2         
    -rsl_inst.genblk2\.rlol_p1     FD1S3DX     D                In      0.000     0.000       -         
    -===================================================================================================
    -
    -
    -
    -##### END OF TIMING REPORT #####]
    -
    -Timing exceptions that could not be applied
    -None
    -
    -Finished final timing analysis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 147MB peak: 148MB)
    -
    -
    -Finished timing report (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 147MB peak: 148MB)
    -
    ----------------------------------------
    -Resource Usage Report
    -Part: lfe5um_25f-6
    -
    -Register bits: 92 of 24288 (0%)
    -PIC Latch:       0
    -I/O cells:       0
    -
    -
    -Details:
    -CCU2C:          37
    -DCUA:           1
    -FD1P3BX:        4
    -FD1P3DX:        42
    -FD1S3BX:        10
    -FD1S3DX:        36
    -GSR:            1
    -ORCALUT4:       63
    -PFUMX:          2
    -PUR:            1
    -VHI:            2
    -VLO:            2
    -Mapper successful!
    -
    -At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 32MB peak: 148MB)
    -
    -Process took 0h:00m:02s realtime, 0h:00m:02s cputime
    -# Tue Apr 30 12:09:51 2019
    -
    -###########################################################]
    -
    -
    diff --git a/gbe/cores/sgmii/PCSD/syn_results/syntmp/PCSD_toc.htm b/gbe/cores/sgmii/PCSD/syn_results/syntmp/PCSD_toc.htm deleted file mode 100644 index 9a28325..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/syntmp/PCSD_toc.htm +++ /dev/null @@ -1,55 +0,0 @@ - - - - - - - - - - - - - - \ No newline at end of file diff --git a/gbe/cores/sgmii/PCSD/syn_results/syntmp/run_option.xml b/gbe/cores/sgmii/PCSD/syn_results/syntmp/run_option.xml deleted file mode 100644 index b616b1f..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/syntmp/run_option.xml +++ /dev/null @@ -1,24 +0,0 @@ - - - - - - - - - - - - - - - - - diff --git a/gbe/cores/sgmii/PCSD/syn_results/syntmp/statusReport.html b/gbe/cores/sgmii/PCSD/syn_results/syntmp/statusReport.html deleted file mode 100644 index b48fd55..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/syntmp/statusReport.html +++ /dev/null @@ -1,114 +0,0 @@ - - - Project Status Summary Page - - - - - - -
    - - - - - - - - - - -
    Project Settings
    Project Name PCSD Device Name syn_results: Lattice ECP5UM : LFE5UM_25F
    Implementation Name syn_results Top Module PCSD
    Pipelining 0 Retiming 0
    Resource Sharing 1 Fanout Guide 50
    Disable I/O Insertion 1 Disable Sequential Optimizations 0
    Clock Conversion 1 FSM Compiler 1

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Run Status
    Job NameStatusCPU TimeReal TimeMemoryDate/Time
    (compiler)Complete10500-00m:02s-4/30/19
    12:09 PM
    (premap)Complete2200m:00s0m:00s143MB4/30/19
    12:09 PM
    (fpga_mapper)Complete11300m:02s0m:02s148MB4/30/19
    12:09 PM
    Multi-srs GeneratorComplete4/30/19
    12:09 PM
    -
    - - - - - - - - - - - - - - - - -
    Area Summary
    Register bits 92I/O cells 0
    Block RAMs -(v_ram) 0DSPs -(dsp_used) 0
    ORCA LUTs -(total_luts) 63

    - - - - - - - - - - -
    Timing Summary
    Clock NameReq FreqEst FreqSlack
    PCSD|pll_refclki100.0 MHz168.9 MHz4.079
    PCSD|rxrefclk100.0 MHz170.5 MHz4.136
    System100.0 MHz18518.5 MHz9.946
    -
    - - - - - - -
    Optimizations Summary
    Combined Clock Conversion 2 / 0

    -
    -
    - \ No newline at end of file diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/.cckTransfer b/gbe/cores/sgmii/PCSD/syn_results/synwork/.cckTransfer deleted file mode 100644 index 1106516a728bba57445e931c86cd822e9e0a3dda..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 457 zcmV;)0XF_0iwFP!0000015#C0RVXORFG)=2L*O z9v+2<{FA`hI0jmdB4H+Qmw|4bJ)6uuF#qJ=DF=uy;av6S>5M z4zY8HP9@#@r67~dOd3g|ArFk4Wzfi!$wS_|!_~XS5<*$>b3`ZR8C&q=!h|75HyIJ6 z2Qh;(W*1?h;7x_p#98L6uU}S`3gJNL`+EOC_fxf3m~LG{m?X`_7H?b!MRufJ zBA+qHwpT5lnrl@-Uou&72C)MszLY|*?TF>+d3?rku{@1!b*--d?G%)qf4Kwup&A>- z=Ra=`&F@Md+VV$Ps%4qco3K{RYs5=7)3a3^9;z?ZUjP6A|NjF3L@?kstpWf5oafvx diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_comp.fdep b/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_comp.fdep deleted file mode 100644 index 48777ad..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_comp.fdep +++ /dev/null @@ -1,35 +0,0 @@ -#OPTIONS:"|-mixedhdl|-top|PCSD|-layerid|0|-orig_srs|/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/PCSD_comp.srs|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_vhdl":1542167766 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/location.map":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/snps_haps_pkg.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std1164.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/numeric.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/umr_capim.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/arith.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/unsigned.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/hyperents.vhd":1542167610 -#CUR:"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":1556618983 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":1542167599 -#OPTIONS:"|-mixedhdl|-modhint|/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/_verilog_hintfile|-top|work.PCSDrsl_core|-mpparams|/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/_mh_params|-layerid|1|-orig_srs|/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/PCSD_comp.srs|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-I|/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/|-I|/home/soft/lattice/diamond/3.10_x64/synpbase/lib|-v2001|-devicelib|/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v|-devicelib|/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v|-encrypt|-pro|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_ver":1542167761 -#CUR:"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/_verilog_hintfile":1556618984 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v":1542167595 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v":1542167597 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v":1542167630 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v":1542167630 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v":1542167630 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh":1542167630 -#CUR:"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":1556618983 -0 "/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd" vhdl -1 "/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v" verilog -#Dependency Lists(Uses List) -0 1 -1 -1 -#Dependency Lists(Users Of) -0 -1 -1 0 -#Design Unit to File Association -module work PCSDrsl_core 1 -module work pcsd 0 -arch work pcsd v1 0 diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_comp.linkerlog b/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_comp.linkerlog deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_comp.srs b/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_comp.srs deleted file mode 100644 index c237416d55410a761feff44678e2508db52d7c28..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 31689 zcmZ^~XIN9u^9Kr|@D(W{N-ruRD!q3iq7-Qg(wp?&dkKh0Z-Uah^xg>_>7CG9fKWpT zp#?}nNOJxC@2mUbo^zgOpP8N67qhcFXFoH`9{V5B|2-s4TI#N=Zy$CcxfP!l>E7Xg zDRwt6#*Vt}#peRsrWP`p_%27pRE+*u;wg_khv?@-S;SHnYNK=I(2KmQG42V$pk6Mw zY;eX(Bs6e0Ri=NCMwqFNl#19KBHe26ww;GA>-o5Oo3i-#4eAot^5<-SZ~2@?WeT$7 z8O!$cyD4qI@#t33zFt`gcJp#!kVD8Rw69np(T~fWPRRga(%PJEb|XFOp&+hqyU2WX z%64b@Kv(Wr3swiCa4Jg2m!D&w+E@Dis9Gm-f(k3eXi!^Jv*y45&s6zlGiW-3BbWKE z;u40tsiCAq8PS{jw&xy)bK&{+!STaFIpe4-l!ei`L-_QE3`U8^uHI$Op%hX^bJ1^b z0S#0R_oqKI*5pJPdR+*T5D!I$C_e4|kQq}9NOCNDLCopiSvcCivvsl+Y!+t*bJybc zY{B`7tl-e5aXOaLUp^}}XBsel5k1tn^GRH#T|%x_XwQ1P_`0k}N{0RF{OZJRmrTWO z=lNu#b-Q8h(u!GCyH8QP6fL)p^$GXj^40UOk23h*W@Wc+99oGTxFzmF1$M)0_mKJ% z6&}h1x@cdynB7X9fR46FLZtGI{O&l&?B8S(2*<$Bo`q7g2S}LSr|U1g^C!ulKFg2! zOAckQxGoGZmi4Q_f>N|-M$!d(Nm7<;u4;SM-}OEEk69Cl+5k%Mr^;(pE;uwB9=QnK zJj(3}?#x-wP3&1S&gO^F)1&knkr>4G64=K|Z;%LC+^ z#q;w$W5w-D-3nzvf5hG%k6SZL0TK^~&yFH^YF3cA$zjYw_C}l%{Z>!S=mC#$(0%$e zO;`FxX{qiEvs-SKq{f-6=eLhcH^3(wd~!0A7nNsHGFYK@Spr%rHwT&<($?ChZ#)4$ zwbiRd4k9q?I+ca&I%-A<6NWJgE<){SPW=7N98c59es^Gb@@Y%N%_ zr`5oC0*2a;t;E0=5bKgE{KzLbS&W-pw~-8x2}-062$3S7caCna@bP@6d9XK#WCGP{ z7x4pQ)1cq}Q#jYHw)4ae%<=wuid0P{A;ul&=Z)V&*o!eko2_CnA-2Z!jmXMD$gN8- z9=VOU-Ja``mJ8`3B8T$WxbAC$Pz2!)w7*=iY#w+i_cUAD5vqMihYKl%OqL?qeNm0E zSmerFC03yrazP*jq1}T$solVNHmH-m#3k@|AAe>24*Im6!R?bHXRjx)J89>8+1F$Bwnp>aS z4wC7R%nP}pxRedSo`1|cp+|yi(-S$5B@;n!j4#`@_gK_BX+x=VbsonUHZl58xt}cv+dmzD+ z4~aO^!(3x-c!Vp|{Rk*Sn`4=t8#l@Bj)FY8OT23yJ{XJjX29tUP(GaM zFg8dX&lZfQwwl7rg5<66KJ<7SelDv=)loT1_URGADEwh0is@#nhDm!f1)DVpbvZ1B zhVILeoDvfH-WUsHTr)=Ce9o@}gbP0%!A`tI9(0XCx7ILmO+*=f+H+ft&nx)>B=kL# zjVpJUnEX573Un{?hNWU|v< zIX=?&$eBDW!c--;HY;t6oL%DchbU^?7q({%q{0VYkwFLw>#GSJO{JcvX4T zJl*0g>u2$$p_=1q6K8g$0;RzZ(;)N?GZq{hl6X+ujrC{7J$!~u?w0M=4qs`oym+qb z-;f4EPXtOtKumEfd?2K#cUAm-Zpz$O?dj)tA6_Qui@efrV;P&N)zMbE9Y%rWZ9Z|g z+(w=WJ{4)qjYo$XdYD$h26J9n^Wx!N3sPpadb zm{KW9QDgZAit%IVsgx{5S%f=4j<`$Qv;J!Z+1z&?X)@z!?Np-ojK9zzp*4t zuA7NlX_IIo8oQzKui->zx^4!VJvs$DUyTG^9Swm-(%rOjOp=o&ayVEOH<|fc69V() zMtL?A%G;bL<-*L|E4HVW}-OfBxM@ZO?WhQ9Q+!#PAW=>Gn;8c#Gg<#iL*ht!r8$eFS_hkw+2&$JR)x2AvCH!% zb-#7QR3UTEveQ?GA5#w&p`Tsht}*KOu2`}k2oPjLRAU_)iNC6mmVrI+O(}rt;r>$7 z^E=LfKzZ54#W1qu0hFoTCZJKM8>I%!7uwf>GajM8>k;ZGe!7FzhLRQ=N2uegQbmW@ z)m(@t{4DiFn%I^Dxy8iZCgx{H|gj>{Qn4nbf|RG{J@aA73K7$e4cG z&Q2d!HebK{ee>71?AMv3@l1O4)nt%RMS8WRg~k)z!zdqBhbIZzplBrfqRu{}P1Vmq zssnC;kU=TpfP|gMA$AHE%-aX-aD06&HeOCj(RiHnGt~cOymEse(%@ zsYWb*|NbA@QnKM<^TxTyIY-Fw-lEusmLD>zoe8eA{xa%>mp3E(N3*kP`{_@`SAZW* zefV*(0E{ha&f8exPc?dlgsCQ0fA*d}zJu249e?lbH>uO82N#rMNwADEa1_bdtDhgL z!1ec3>zYGT%BM)G3-OxQ1o;T2L3S@kr<@2ga~Pgjb(k)R{uZrdKkZz9FCl6tUT3Ze z$9G)^W?pnPPBULezKyJ?BGX^8C|snGaa5=z+l3g~#6}cfE&bl$o>RyiWYf^+(ryPldA!(uchGiUb{?Ez zCLxfLX*)q?y-#y>MwCiYI`a1LOkuoG@r*3opErWRD=HlNQQU^aRzQ&P@dL*dUsR|WRu)fsmBsvrHF%aTqC6*?_L&7tjUBu?l+DfGA z1Bi}vv`<&K?+`TX^)vbF}g%S{3twb1xPFK(mgDtpJr;XzaRT{)xLA2K1D)aA9x4?@Qm zV;X%!ZCk=|(}9!Vd?PE3?7H(S6?ofdq9NuA`FPKl6y8^OkGA^EA;&Vax6|K?XJ>}H zb;<}46XOEhNi-ZneARV&PzGO;(n*8d)DYk^BH5=Ni8$#1O4gf(2BYMzDyovG>!J&Eh`us2T6Fb`wSLbD)engy zYMF1k7`&O5*}?m<0)E4jh1MrRC3T13LO;|+|E|QyU(QW9gGR zoBAq02ICs(Wu4laJ^!`6LTw(~E%>b<&s+x;^Yi4Eatd)QNkkV7-v`8_*CF$SB}FIK z3RKAkHq+^AP1puVI9cMX|0++N89rbZD+8cfG;aWhRlVeoDqR@4UjxYFT{dv;^uq}R zXNJ=koV4p6rWnW+k?= zewVD^j(6a*ueJCm*Dk53N3*Em&Cj>KB^|FKOel~1^U3^+o0mYX>V@xf*sngBqrSo@ zIFNUw;@w?MoT}sfQ1McXc0cR5Dl=`DDFY|j7#`OS@%0k+c{_$OkDb}BGL)vX_sCjt zJ9}qN9hiSBFD%G)>8W#;Qa8v^+5cGPAu84DNP_g>Z{07;cFE(O(ksRXuaf0Iqqf$| z;+#V0oTq(1R#r*Y!ranL6qW^f`7LDuJpM7|baYs(Xv0$7-POJ)e}L3dWO2{q<(D)Rl}R>oh9-mcZ(L%$Un z&HXy<j@L!rwai*7AXhm67s8B1W8VKHKrS*n42A z6V3aU4?h!F`*jfvEn4C!@RFSNXhZSO2->NVfO7B$-@586aW8FWQwiB{-l99_Zw>$7 z;I@Zh%_9AbV4Fy=C)UXA0qLN@pN8qL$qR487lYj_cl+7jxiCHjRH-{F zMU{u|yU?XE{?#-P_7&2UqUV=&Frt3CT!q8CsYRT=6>1VT?1=Bf2EJ^7a0kJsHEn zcuEp*qh+lFng(6$4P)oF#iv@NHA?wNRyw9Nwwh3B0y7(5*$lfqW`55omln@j=ylNO zL?T#5h;-^l_v;$C}&&zy%18mkeay``=`8C9g%frO^8RS zi+twx$B(Lg`+{d$G6q<)RI2L#*F+CaouGu|1L#_6@8F)c=KSYu2DfF9*62x}aYabv zYyUWHX0^ioycnmTLugeQgQF%D3vb(%Z#OUUFR}qqW9P(^VRrm+Q+npQ=D$U1QyXy{xleA6tO(9< zI1oaKr$4Q3jTzq`N|=#(5ct9Je^!fBqt2k~%cZ4<@HA~O<{B-}blU3ngX{A+<7=MD zs$xEK10G>k9uHC4^cQYDHXl9yGNJo*Fp)HB>CxesGXn%rp-5dB!@ByVQnAzlBJ$?X zs;o!6I?1Bu;K?&5+9+C(y-+sfpVKu^k|2bgPRhh6R;ca-X?Y(%wE+Iin+Xn8q}sCl z4^dP7X!A!M8-vjz8TjkPp20!ghNp6U!WnPVS8zg|z@Q}eF*#LK<-S(E<0~=h<+}s* zmz@|-*QK(>7ANG>Ev#aT<8Aq>%wmJdj^+YvW>-BYpL}|08Ef31!}RUW0eWy`ykZ$t zLkWv5UsmpP`+_zwj^_zmQMwxp|EsAO5@Bjg1lOgL-m%*f8SA_wc9!xC6fJ_DGeb4E zZT$QPwfT0eUT%do!Qo2T_rL}0sO>PzSv|ew@>R@fUCGWee4~Huu!$3?+Cg=6XPR`1 znx7(9+8dO*~y&=0m zU40Ju=KZN4meA&?#5!og8Xy0>I4Ix3;dp`Mz_1!M zN2JDNv-_JclrdY^T{0wTAKg5y}16cGHJ@vh@ud%Y1SG06L5@b@mDNM5Z_ARX7*w%W{^z9Ryu&KZJa{5v*BO?)$X1hTd@9Tlx5d_XUMlWW^fMs5ziuhj_)e zR>?;kYeU%`d6BX4{CXMD;@us^gq{orB$PiP&C-DGb;+F&djXwtIJ~@xPmCm2z5H>Z zhOPZ42PqA;Hkw_l)a$3)JlJnXi(W;{cFq%}UzTc)JuBd+1wmT`o~ghlI41P=8k3|U zt^oba#B68?!nrAFfC#r$j0!q#83Xb=p#(Moae$8U(ir^p1&sKg3+0u zLS{$y6FY7#5dJ6eHniQZrK*y9s>mA~hL`z+zJwpKO>tc@wo{q0DdeXx&Pq1>P)ek0 z{%#C0!S!Q!1N%mfF7D?t@4RIQJw5pfp5_YNWuRPViV}+^5_#?LBDl4YYA2a(kW)4y zR`x%7tOVcgE52O@KFm|&i^A6Yc-2Pmr=c=Ux~83ogt(c{!SdL38sk~Z*5xp_`ox`g z3EQ*W9FTKUrJ;j|d=s#WU(IXRciLnf=|KBOH{al_SK>e+)q%moU7ZlN&rUOu);TW$ zaxdT(T~&_5BuB%QcZ=6S7X85othK2orj=#^se6Fsd#e>*UPix$=<~DEQ2U~ni?!4^ z|DtQ1>7#n@s*;w3GvDcK%e@2SH#oV;!kcFM_dL7W((+5e zU6=+!o(q@@bH~R`(QGsfw~l@4a0M&0e8SGgebO;@5;nb%cKfQ?+X$cD?!9Zr*z36_ z{)MZQ8aAwS^zQ@VbiCUipaSn=&P}`0ZW_rjcjEgdD!$8* z?Vn?vrJj78i7(i;tunD~GrKsDSL)c5Jeld;l{OK`(G%&JA31k9Vq>*)RDO*%f(n*IbQ35w1a9&cdGEm^CGnEUuFadt}84U!Ir-Zx8w; z!*e{b8+aWp*7!$hKl$|8Y<40*j`VbX_JAXQiY}`*KT@BcHE3X62_Y4#RBC3g2Og4o z-kooW{H00}_bOaOmq33w%p!VPj=pTZq8J-|{jR&8d(|FzRjNlC*vg~0YHfzHNGZ37x=~B1MEddW)>|`Hg z>~{>33?Zl2tHMziu$>A5fy{}-kXXqLj!2O{Y>NwCtS8H)YqB4kgijDCa`zJ>IA1_! zO<$f2B)l9QFnJUrYL2cAW3oMlt}ty*^@|6%hgteW?p#T{>$(wSuy(vR_2X<_j5+f^ zDPvnlhCO-HWi)Z?c8ONj4=lu78MNLaK+%p|<3Y&{BHzDkhIYTrZdvj? zAPnw7CYif(_=6jy``vOa0~ya?x1ckSwNU-KmE%;wbWADQ_DrteY?PUoS_~WltlpKLEBrgKs+cW8C?{qGkkh(?#q!6sGf(DryskE2%eTaR+5aC#%{w zO-*`Nt(q4_(W5lJKTT{+Z94@@qQ)l{{b*-C!b@El*DL>jfD zk3#f8tw=6+GD*qLRm?!dxmBRTrOEwqdUJ7sv1-B}X1%T9BWB3O&4)kzZ$Qpz#pSLV zt+`gtgTNPmrdDEo*dB)Vmj&6w-sM)k{Pm(%Tip4Ws4i&KH}kH9SXZkHi0+;k{I9p3 zce|mOTpy*e2Lqqv-K8eDhzDc0I~f$s&|OdG^0@x@-%XlwV_BOb`_ja2yYc&PJwwm! z{9LTVlq1V0{lBAUer!n;lXfI)Zt~;?eifEZvR0El){6x@WeMay{WWjx$7Cc`oVv8h zoBPjr)yDnx4-u8Oif1+N)tsTZB0eu4mc?s|{da$C*PnXoz!LDsU%~dfSIfGTy8598 zGk;o8PnTmRYJ1H6r@b0O=Fe4H-{(6KzJ>QY<;$)|Ekj9??BU7Lv4=5gYQIPChzAo4 zwfBh#LM&%&pQB4&mfF9Vn|GO;ms8X>Dz+c6Z~tQFP$|+(Jv)Cg{ab)h@xQa`AC9X- zqOuW=6`MzQ{svSj$JUg`1qS@CDOc0WLRS1k31){xB_kYXHyu1pn>#u)%e>U7W<@_A zj?JhYQ`NQyntCT9D=N<_CjA#&kQLlJ2)5$#%IV+#Q$4CspIZ>jtof3um|gOw_Fr2= zHS4ud@OodzXiujxzS5sUPrEk-S+TySbI%v~%0xY*=Jo!}nImEB!NPYK_Mp9La_E7-T8XoARQt=M{-C$7J`Yh0cn`qd zNvQz;Y^qshk3P&!Y3AMv%39`Sf(XSUo{6+PY4uUxdEq>xZ_snIl`PkKAM&93(UR|z zAZ0<$a{;2hRixf$f8 z&P)U9UHO1fzL09x4!6O7oOz-bc}*?4mO@V763C;T_NJTro*A#P$A5fof~qEZgG*n| z7HZ_*beE7X#UIUoxiTP4V~J3u>J|MZ6|eRLs@y9smEc;`XR^4H`Vre`XsSq#mxbF1 z^Kr2%Y{Gz3=nTN7je$Kg%b!DN4%*JH**(Z>G3A``G5Z zEGiu%bH>rj!`C*F%}-jnzeOH;%=V@Aat?3)Gn7xYQq@{28_nwbLDYd^X?Ide$2J zb68?q%IgapCb^?&?rPIwB}m#gWQ;jQJ4bCalkKYjd#Hd)fz4yupAF%^KUb5`ItN<$ z1@7tx8jD8^0vv{3m2EUW>X9WjUW=o>Ii9Py{3efUYz?Ur@X4QeldU&2l9+WKlb#^2 z|7OYw;&!gVa_spaQUTZ>$nqv}z0`6brt^F8x4B14-tt&y$>PB#eZ2z0tiLY;mECs7 zq~&kNJ>FOc*{cOly&tCy!NvLiiQhKmq{9ECcYg^k^Y<;&q?F?zd?;Xlv-khU+Hv65z zxS8bI*W+DIJ7>3bO-z#U5~=ebs&R3K=M4Fds%%-slXLGcNp{a${%e`v_F-r{$yk7r zg)Wsfy@0%^5&fJ~qZaDA&jxf-=#GSa0_=JSRjX-KMnPEW3$9JXmI3*OJE#C>*~^-9 z4@rZXhVG{;%QDqqDXUI2iuXbnd;Sc=o2I=7f0P>iqdCPR$7oKsqZa7wJ?6doQLl=3 zO(rUMi}fAz^O=XR_WdQ)@tL5^i*bYF7?;j(p!E_H&)2XPEz`^RVI>yUMmp1rY!gLV z3uN2x*k>jOLR@N_x)d7&bSy^eKpGQP&cx1w%xU_*csFZ$DTj^S5sjsqHT9qds#+2Aa}y^ zmJdV~r*M-TS1AOZShEgf78W0D(?2}L!3t>Or(R&k!sI;5To0%d@fTvp)?Z}TCOAq8 zGbI1xetuK%o4(zTIZ*-k>vZ53ir(KsV|q2h(zZXF7OwkYoWdTq8Gp|lwf-!Fnw%|x zS25s~%$>9I|Hul8V9{Eo@~Rmz)@rL>I+X^vG@K874hx^o_lp%NItZcG+p1 z7Su%Ay|!lHT)yZ2zLf4z%w{gN{lRw+`QLb>Wx^-q$@Hzm8KY#q6-Z>I?U^bj%Uqepx*{OHM=mOm9tB=nX-Zps zm3LP28(ywvyWIe6R?)R^eKWST{`msA(v)ZRjmsb|PK|7Nc}vRj`y%APNkC)!M0OAJ z5V*8RvN6Mjl;+8xQ7uN&F^Wl&X0d#m1XHSivUdXHy@F!j8E^wohe8dVS}^Jp0WC$> zK7@b#?N&pxe|N$ayo1H4XiVFMTih8k&L!e@TU)QEW6CB*@ZJyf+LbiJ5qazwZ&`Mj zKG0G=EPAal4N$#Znpr$AJH2Pd{YX#9MBb@LM*ZcVI99!aOEY*aOq;8$JK(&mfs>hU zBK$|`NyBSeU3(;<{V3O{fRLUaZc!*`&I|hY57+X(J9j4r-OWTOjb4YUI2@#`FG{I5w=v=gx=rhy!tAWp7yI}EPV~AI+6X( zJE`m62UBI0&#MBdx!3z=(c}N=+drVob+vTR(0x>8MO_)W^iX&9`#p|Pd)JS3YqC5b z_Ebm#aKPRSX+X!|SE@Xzq}VbyD*eM6Er0z9Vc29wzM=ecm<1rsN+{o_SQ-%tfR+~;BH`3K;SNsm8(s- z*ZFkrKf1RsdnSsKa2gD@an#$j38{(_E*fW|E;A#$t?x~R3Q`CNN7#U0>AHC74HJB- zjhLMP2aU-CF1yrM-*<4R&S|pSqWxtHSq^ljV*beG*obU8cn>kwGDUHgE|)|UMCEI# z6CAo{S0)EPh;iP@frYy^Uj>U-TPJti@qeCT2~DZFLdW;CkZYE95a(pIlsa025BVH1 zyNXZq9`a2;@D8k9w6;WSg!acmB$5r~+ODluj?HFXr!cKNZY`$>Yo0bg=VK$G#*Z)G zzq!T3aZfk)ZN5^(Hu8}a%Yss+`BtC&a9Mr>J!Z9%sUZOd5gq-F$}pdy=;0-v^H|?z z)G>1VqHIjhEg%~9JfCvS#_y=cJjf|1R(rPi%OW< z%>H!h2-(~e09UZG@T*y~A6tcA#WCkkrSHl#d;+D(0+T%uM~Zvt=vEDW9eSD>2B(EbU3uFN+<9@CRqb z(Q$x(9=l$#L8?&8RTLVEom{{B8!7r{#^fZ;lFDtmh9kW0?`-YMM>u|T%kjpI8%#v8 zzw&%m?OdFmsh=lurD6tLiDclN(vo?^eMMT1x8myiJ@9Z7}X9sg@06^u?tNraO6YG%vLtBrV>dYGxf~jdp@yMUD6856F zU>j{SaOo$g(}L|~7$r@i+5G(JP4C4`QXk;6I^fd)BQ8}PXKjWn{(osj&nbZ924!4% zLL!Y%E{y@{`yfPu+nBlBsPc$5VvYRmBrDAwG~177 zv13Dcb2vwDUX=WU58`OZ?E>(c{)3uf{bH6 zocAFcd|3uHl&1n!R{})sSblT>lt-oak@6p@)`uTsK2_zS`LI9hMeZ9Z{o%9^TF4A! zhM*+aE^4K%dE7%DE$mOIj-F~XsU$S31Q)1lL4r7ZO1f6DePT^1xzvMiVopI6*<51m zdJH-%0Nm&3E}0PptHdP%wr$(ZB;G+G{U&2>PtSFLre8MC$e&Qef*{pDwuA^GX$O&{ z4XLzbe{K{F{B%Rleqt767}yNev*H${`ADE@QPOa(O-PA4wgZ=@vSc~1#;Z3UEzesD zO)0L>EtEfIp4h}sOWR$LDODL`{R*zqR2 zil4{RFW2xUWXoIy35>uoJs*hN~$acm3IBIpOWxkz|P7wc}s$_`q{ zZ%aLEOKsZEe2qeWQv1!h?U4v>{wMN2!_g*i;)GZvDol~Pek$d%9#yg)4uMyx8qtNjh;q*cr4YNDeJUqvoaS@UZY_sI z7RSWwRkk4$MTa#`SIu=Y)=tm6t45TtwBpSypp1=)nE^-5XaQDvnT4qcB;uYqBd5`r zBwX^`HsOem!564DRdsfpw;ZIQe4aI})HpX>qh7qAF6-zWawK7uBQBFD4mlEq_HVi+V5BRn>ebMd~V9f(dB;P+s=UXuqET3yS z%nOI!(E8Eq9A9n+pCt|fD&F?1Mi4rtc#Us>XbTdGpBS$hKcIIkt?834=+^8&_+G zfA=tkAPUq^8Amskd6czj zZSQzz8=M$+-0vej*y>tX#}iwtLsi8I`P#nz{p=fPFtqip$t~79*qLU%Ji5NkMD1!LRsPB8y4GxL!S*mR^7~TFso5u zh@rr{$qq|kq?WaeP$zNlTh%zp>bWPn-Pq4D(JyWSrnonFV>}Dmku$myJ3azrGz3B9pDN=Pqi; zbIw-GiNYnVKGTvWvv|Wxr!5(0yWIWQe~C`;El=(YRTcqQ@5+++ti_!)Z)N(-%y2kc zC-rfpJ!@Ql(|Z<}d+uhi?D)%;h2(AFYK8NRML9X7U5MWVTx+1yP;0HkTf}9~!aa** zC~~lmFGXKpHDXdJ4;CiV#2dsK8?|Zd2upHaE%o-Qgdn>=MRHtr3a>s}pTxF@~(-fs( zILz}n|LLz*0AJWX^$~5)Qb087AJiao?Yu(CgH<-Vu4~bEI!VU7S{A}-g$K*lS5o3g zCuinsu4Y6AUEPkczeOatlx}`g9BY38=Xs6pQ=k5-ye#KBX+HcVU8dQri4rpLFJCLw z2}2$!=joDQn=-5P9WEqHH@LJ9|E1jI59jhRnTx3Ww@1}4z4qw^hkl!{3MU4Alii*0 zW$dl1^A@Szk;-SQapplp$G#fjW0QcX_JZ$6I(se~LU{XHB6~H^EWKG(0(6DSzC4Og zv=Pg6^U(P19XZ|mW2SxD5bLWx3G+kms>Fp}_rHO;iHVk4!|FW09`BH>NVEsBTy-Wk zc|^up#?W;9eCd75zi8xc=y)orXjn};+fG-n^4%9~Df??~RYn>9OirwIs?EFodG;br zX|o(()Ql9Yrb|&61R)rY*i9EN&4FrlDpltHH_F6?WuhcZ1!*X;J|mgtbcO`ac#8H zzN1!me#_UUMei*@Z!W(71cq>Lx{Eqv27C6N5ZyvZ6DBt|bsqC{2P3A1cs|z3br{gw zTAKxRHS&%B(VN0|%3%j~U})5doQd4z@B@!0rXf;T#u(S}`sEwVtsueR_IHx>_}kss z(%C=-6Rr!btf~kE}sqBs%Mf_&+6u~ zm#r=mV}mZ}@aaG>QU$%U?R-sVdfS>F?z#l9vF(u!@9BM+l`@G4QFH0$8G_oHvuRxL z?+i{l(FC{M9~QnQsN5v5NZI~*izYLuNAe~Ba2opS+yptNZ65gPhXuhXEA>#aJSnK~ zgdSl!Ctf(aC+LQTN>ZF2$~qt>C`N=G>dA|=-9%4zEbOxTYil+TAFQr0W<>69b)B9u z{}eI(rQkKQV)Al&HSuhY-knWsK<@EL_Ak3v$8P>;;Kgg|5VYC5m58Ir4<*g~RES@7 zC4O z&y3W9C0Mg(y19lFmY-^Fat_j+tsiZ#NgAEjhf^RTy@DZIr3(8Kr7c_4vNzdlrlAe2JtklPyAy*DftCvGSg)b2xt zA-(X7EDZXCto|Vz)Xy)A`6KH$escT+wBUj^{c;6KfjyxK^kKg~p7d>+Z~WE^e1yfG zDn|Om_cX4(1aL`|Q?4y>UnEC$1+Ji>(VpLkJ0a)*ps$pK@9j6ut>SAo(=g;iK>x3s zXNXhjTd%)h_^#NGMBNU}i?(lT3AZPAgX6JajR-7fu8@sIA~cg-CRk4Igj@0n-(h&= z&{;0|(n)ybz!=q%e-T#b(k%r&fBB_Vh2NNy&kMbw(9ZRaS}%IoOEbLVD7-`K#75#t z&B}wN-&>jg(kTI3iep`OOZ!Z&`*bXh(|QE>FIrfVu5YyBAe+Fr$HIzD62EkVo)T)% z`J&3JbKF8L{E9$y2Bdom^(Dpo!qn^!c+`^`bt&7VVRiGO=dB|sa?vGn@r3`MS17zVXWzBRFEZmdmLyM z2_b?swd@6Q`>pMlJLXpxHoqLYure$?GKjh`E!GTpR4p24Ol;IOJT2v($i04EcH$l# z^fKR{Eg>*4QB^7shsz1-N40MA^;c8*c)pwtma~_^tjC&|MjQEBo#biuvSJ}6ZJkT5 z>o{?z+NskOo$I6Z4qvi4`D282H|^Ivfxa;|_VvHs=+)Nu|9Zz8V#l?LN;e-R;|#|z0`x)RZaAk~O2{od=_g1~&36wW z4lhD|n6LF;PKZ2w)(2Ia{VW|EUOMy2e(sgMoyY_pf+qE`+l`TEg8-7Hd3b!OPS{m-uB(q<8|r+<0$ncsbOf=r2!OFW<( zt*go^ET9Hc?Iyfu8_)IoU0}Jo`J$FYi$~>Ev-CmdyYix2aK=~7Bc5=uVGjJ(aNi2`XIFrwvQg9TAbOlnGO zr)7U<2UV#MwNawKuJyF4n34#2KMJddXHp4yah4VRf+_!K%Rj?{|M+o*mHnR+CRti? zN8F>CSoAIkzuZN1zU8J?voSRZPO~^K1ZcMH!Fn z!TTw{pz-L5&OlSUr&$T69b40nTXjkD`?Z)A?`j;+Dm=&OzcAP)M4|XKJJPHCDNoIG zFY5eh4H`7JU&J?xyqC`%+g*#pKiQBeeT?22uI|okBbDnn01ES*LxHswz zjkkKK=i&`APYxl}_26w0b@ILkW-el*!Tmeml^+c~4}uKb;}?5()4di`*Sez_+(O$2 z{m8cQq+uCt^lUJP!e3VgQN-U;@Y6T8_`C3^81_AwGq>SO_Qv(-x*XMg@NAa^0y<#Q zsTsAaa{NpGIHvR`7LdHI4NKcLcxZN`DG;}e0Z%_I2z@N0a`)|PEy5@?9MFz32s*QV z9z&^lv=#Uo$iUpA?G~vi4ZzH7Z`wxce|#o+u7qoQI;!|m<;^u-gW`AYZl&?oSrku|q_H-1p} zx?wWdZFOwwP3-;qAv023+kBH$-94kbSp=??UVYW(#W{8Z^3`MJTD#CX*YHG32Cx-;i(sy2&po0 zm?W9F#TFb7+lct|+CBXr#NzA2yW!_K$3wk@ObI|b{Qe=H$f^PJ4H~LJhnLgw@<*RL zSAcqCm)lKx?U6*okG7BykP~(jX@z}3*7jGhh~<1&evaKrlKWf4+mgOgi(%+?yYYg5 z@ztqAp3Q)!x$NM$@I}%wf4L@H3E!@cyJLND{?6a9=VnOS`oVQjxW-WQ<33yWXB)RB zo!j{<_NKnUcg}I30VoY%qpLSdC!VmhnzXQP^ya^02YPJ5wd^O;Su2z^$H?o{z@+1y zU9(##{$~&1;U)wIwW`|eHcIm1O8ycY?K}Q+X#=_SbAPxeRet4e2(Q5qo{7*r)xfdd zxIBJgqnXxm^}X>Fnq@gq@#Z_hcKB6Af>ySVUD!g%(g2x+5BLAm#d!ub;Y4d+L_v@$ z(wmBig3?1TB7eYw6qVjZKnYcPNkCDGln8?K-h1y5dM}|90wmOg9%@1o04wR7%3-;+DZ_UBJDi-z zlBj5orRIzjBQ3a3QuUHTUd~x*EAW`5P)@w0<{8LZw1hY}j7@Uyy@sW>i}^1U37Fru+q$dlShod**q_Zu+XTJ)3=$gv&T!i9xbXX*+vy_aO}O(1+vJL zV)rhnbl=K<(bM62CbX*a$Sj;T9R9oTFWyGW_7L62a#8v+tRuJ5Oy|W9-a5W-LAswF z3T4Exh+#Kw5cf46OZ-Cw#N1!wxW2W8wYagpC9&V?d&8og(wuz5R+d#ZbR?#d#AK&a zMspZ6eUv#n7{oTC&#L)#eFn6Oeze?3;hWY{nHgE!{F)6Qmsm_XToh>$yRq?hxp8}| zknURK<6F9%&WN*c@T0?k+zVLWa{Qyh{3TE5@Ym@fiYWaYp7?kT{Z1xVRQ!AlTW*8A zF?r0lJ!+e&&hu6d&-TiUsyOVwt3&nUgkI`6L3f-1*%{9QXqDS!+y-tJe*HU2~ z%nt$PSusGAB3X_B@}D-Cf((Kd$)exK$`v&P#?0a=z*N+tb{;_Cn^wXsV(!8puiSJb zUvM(AjS&N1`OLWyfSTr8?=dQ{c!90efcqnwIaj*9`g&rEdRD{B`)!E=DmjJzL!hA$B)`1 zpn+`JznnKRkI-!36knqNclDrV8kVD|rrtH(A(=HpcsPw&$6Un(#dnk1n7IQ+P4LCg7d z`fuHB+GtyXA5Vzx`nlSoi|}ctJ9drDDyt}-(JMF8@C)j+WVwK>;c-t_`RBb*irJW!i`$iB>w($^H;)JN=8|e`yj2v5Ci6m^-5IB-1Up zg@-r$46$ppT79UwWvth&I($reS=r|a7zcdxGK#%Lt8#WDaeCJ=9(K3H=bg3Xx&Ua@ zuP#te7E)WJKvx>iKbt%k;nGahth# zV&hElf>~r_3rcIuLw_L(|E)NG><3;orSh~i{1J8@7*pARm#ocFjX&C^aIE9%TA z53BdxJ7P>i0#^9CuXQi%NF&zN-1(mj1t;pdOUAweP z@fL9!bFKo++W=jDMk8rceYl;FUhp!8G4glRg9dN=*^}DLf-fFN_HtvdA^$Sr4 zOOX{_Ec%pd4^gEWd?Y)^M^C)C1?Ggu3v8VToZIzt(4RjD#g=;Xmt&`tZ~@fiuo<@j zzR+RaGwtg zB8jRv8*j1Z(iNj^8xA4dPrBtqiuyVoJxR(5T`B@8-V$7sQ?hcN$HhkE{G;KFe9P1l z8-`Hy^C%dF*C{o4DTXjAfn*VXVnheJTqTbaw65-Os>qIOwA;pqRQ~k|+#h%@ANa|s zcTtqO*mtNT{CkEe*M`_I?BG%m6E}!3j74{sE#l z?MhTOkF*<^zP1h$`<}4)pQMqy!O@>$FRtMpn};N-7(^(0+}jqBn`;tZL--lHU)jA-2Nac%p#d3_A zGDT=sHkX(dFJrCLMv(CdrRt!5#w{rKy0$4_idP1pS;_RQ{))ft1EIpMYo^uhtcSp` zS+Ci8ed?{r58&|+FQ@(FlFUgD`Zl>kDE-PD!2k6DySoT=nbm!~efuX7EKKO2BwJ}| zxyF7g02qpd_k*_)+1Lu+l6i*S5!SvYWnr~`r`E*MTDBq*_+Zw5*m7#3PD1|hn`g_3 zBS|mFP!VAa3!%!2_-vwS@V>@Ao-)LJ@q|y#1;}6Hmew<9u+P{Xi2V0}yk6Lsxy~`P z>k0r4CNp#OsZW@#`6k!earZHniU=yM?26m>n1hS_S8^G~kW-6)j{J#Q6MX!aEFWOKMpQ#8lPQ)WKzV z#yoS{ayEDM+dNbgt`N{8F`w4AeWDN%7HE=SWX!?0!7O!R=g+r$F*NG$G55!c_f1dF zANcq#qdQg6wS4N=bC@7o_bu{@_#1-*cDlM__h|edrTb#SS~^Ee6)-C0*S~%q+r0`? zs#-k};p1o?ylfW{@-K+Fcyc=1KzkCu5>}#QLjx|#e?MxzPPaS&(r*8VrlPlSm;t=6 z4U$TH&5`j4BlJWrU=^L{4@(EU`NX;QGFluv^iSx6zV)IjRKyGhh zrVf0-AzEc>en~*m`Qf%Sr5hyY95ne@UZ( zWfJ@@-NDofqe9Ckuaq;>l3~Llcoc^RAl%G$P1D>XmZFisG2a_KzJk8*k`a*_0HtV5 zCKNO=-)`ht3K!0iKcG1ckUAZSGXG5QAy|gZCXQ)9yV)TjF@SNG&&Cze&+t8$dCcs^ zpz(U$vy`qA!Tp5{8A~j%uRLwAhff?iVD<%)O8=>HTE#;*d_H_YQ~AU8Yp;OCLb= z@>0VNSw=x2Ot_;ci4|W63z7>=j60k|5uA6hzALMu$J-{EpBDPbv)XATHYRKdvHUl# z+Dp`COgfEIb*KDv+e*8pIE4!d=tyms0T^Z<4%y#%w0H5&N>^f9#{nVYJknV_@TU{d zl***iAb&p{s38Y-p(nX+xG}Sn7A$=9VRg01-U`zy6HPeB2^0PF`AKqwMsBT+!j->2 zXXdxpgou=X$}%G!!t+i^anUF$kma}%_v!{Ox;yg(@bcSxBxzZD8HaV&XHl(tJgBRVmUfh3y%& zxHI@Ct9dZCBx22}_o~sWf%o{>?&nC`jnv%Oltj7u` zu4?69Wu7qFI=-jDUV^u}ck8wmz|CJZoha-|Mz)sj`$9BgpD0d^aGZ&MyQwE>nvL{G zZ;uppqJpk!TaD#tl_H%Y@lI9DbnBM_i~B`C9pf7Z%>kTb`8H99mesGOiP;_}=2fXk z-f|y%?N!W+^zCl%X9IjcvMJ`$)yxC2n@;FaZ(ylxTjiH zT~97$pxcPhWOj*uS9wOg@X%hP1@0gmd+_(l%M09r*J|(5@f)DE)eB$es(W8?vQ`xI zy!uU*L9Sf2B5-WKcHNh1dXUl*0w`~l)+Cu(L?wr`qPj2&Smz;_9NT}sGrTl^m8#k~ z7JhTD8P0>)>Z^t3#77%Snrc$^Kyl+RnUi}sm0aGCh5hnM_oO`t7eI;JqE64B&{S$D z({%92*0dVaWLdOW3INWQd4K7>Enub-rckIMnN8n&H}^sg*%5zV$?JvEGa%K|T)clq z;k?1${lLvq-@N4@?C~qI$=h^+VTqfs1z2VMW5dAPTY*<;bldQXKP-ZbIK=t$z|Sc4 zsgdZKXZOSf!{v7b>W0vW&?}ozD?qiZP`?matGTc`gQG9d+sQB2@{VdTXQ}Do1dY;+ zI~#NhnWEZPlx!%Hxa~UJr{7MPi zb?F%nns8mnf%j5C&;UMYePIsi%CSa%y&N`eePA{(n+i1RNs4GJw|%>Ssn_yYaJXze zcfxUk_P^ODlms|bAr49d&DU)YXTz!KxfN%=G@VbcYf>$VL!|Lh7bZ@`3pIu-V+P@( zl;UE*35UJqY!M-S#8%ts(2XkM?M^cH)mlkDjxKc})6RYNiK=Bx1=kle@iNw+0-E3I zy0Wv_MDnkI4&)?kL&1ao1#=$GD$``U4;~Ee#3Grr#9Xd}=exRnX1Ygn>d24CZ_QqX zCisUB*K@)4+74-I-gAaWohHP_v_jdoR&VWadH%J9+6yzd(_H(_gcng`A(Y{UPc|?(A}?56IyDzwCA%Yc+L<#}zd2N~V|9JLzVKUiqX6Wv}| z(Cx`^v5JZPMGq!|+I5`<=pBOE*n1;XxjjZ_K98b9)!2}W>KPmF8{c$1SAD%q)4Hzu z-&SDZNnHHu>Vv1mh|h_MGhQ1n+G0C0&t;@=FQ`d0mVEMLva^WP0kPl9`=4ZxHhi)< z5VD;*6U4spj8do@Ay$p?1g{TMq(jyNV=1WnZ^-vQ!B1A705$Pvr+%UX7Ak=$l||-0 z>=s8@O&_TE$XH;CxM`PLszY{nBzB00tkSJ>DsfWyCr~QN zwhTiOQi`lt1|r{o5&xQH^iR`jk$i!ivp1O=${AUevF%YMSzw~PafeTt4b zw|UXG5|$^b32dXC7Jo}F7V)vQc%_m ziweE@Z}j;@zMHHfo^EU4;vv<9(u~bQZZ?q2vK;lVn+#b%lNg75{i~xHpE;IYk5oW( z8b;m$QJ-b}BQq zVvwqy^~sV-d-88#=~VPhEM=jO74^;}#CLHmya1Zka{uP@c@tFC*15)uh!oux%PC{9&w*fa-3#7foII z%vIFafquk)zU3+*`@x&ka_W04`b&xR?lOV1;Gm;)6&6s*_EUUq^_2mCuRzG#Lcw=8 z+Gwo}3U&Qc$2apVy*~)WsC1iOGI|hj%J@H*RBzcye#@&>m>mX)p!-hfr3J(`hC<2M zSo6($hAts}3Gu?NAME|D8Pq>NNM}iMIK}Uq(PQL9yj;Nvw#^>XLuMWJrOJ(b6z8j-pS#Pwo=#NKA*6xo_ z-VS!u|E(S#UNurSmb`_4or`ZZIGz1aJRb&rxJ3iE%R;Lhi|4Y@hYb=>W9^ENeiZrr}abs z9(ZiOn$hrRsVDK?eX>Srj2G*{V$&j3c^s5S{x)>H{rtvnvphBMg;l1Q!Lz%UE|By_ z3Dg;}`OEwJ_{rb5J$nNiw2#efRZkZsFY74FQIpp<&q&}m}DRsRe{Kbd|jZH=CqAma%0YdQ^4q5Qb(XPaJ{lzSg~shk`;$`HV3 zOD;X|%Z#;~Pu?8=D+la4YrUVDD$87x?ZPCx+3I!1exDQfg?K`>RLIgXe!z0>$%#j(8uO; zFimia@T6A%e;2)4k7NmhpT@Nwx$ygKVb4L7`(|kCIRlrjQ>i*+cbc!up%rG#fvD~I z0Bxn;5$zNg`)3O(74!z3c(Fgxqdk4&tUN5g1{wcIf$!sN7H|^fy*(0HWbaiB_R-L~ zl59x|cxlftFbFX$VW4lv1jrQs)IaA5lPgi(l`IR&TbMjG@oaxBBA_KGfaV>R%UEv= z1?LAw9e4Dn$9~v+{^X|OM1}G^LCE86YQT9vAF_<${HBk{Id;$J{12J-G``9|FNR2p zjpDlL;5;6EsZ)77o^G?lzETsmU1Ij~?=yx+m(3WPz4cXPE`N~RF%nCB(|B%dDt7UJ z>v6~AW@!jyqUY06d6)Q84FSVC{M0D=atsg%R7Av%XPyf-2hAvpE*)7i{}|Zl7=8d? z?z63DxN21m!Dn1KFI8erJI+9Z=iERk{IP~dJ$sZT-*n}{#hj50DcUcL^+x7ap7m4o z+qHY^6%Dasaz3(Bmyc4NPa-r5@lcN$uN5paD18K!&ex&Pp_Vx)$Noyf%ksKdYe2#W ztMY;H`~hiR=7MIa#0p>7#lauEDEV?SAW^}86xp7K;`X^VC#)j^^ty^GxUwDyKdCq< zc8bG)@;_a6@I+uUn`&?PNi{OMLg#_pBC$td8YjY24gq0g8p&pi3y$F$iD8U0u+VEp zGx}WXt4Yo5`}_SIqU3TE{VwU&8YJ;wOITTIwRUc~cWHMQ`Sv(L%E8z57p&a$n6aBJ`m`d`?_HZ zvte}a52I#n82wIMHm`{zW!LH7Z%zJtIxywQJ1c2&=gd57=Z}r>9jJQR5Q=FJ`|-&P zJ%e3xi9x}ZtIAcuc-W8|+{i>yyTjw)_+rP}SfQicVP2`}Do$*P{)WyLJjRup+vSXb zSU#Bx;B>h#_O^p%C~*1MvMpJn0xlw*kSO`awoYnYsLz2mc+4e446oEQ((`j){=#3h zKeZmHkkRgjmZ@oD@V)FiJDvw|ES1YBr=n1jUAvKDsOMGiUX&l?(?w+~GV>slTk&vI z$@lE)RC*s~p26^fr0dMm8^g93gl-@Oy)Yr2%r5!{?NEC( z6Eq)#=o`xVQfT>5@GnHc{418@rNCG+cT*%t(P!TMp!QE1g57WZOH5RhZ1Gq}(UUi> z)A65MDx0ZmFu>bxyEx)nGhx@B6;6$;^>5LiOtkqkA_*@*AMJjC+Z`>t-~SERze1R= zwA{u7FjU{J=8<#Xb6>oRvpf`IXhO{g5s-)zHgCt{#l_t#BjUix##nFlSTL#by5+yt z2M@o}V#jc^18x*t<(skSbcFvW5eh<)LDp2$(&w$bdvRY{7OT6a+76amQ0I*V>mr7* zrv?kwa^hh=E^V5PsN`L!pSV?;r>Z==9xA0OnV0yUCl6hkg0p z@?9o^=IRtb$5Q=AQND?fO0=(uP50iOyiwx?f+TYxV>Q0uCTA{LAlUP2>szjc`w^bF zGiCTE11*2gH=x(-nQ!SQhxe&BTumpg6toGh8H$@Uj|#lGPb)h7F1V*m8NyBk)xZDA zrrMreoTm}HjC=Mt<2wIws!}*WR_yrVon}*e$(G=EJ}w8TG~Z$zj`e;oDku?IAbaFe z{|gzsRm_q0Ff;0C^+i%xb2$j~X6S%}MRFrM73h8LoeE~XEcB0~_FF;r%1`?i@{ZD2 zKNzq%KzobLOsKl7X7Umo297t~a+1}=19uAvMo2;+xqgICzQR$tF{)9pPc|jF`oi(L zs}foG@{+pdPGB@&pYmiXMu>N>QHlMlpY^aeo!q(ZMdIyNv^B;qN|oIm*Otox6Uqiz zO{Cou{(=9FdgW81uJ&6X5~+( zf6mr@+g}nJYr(^I=~Y?k2+}*;TsYa?5NQx{JchSzzm`rGH-Z0^B9f(AhW*|V<%o&n5eLz zEU$GfsUIz`OGVchNwMi4We-!|%Rf&rQbt+&u^;!gVK$Of>l~K-e_B#j>yJ}w{{x!Z z9O$LHeSdrqcH z9~;qeP(Xa1VN+#6tEzXR5L)MN9H=Gn@CFsAFQ`>N6QZlcHs7z_>c zqXHWxE^Yo3TXRSS+32*y>$GbZfdP+K11Or=d`+z1t9A=N`jUf1vqj13LGUe`_R z0^Qnyh#UKPV36%q!=CWaB|m(VerB^Hj%+sGA+ZFkHe|pwEnG$ymjI+y+V3){ld#gf z$8ZMfz;+4ToDjQ1YcY#XWhda#(sx+AClq{PlTW2Iu2~wgMJnIrhd}6}dYk~SFY+3o zcgUb-s6u%Uqd3j=I1j|^Py@#W z_OgCNzcxsFp(%qEIL+P?Wk?7ee$mjyTJCtPfB~*(+`755v^pFD^_k@RkS&dA2}{<9wmv zED!l-@>}1xQLV8|!70Sl{&RwX7tH80Y$qmF1_WFf!x~()>KJ(%lY>4Z2)=2~)uJNL zT6r6#$`*_Vc2DtH|MA~(>iT{6YSmoC?Nuy}nS%XBd~G6pZ|(mLF<_%@tW-)kDZl!oor%OruLr9N&(A zEx*66=65bHW0-rqWD0|P-CwdzcQ!z%sQ<~mXJ%0RkR)VDevkd$goeRI~fIWU1;3)%9bJOMlO!|v7ucz~3~&>*$wlz~8JF!mkT1Fs1h2Gz(y-yh zkyLJM$Fgj?s&X9=bWq)G>n6r69ruPSSIdJ}h33-s*5$)VEU+?M z*<40qJthyQRHTe`gpm;^ZMcg-Fkkfp%tVz`!^iq1&=Q|YE_1s_^W4YS^+m09kGs5q zFNxY|$?uky8Z$*a9wJ+B@iQZ7kI>-js&GP^XXnYC|I8G$s)5#Pfj?C8P6iw!&r-+V zYX98fN#IpEOUUCIiM5)NU$GGmab~;!J@)QNPRx^>E9GA&^xU1zh5CFkg&w0)M^9nz zA-A55D__Q>lRn;blRvT2r2PA$-RO=qk+q`+C3@Z!T6cR&1N8!}W zdmi+L@=Bc%2Q_N4ipF9ynTqbd2H4FnVDX$zg2;rlUXq&C0^ACeKTq=Q zX@dRL0*t1R^`EhU@M^04%Vh5kjaEqA>}|x!A* z4wvB|oSasy?<@`Nt`)a6uM$f6lx@}3Vh&>z1Pd`k3?b?p)LDGYS%76ok; zI>T?Agj#gxh>`w%f)lC>B{Yi9;d%6}>hP|AXRCv<%{oxUX8bl{o~d|6uT+wF$HcxL zvUpLdTgL1CQJXS$>a9k{*dLjvsXp5UIVg0iOqs&}#W2or!QD8cf4eY0uZceS(ccXs zWkzKse!h~-n6`xKgbZMFe&)Cz9J6}hK3A=B6}V>B?V6yS88wcb1P`(@YQP!>@y)-2 z(ndUa!Ck{sxu37Lw{myV7XX8-V$UBVWO26u#2JvU%DnDvFVn8V?3cq1O0z+ANKfZRm((xRHwmn8`j!g249Bp9F`24hUrczhI<;EIJqX2y6J{a zDEWI%XOCf@ia`z`2R)UXC0|)nlgUcaW1ve?#)T{j;@rtp zqI1q(;C|kF2Od9L%`$ebui}^!2_syha})Xg{UE+)3pL$#TkC?G765xWzL|dE7@zv1 zI|F2dT-Q;X4ByImvrIoUiP+*8)h=OMFM%5x<&^97I#0%8@(;xwzs_HO7p^3*rR1YCcsYpF?QZMeEzKKsvY zxo)X-ELd*SN1k#q;3muW2?h{PVfm4t1^Spidp-B_tzcPJ$xLgupquyI7KM^2eah9EZe2d$iA&zl7`+(( zYjKctLkh4R7v=5HdYsOZ@`6ulw0hXlBU>w}p?}tY%2cfXQ3zAtq2J}}(=m-L$4ap1 zd8_>v0fBfFke#?xUr5N~UzIz!PWgbT<^EE)XWc!zH~wGUGS`!~3d`NAUBcY!4VBg} z5NB%<+oggXaI@4B)hBjG?7;ce;}3(|VFz4xzn`ewl66xL`?&Lv@fX^66;zFGF5uA~ z3z#mS8!Hw{b#cSS($n;NDK}S??lq^h&@jWZjmnmr zrj7qhCgxjCb1%@<#d$M1e#XsD@qHt3iIxD~OQj#8+q`WRHcih<zq8` ztAFB~lpfDmKqK)eyi6bbot&uE{CLe$T@ciA!$Zq2yN}NzxtT^^Z`cSXlwYMGA=C#S zvlVK3(*GYLsrgp0}K3pNMoDiY|cfP4i??rItcHE39e(R(-{F;Pv+UN7%B>9DYpJh-O>7WpU`oVu@uB@cpsTM=#@1=U z+s41&Vz*ozOcJfD2bq~Fs?vrE(}1u9H=n}h z_tEP2>bRF|ep}Kk*gZPXOJ;G(-UgQx6+V``lbtb5Q%Orc3=Dt>O_V^VK`*>vB}4{7 zFGY!9-{rl7A%kN!pbsM2{2$q=pw&lmX~ubrc6`+;Pj*Nh6VcI4MIVMzWQ7X!ke`Tt zE{}^oeBJz5=ZjV6zQYU8Au(=lkOQJ9voo5EEhrN44ZKnTM5d_YHIjW`!{lEV-_N3B z+jW}lUVtG1maLTs<$^}T#goS_6Wqvqy>4{#-3|NA3g%P)lQ=(9T=~oE=184}pcqhC zF{U<0P$YVH#=3k8X@EMnKICi#pP#Hn2XgxFJAHq8eKGUvz$4;!_U9jU z$(`95?me{N23nQn)u)cxE5$jPTgZZ}diRomwC_fsz>*&L@cNg}{vjBClk;6x+uPp* zxt4k*_ic+Hp_6_B1K-ShUveNjrT^-B(@FewJfER4XhP|a-!VKV2I!URKh-{xGsHx@ zE0<4G`I&Z&eDQeJJ6g87_HAP{k$8>UGUN;RZ>l5s&=KRsWy$jqYn@olW&4K)9u;BS zmqzn;a`r=eW^ndyi8L@El?Z;a_tMYez^AuVsVDx}Nj#|eNnlC(-qUgA93%B0+d?L) z$`!rJIPX#BrMr-de8}`%llcIzR9?G^j{fiBJ@aOp`xudK0wzfnmd1Q;`GW1BIjwK} z4x@zq?0PzJtfm1pP`9tHPNv;Y$jq&_FXD}^bYD|?VP8}$>tdn{eI?{y7xVS{*2Gmtf5DhC-x zd~W%F=3qxq59GDt)G#{@H;yZWhlT5wDbj{`b3Ft)&rSUFWUHTgw4bQ5`|7R#Or&4eAKQz-nOMX>6p9mtrp#T-DF zmXQZYcc;KXVsEw!>JG5jC$v3{BEMs+n|}C~npKQa1!7jEvSJG%RI+r~xmFjQ^QzLH zS2ggH+J9^8k0r7L*FQ#|5e=6ej2|`pJ-S|tWZ9k%mml%H{-%bjSx4dVJ+eSZp*H5- zf0xDUFQ-(qc;}NMr>KcBJAeI4%pT}gJ=H@RoE>fq?4)aO!n~}6lPP}HC-BV_^_CBu z+MG>uG!o_y;PofM#NT&UCMo-W5X@oGjHj-zItl_#KV=cA^Y!^}^K1ONyury9TUXZi zp+KZrVEqXtsQ>A9qo;#{q5dn4R{Q@_XE)UHGd)F8UlD7nXi7JIqkWDmeHnM!OxUqA zYnim01Fmz)#zL7_nz%b1@4bOEnacUeT3!pP?yx&GwJr;+yS39UvDMhR;v>B!4X1&K zf8DrFtgxL**$cgk9zhCDA#LNVlh=*L9{9itO~EjrlXxzAF+{#A^r z;h~8H22*Y<_n#U0K~Q)G7_RB*aQ=}ohL0iZCD9QFt`>Xe+-1%R$aATj?V)Qro2*iv zWBWp4lzXk!zAVP96YAw$$`84NyG5G`npsafcx9sxx2-!6><_T=-&x_w{d=@n4>~=R zQ2Z2o`XwAnf7MY@oBfh{Xr+A`YWKlc^YmV2GPG~gez0==-)vjyz+4s`{#?_cn+`>6tIr3Zkr&DAq0RNB@pZB>{7R+g)I3L|! z9~~2VW{LIsSxyHmyHeQm`y~A}(RZ&}*!{~KyoZ*r7WPTd&YYbbpj;7^T)zz7nf&D+ zRVTRU1l%H3AANFih?dI-C^3D~?ce*vZ6h&@YqiV8tO4U%$w*+!;H-1j*{u_s2r$M=F>giVm8$Xa>r;Z9fQfdiH^idyJc#GO3B>T$Y%zK zY5cVR_xpq8&bbvf`xkkp)`Gf=3eKLJVL!gb(7WAL2JCjyU@|Yrxsh|L7Ry25O|MGR z8c!j*5gK85{;yB46o75sakBnU09*8tlK!Uu zMRd@cZGPXZpr}vhvIey$0RBs#6tuY+PzFXo6f~QGd4ssYZ)jr3&P63%ZmVt!Y$$Do z$mf=Dr7B(%C9B2nE=RiOMJG4-(JvP;5KzWz4^%raggZsx=!s;)xW|zTJDVhzODle59*j6ePg{i=_O*O8c zg0>m=6~gKhAv&mURwD>TF)MYFqO&}Y0ZJniItI188f-`SegK!gMTz{=%HuJ)h}zCv z)Dc_rTWq7IXC+0ZI;^>5jtd2Vq^w^M2TV`0hzun9?#+3d*Z7jF$5*-7XCqhzCS;T{ z6H0$zFy`{ojkeu`NDuy&V;TMEAtea*s-_W&^?j4rQ|ZaCOvpWVJ=Ox>e}CCA6fbM{ z;QSa>;Y-&i6Lef6Z-e2UukLdO+b?1xe_4$_y zP<10kkH%f$gGSp75Q2cuB3QU%;LAea!=(m`9n@1s)ZeF9#IQ1X2f1JO8xPf0Bwa5o z+^^mYnp|miL?XGx(`}e(tA+^q;~=YLMQYt zp@m2bCG-Fx3FYVaeeb<%-9PS~Icv@Avp;Lr%$z;5_u1>5!yS9~*8kZ^Kj`c0KYcnZ z@^UOqbgmB0(s^T!qX*K#G^|;5QySvS-itGM^SLXvR7<{Yr!9fy z{OMjij`QKe(#-AwIT3eU79!iPAf!O)+?-CD?P%xLs9)e`P%gz86H@UkR-dqV+!&cx9M-d3#M}}2< zV5X)W=7IG)-CKU8-c}Tax-TvIb9|R|uvyaY6mpGXAc*D${D4S54_Z+xOPWN{COMPo z<~dC4xpZ6~NE42v^Cnj_C|irAcf1;jV}-p3SC@Z4n3lYki=Vhl{cuGKQDRVHWly8b zsK_bA!vRY|yz^45jXOa)?n+I)cL6?@>K&PK{(HfVd&!t%Nr0fZ_zG4y?U2*`p|DD`%@`foDY~O-H06LvU-xkE(hXixE_0%;;WQU;v$|K6ygUNR8Z%?moGo`87k2c`BWmW z9--@olW21yNLvRlmj)v*GiNf-*J{QV?*4a)M>Gb%q)SaCJravFK+ zkVhf6@O+EmM4d<{EkUT8$<>*6Y{QG@K6iDp;aJ|5-Btj0BrF{Hs%05U1?C`w7caEg z5`N_6bP}+c*V|3DZ9i&y3!>@r?9U)1iZ0rp&pXWLP&@t-0eN$-!R+3JFxZtriV1@w zYMG00YOk4O@%-LO8Srt3Kiz z?>OIB)Ksad3hH=b>rd)cOx=UifZO3L}vT?KRWFV$U}Os6vn&p>k_dX zz46;KkL(X(_BjwCsErFS)nS&yUR>JCZ^XX#D(7eg3Hue-euD=uYWZ!%-TFuR-z5ZU z#mcQo!-CHrhi8TEC{6Px)(!?pg=yFigF>^JkJ`KE8fonLLs!3eGg^a9mpE$sq^Kuo z4CbS7nXL3$#-Cr2{{13^&1Vye$xLDk{}mGM?PXc$Mz$?co05@cy78_4*J&L7ZU)Kn zX&!G9ZhRyabqu3OJS<5%IS%{@2vw>*MT+vaDYES;BC|9WJCw!4i|tkTzyA<&r*5WF zASK4Tn>CZO$%MkgI4?z)f3a{syd8TYZq!ZM&S=&gekjlcbP*g}V*7=HG&|4m^Vm;y z*`fAb817A9h6iL>Hu-YAFfSDKfG7kig-K`dYrrz0TbA7 zbA7l@L*^0N78XgW2V~z7>YeA666&xJD>;!sQ$S~%ZV7*L@O5SDVjp37dmSnZ_Jb@Iznd6ny@f@2;9SxUd~d~# z+&VKJ=T1GLSnzKdHSTz^G?9=I--O=|dE$}BjBcH1kHaWPF#pcQmgA{?%(^Rrv+oSH zH~`jP?-+0B@eF^8KjzOcy(KZv%2$Nu33j_Ppfku|i+N>pAmifv_tSuE$_vZ4DT>uX zhzHoGkFx#d9Wsm3DKT^Fb!K?;{~Ca&W2{#4qKl|II#Mg4S)RtMEPG<>tPJjUf@l`A z0mc>z@q^GzNOi}^D#Q8RkLt#7>}VHA>WA`c=?`C+@RSW5^OF9YKSBH%JlUSF^v0)C z8=h}6WqVR-x4zQ@%%);K5Bo@N*1DB|Wp+ehf;fm-g5$7pwr6_DvZ|iz4*}-S!x7n@ z=Gv{UWgL}&?j#5)16n_(O?5mmvCv7O*I=eZy~hrQ9aK1ZdoMds0z#`+Mi&qz_eLp7 zkLjohmZ_`GcI5MRv@9)a{wMNlmf+I(VjrFkQ+`D)VK8XJe#)5HX_I$L5$;=d%paAb zP@fj-bE7bKHJ?^B|wTp$?xp_*j zqpR4QD)M;-BkC8-BoVhh{Z+CDkHUr4d3$Xq&hHWhtPixN=7QW*RlbHhzv07Z9-er1 z>s(#f5#YhKeuxm=R9n~39WkGpKDf>4UBrOihuNp;oLGPQq1o~u4{Oj@Z!CE z&can+#@B7PCW0XyueW(K558|2E@Su8G{i=-N4Bzv;rc*`%$GV@!Uhtky}wFk0= zFN@JUmCm6_J9iUWZFhK1fF%v&iSlk#pVuGFsBJoo`h{uqhQu=_1;yfC=j7^)58vwG z`AbM|H6aI>AA_1`{t9>)&UzJksn>eC#9-1O;taP*P_mg|5mHT1$uH9eed}ZpnMeY6}Rxz`J+X-#A;r5 ziq?9@)4^be!x;yO*RmaNc4PpMh_2c*r|Jg$#=xl8WbdNW--6Sf|x@IA3NB zwUCa+T;1Dk%hE|3*y{?5Lw!w1`a`0ErtxR(;^ln8wOuUIuSDnH?M1Ptwz$O-?w7z2 zH?q=&C#_#SMb_mS)x78gbIUk=9Hf}nJYL{B9ucgJJu!=b&coQmMC$13NS8T9S)&^_GT-AZ$U#Vi<=%sJ{a zNu*V0@dqHU^&9JGkX3_Tq;6Whwp^bWK^CH~*?oQOB`~AX-Fy4CUP{0A)xEG@;#Yn- z*D3$syG5Fmr~HVE{FN+tX>^ir+S@U_6*}u}utc)`i0g9VtWqPYV;#ioLrrnMi?TYj zmlH|tPzLF)K@?>!NcKLzn;=yjd^1`EOIvz=e-{6qj?tISbzJl@+&26cZmy!EmEjO3 zA%C0Z{`n`TV``{%={#xpn*KcR3Cd%rx{g;PN5dDr%IJXSa=kh6^lvu5c7!;lhqtXGgs4PTwkJfYcecXHK2z9?W$OjdY zP$7dy%w{LUyN-M#t`pbS>((y)sB(1fu)!X!|6IHs#$Xyk^(tkL@=k2RIhp*wTY5c& z4s~EBwIA`(Cf0qTNZMl?q|$`ej(p!$MJ4R_C_VcQd`RL_r*)oIe>EVq@Bi}XY&O=Xm<(U<`n&*&p=564i9RZg|0?`y7zNBL*iZ502gKcIWmLtQL z#I(DHJQADl;aGQDdMvD;1lK#Hde|seD!hcvXM|f_=nV>!ZbY???A;}iI9?tbwYzhq z_i;3DFloG>A<7udb5{!MA7t@`e>m%4*uU|1aqeY80V_p+mPetY1nscHfJ!L1aBX~( zbKKM#@z5?uBPgG;KmJnaL*V!cQ2Ll!VQFmtN$KInKY#WA!x7g~BjjlLFG6210LYt!wpR!n!Onekq2O0wlLx*r||OI$wf-?4)ZCqab zycwF1i7?k`j=04SURF|__4Ajyd+}6?`t%C4T%7CHpad;(q3Bj}n=$u1x?OGf1E~%g z2-pB7@dr5^8qU(nXZRI{UeH}<2M23Epe4cF^+jeB_92Li3# zIn>B`Y5#v3^WTRT-QI&H0ig`TfmWpnA(Vu){f$$DJ+=);?0>#rpUQ+>DlM{xjK8<} zDpehI7uBJ|JC>BlPjO=?l|#>G0>T^Qq+3kA?Oa|1}N=kV{6TI+wW;)H*g@KV1oPQ!8Evba)PBX|y z8*y(qG+GuWy}V#ig_=r`$UK-MwVfdTWif*(P;t(IN~ke+QK}5*dv~eyavOT8t-@Qr z=sbW%+F(PpU;Oua0@|728}IErBt@r+@~byr7x{y(OWS7)E|W@p>}CZn^PAb8$c>^t z=(_db1>90H_bH88gPXhM+kW-6$${bcutsaPWu?bQOJ7{)FJk4o?-@x;;#oB)OVu|j ztw;;lJab-$cP<0$PL3;5vY#&&QJQNWtJK^Y`GqlU%|yx`Z?#OnzHdf+KKgq?znLdCIrBa} zBYyh<#X>^mP@>32!F;)7>BB8jYaB2gLp8=&NAkpY>qRhoMxt=b8iq!o0C`7*)lL z1C-#!vzZ~Ei;~HLJ`x{`L=nV3JL(L$mj7ZVqve_ImYzP^$H~pJ-r(Dn7ONqMn~cbX zWHY=7%BzS4|2|oaA90YJ9kXjaRPsu1c|x3 z1TetzLOB-8wf`lEuQMR%*UZ?YUn+TI`kbBea%AA*&1I!?qJue?my0lMIrov1e6d91 zJ(if@x)KpQ>k8Mz(Ft4xk#2>A@seH?(AQZ_MCaX-Ms*YLe7-6WrNt>tN{Gm zj}wUtSrxQ?s}g|AQNhLZ2}eQezpRH@gzYU0W9Gn(iP`=JJ9BdnzN#hek6ZNprIv=c z0HvYvNuLX}7@0}dqdYxlL`Vbvl`IkQN4dbVp8xr%o>I4$EyvwnFDS*l#K$2nS@oBY zJ3qC1NVQ{Fe`wL6L1s+M9>!)p{pAs{KCH~1^vs@=87)kJV>PqMf#L;+r?ww?sfImX zG7oG&?kv1IxDANaYB6eZuLG>B0@gkV@QfT={L^s&meJ)YO|ARno`Q3g%lQ=;(rUj^ zr2dc)J#%S_;UCbeI<_0=47zqxD3QhgEB)R;QYc1>SURnSgm3DL1? z(xA9#Dg6QLRw>BC$3n&JitsK^L)?_7OfO_vqaM;*|tU?JdA=XQ6qjQ%wW=A@^_x z&nP=U>3o)06g<}27K`;^-r6sVN6=B4s&N4nvULYr3S7)JJnf$zm>idk(gZ#dr%tu1^qHX?0T_H z1J#n!R?nSRfJ#Ea?=xOyO&~H^xx|&T)kyuw?0~&IWyS#;PIdOLj9iz?A$f6NGW>BqjGxdzfxo6h zwz94$e~_%%gsPSAwhd`^DyLt9n-tvnEdL54(|)qQ?4K}#j-!Ky;x#z;+qVi;DN+u- zr%sn7emcxh&lfeL@QPw>t+dYB`5CW0DB=?)o?$<6yCE+MgT)AgvovqYTLugqH_oBn+N)Az^?iyy`Ea6W=I4)kz{+`u&aTtdz7>Sx&|OLya~Y0= zC`a|~MCu&8VX{nGg%1>Lx%kSLrZ|O<9Pso1Tnb({#_4T@Jh_n${BpZP>EvgyL-Pdx zA?p2s!|4XcHK&V2aQ-FkIPhb;8(C6|ptFtxr2Q)KW1?S52QXW^t6A}fv{)&_O1C6I zG>g_vn&AtIWgOL3lNY{TVq~G=uruD^)7gZ^*#+hX+w7x1ZFkQfP%H$Rc`f3fUkwg0 zNEIY3TzSv9Of052>A_5?S6rlAlw3yz@{sWdhf1}_ml8Q+ZVSJ}#*VI+S({6H$Eb-a zZhXO~*G48IwMz|`7V{XuH|T)}n`=j$>+AI#;ZvZhbfu!|O4ehPg5%UASKz{Z` zr$h}GiM-3)DTsCW#{OB+z!*0}&>`D&iLP!gOhI)A&FKbCjCP_ZF%uZdv~Ih&t`oJwQCO)1$nhdIwn~SE2~q=r}OB2_tj5 zVa_-{w4RPieM}IH-^%U_5%^`W++d}E=VAh{~uC<|Z zsOR^{T>bKjF?OpJW?j5ntI1yOrUvN*^8`2dFgJHf)C(#E4y0*y6#WsvF7csJPtCGX z092^b?f*al(rjfOT9$_;^SI)Idvs9;Nfve)D;Rd6&!5e($jsN=FED0WRZ#g73xLne zH;_o=-F7=(*0pH#q=Bn8)|{|+%X5e|nN=9hLthj!!B!tWVX@SE=B{peb0?Ncj^S6s zpRs8*tu|BmH(qY<(up-qGcS%$Yzzr}zYJ=YQapO)7s*y0eyrH2>k1ug+)uV(o{`*X zddEk#d;v9Onu`BsW6OPpEAAas#d-sWyv;Y-+ur&A z5n120?D-?&{y$M>Q{S?-yl~cl0VkX3Ju|@~kCvxX zM#i1xtI5w=9-*kJdaIZ8kIV-z0K0!HDkZHm4qqayD_)@@;@l+7K9ED~A{5TvcV-;X z*ah^;T}0knwo`KLavWyU61`*Equl;{i*2JtDY6UtJLL8RiB%YJ?lv626C;F4skS)a zApWj&Xki_PS5G=q@dmry;n+>(W;72mGGY;EfAEB{9O#cL7Y?!z>*{N_A5oA0bdINLdVx`j|&VeI8@RcyRx>DRgCH}rI?0AmoOKDXxZX})>Y9=FR-Hm?0~h>jyF zlAiIos<-y{mRqVQ4+$AZ!YgO-zdbva+Lj|D{5DfPEFBzVtI{XKH|iB&ou>z8 z%11f3Lt;Xe8pM%-h0-NjRvs#TLKrro!A-(AGZp2vI@i6n?1` zjePX&L$0BEFHOwxNo#_N|FdzI5%*(Pu01cxD6ha?;*$?iD2y#xOnX@I!&2UAOxQW` zQh!QbYcu_fwX8#vzvnKpgQ?e{&e~rk}%Ronpv`mCqxo67FA7!%o{&N`0+R z3gfQx3bI2WptGWOD@j~wm_-;XX$MLL$|_{=**_|!hktEFgYb7=l9A3zZ`K)`fY@S! zLKIeN+7%_d{F*4+th9e&u*mClnd$gVw?7#zP;?7M$dCKa;0M=P|L8h^0 zTXT*22hRGY{F^$9CY2!57SMlJJ~`3+i~8kGTnew(T=F#wV~^SIPI>TfG-Xfw6h=G~i<=K2QSpU$zf zE|_1r)9K{<8^DM)v!|0d!QTrth2_GI|9ZWzw~I=FN!h@>RKdm1cD@4&=G*>alUSQ~ zvCO1t%%)V1WP0-o%wO_H|K$%n`9;Tfw8X226`cFcVGyT&VGlrhi^fgh_NyNfLrTQL ziChdjVY3JYBL}nU)4BC4A*M{k?g~C8{77YP^Jh);x=J}jr{I`9=68QI(ZI*UWclZ+ zQhDj8M+b5kws)Xj;@l4uI85VY-usjNU0(oG(UjQa|Da%xjq3{d)I6E7;m6pr&9eqMfF|ljX}Mz z6~=#yM5j-$5(*;Y^k{9@eHdXXEy4c$L%O1UpukZ5hH3s!VuG zF+aa5H*>oRGPz)t+s7F)jFlz0j%lxwqtO`%l6L!F8gs3ib9%hg zsdyKi0;r!XM%+7e@Fk|xmA!&izml&Cd^!2k^k-hdvHY)*Fkk0yzL?lXQ^7`_g*LLE zG5=9^rhhG%Q+l}QTy`Ps$!Ql_5Ir{hi&oNh1(qUb&xk6XG}z0$Cp5Y4e~;l+m|8;Z za|hUMCV}pI68WY=_wrvF&C#c$a}B%dHES>GDFpI;xUGf%LD%B9=YEn=O+dteXLI)} zkM354A^tNk3M1#qnt}0l?{ZoDR9O4yIv#E{PFx6`P`58VS?A%A+uq>p5jPY0@|KQw zQH0#>>!58jhBfL*;43bM&IpWUraGCA|BLk3Z9Mt!?DnYy?NJWd;bCOGlpntJ4b|zRJqeWWlc-co_B@yOb=UN( z3h1#L;03YF>-DglMAtgu)!ien7I_IDL!*TEXT}2hlc?1tXcDLg{0x* zLsN$@m*dE6L5)%1nWJztE_JC^w`#ut_GiuPVNgA!q z*pBcx4b%*rqL4N&la(*_h@Dwf7{UvC#9H$*g$ky_cx?3)^H`dA$5&abF(75J`hw5b z$)z<|tcMQ2yt-*6O-snVFmI~1$r+7(5J(IBmdlOErBh>l?B0jc$7UBNX*}jzhXZGg zv#Vx|24Yy!f(&<_lu?`}1)3uQ5*x-p{Ac=;+Aem@uVCj)R)tExz%&Z9=#zebU-g?W zqqB<+m0H0|Qn`$%@0yJm&Blt^t?x|8`}=JRxgoP6j*xUm2<$bqNmCv*FYBiiSeFuHxCV)xFJEOzz7k%th14rbiG-)n9}14GW<^>%+ZE*2 z49*%f!y~;Z*H;HDzBzZ0Wa&M1x+!ab>N3te*H5$ZqGf<+|u7DsKNW2 zSy%xAqInh=G(NZH91nfEive-$j!5}d!V#&G)bw+7v4m2k5l~=Sffu35i{SH5yfy<} zRo8b8?I4DCAb{Nh0uFtKh!HxGAgB!QAfD}F@DFzXT~hYS>Sf=~7W0|zl@*o%>roC; zSAN$gdzG$sWv72t{C{Qj^?yC#_97NNPHvt(naB0iN7$w%xIh&C$>Zm`OGQg4yh?xX8I)yXch6K&;tq z3D+!J^<5e&U3yd#vtkASxA0uIaI=}ne&9$D(@iRl=l)eR;EbpIk2<=LP9WcF^D6q4 za{1CjL}OC_HvX6m!6Be75^eB#*{s&CLhcwOWOSU_$_9j-GzT{AZ#V95doE4+07bCP z)<`3)jMC&bt-6gVOU6^>g4iATTAI#TLKl?$!+w?7PiAJ!G;qahrJ6nRPr6!2Vs z;p+_LdX5Tzm&~G*mx6Q8WuP-%DNB^X_!ca&MCa{sF61a)vO%7Ls262Gw5{Roj))h+pQSvS*Uber?`%q691Cqm{hT zVg~xVrV%$@E7BDfC?=$f;@ABpRZeM)jO*N{N5gKMY0Kao@j%st=Pfz^iODM=Z!HK=unId9sc1#OwiZ4KxtauSV=z@wJ|qv^Yu5pXdo zk$_(P@cK-lTEv86)b7==Jrz4h6g4cu5BCp9$p3ZSndg^jwtm4 zR-IQpo|8IUYO_H7uZG_X7{~!R+w?F+WIJ0cA$m^CoQJLym>KM1T9!w=gh#hbevdX8 zfJRU2`lmCScH@l&xg~t6@w=J&eaC29G=fV)nY&@y44Y_+w&arNoHL{9H=`Qy0+yZc zpSq|WEY;hiVp^HXy@1AC5U)DJfaP>%cva4XWWGsEJHMHRO!d&B7k3sv`$oM^a#O?j zbpHn~s>hfo`ujL`6`$^dUO9&IxmTji; zi%%!omX#|kz$0;%OuTu?C#@cPZfbGH-33fMKIrghuhTy=8hY_jQWNl(RJpr5Paj`T zWvp{!l>oC!7L$5RXN1A^$AOXnaW|2VN6KKj=poxm=O)PboPw;yhtG*-|H_g@bFtpq zQ|$Sytnm-!2UnOm7rHCm07=;YThBFMPWZfRx02sRCkNiT+X$&16Vd9HhWn3J!s^ve zhgylRFF4AJArCyVuf=p4zDo$JjhoGyiyjb?bB)&*l97S>>^{h1eVd6JX!af~Pnk434XV1oy~#rivOtKjr-8OeXPL?w}vjskjgB7X*t zq+I7AY(-)1I$-4Joo$HKz2Pg<{L`ABik{}+s!{Y7Q8-9hYpOHT`14I=jC@-H_|#;J zb0pXDyW3aYw%;Oo@zz9U+>Sqdc+;mW;+(=Y)s*YdLTuCj)CLs{;6jeB4LA>GrSp#K zi}^NHoF)whKJg*E-aQpdlsF6A446qi{Jh%sQXj0r_BZdDZ?}&rU1V``T<3^JY1O7jNv(>Bz8?WsZJE#~z-qI}=P`;ORsZi0>?hIlhD?G2O?hShmHBqd|X*TdDR zb(tum1!lPS5H7|#9T0P!bup$AiW`2r=XKR{DHmuW)X-F()1P{xM3TMi$}TG36#h~y zL8uOYRdpo3&0S9XR`CAClBlSs`|EHM{}9#j&8kkJwHckGhlsx_K{RxZ!NLQJG!3A= zp5<@z-C{J@uJu99!)FKHgIo0@xi3F)yls$5{6Y6I(G(C{=SK&KeG3=ec_aryD1Tfw zSNW)b!OK}em9{znT(>B*p$EeOO#2SzrmcIeIydou8d$TUBA5L85@w^6JQGx2ED zAUCpgFMTyX#eqDbi2#dhko0F|_EYT24O~8?V8H<^-_DF8p0Up*cSz44s`z&;{mE)k zTjIDy#^vVvLZyL;Yk~8>k(L%x)o_ZkL<+pVLcOFnE2Izq^4*)nLV#kJWmoSOW>g$- z@KUhXV@#o>>MKf?wUv&G!oMbDh`OOpTx57t#_WVRlWuS~`g?l)ohK)3-_49jlTCmm z(kIPKaHw_yFo_D7gju{d6bAXTpJa53iC8d=DyEg>5c8D+FO)>C%WTb^2+w+dfdAe+ z47Xi0cjrj2UEt$osZK#jkO-HW-Vrt&(0Y3D=X=x0GRnazDPIJ)V5MWj63XLm8st}o z@{j$-z`|^YOeVUzXQ$X55cR@TBo6^+oSM8Uz3!hcNQA}E&$SSjl_eJktdcaFt#0`Q z2-d%!MXMH`#tZZCaH<@V)5Yf%upBdi%C`$o3iLm>QMw_|W9>ooKj(N#lh% zBQbsbJzQ#h)r+0&+T@d%LzzIob+*I0s5X5RD2v~-GvAJW>9gi>7P|G~EtaJKRHqCY z#eC>%&;GDn0upnb+3?7b9EgJV0Md+%^kTN2Pr$vhjTN@+Zqn_zc%TXcgC})PZ)}Tj z5Wql50;f+Fd|WjKR{9Q6^!|H)%uZ+8qm$25!@K{I<}w4CmGh5sa#+Chm9!4)R-&DA zczTxau#=q_4@L96rz18@289n(3grMi6E%NIFD)>4(8ldOIR}^)cVt9OQLIok>F(;{z)Va_G@~q;Bs@UiLUz>JRMw?x zpUK+v%qj}c(VbH%%4FLlbHt+mw#gQ1bMS-S?7Z&Y`}1UlrnYu28rA%B(!fgE1kx33 z0EnYk$km1wAgc5|(N>yUL-CNOs?BG>Ba|NcJ<|A6WX^P}ac;#cuF(YX%ZJaV_rJq@ z_?N2OxvGZ7>UG<2jG43+;z?;!!HfwvbDz^b;^&!M3ecMBj7|q*r{f%7@J0tC=bObl zV1#XDu&;Y1W7rq4#}KH%j{bu^G(uksW;V+RzwTO(b6?5JN%@ryn;pZcBZX+=)?F!= z&+6P+4}1ayZf&V05Fhsjdi0rN+yqW`|8k*QqQnn=bai}jT{GWlaz8}3_(?27HI|#? zehpTH6XoE6!s{@m7L4tY__7Elo@2`(0W8?t;nQdC+{pxLnv3S}vm8J&w-`?7wM$>t zn=7p2n)(h$cn%ibbfeoPTCgpht`jlPUkK9SLWI_YcfDJnEY~Iqb#l^@U4J>;xw%Pl z=|KWtb3b}^kIS;N$yn9!TS^|%#ZdZ&QC#MWAr0 zZ>XQ^{8CiYfKGfA?<8`)m>VA{LGXE%^YR)D2-Kj>lX(B|GPbhy#wkIWsN#<_#pN@3 zq%Yup*0a2-x9bYWrW~@GV1jqX2V}=B4=tSXyu6N)DlQDRq_u8%9QKHsqZ0%%rmY*%n%HK8qbFm+aZ{PG zZkmM4*8sDyYJ!M|W%JNxRA89IBCgN>F8c;!`>*-iL7Am81-Vs*^Qyb&FXcKFS4+LN zMiI@cE?M+XB9D7zcl11zOg?J_8Rl5pEs>aK@vrL*BNmmuSNp)<_VJ``MFAr3U45(h zs^#teh857tR$UzKoVIFW*lWK17clJ3Y}iZV)3HkZUTAcXddI&62z6v9YkIg7@>46GHD{uoaQF+1_nV)vRxVbGy;gNXw=8HggK_E znYIl}f}rzXIxQ_{tpq*#p!|PKAgkaUqF#5isS)k>VA~1`-jtu|$ND^9#gXMs5(PSO zs<;611D1yB^^_`VFmbRikl6?SYI(%{9(2v(8)iTPO0^1)9Twc}xW_-y2OWl>$1%d3wIwgUvM=`4@5)L@QuD<|^utH3Y6JDK&be2YP9efh`; zMes4F@R?AdZ$aPnb(DuHv#lT6 z3X9Ab2K&>D`&?4j>_#1v;omZ1JTRu}CHn~@6wrEzm1m^KwYyjm@|TAc=Et&UhK|GJdC+FTuhG3+@@15;Kvr&iv(L+^x4Ti-ajj5fh-bP z1nlD+J@|?*x-Ow>O>+;ObZZ9Z%UR9{4z6%HWv24V6dd7xV0AvYrL?Bd=jjapCAo>8 zpd(W8hYya~v7@^1%%oU%A1nQBuIio%KWtJC0S~>e{pCsLq65foi55JQ%Aa!Db|; z9q`F^&qP7w@$UnVZvlLTpz1_0C{au2oB)@{?;d*en@55<_txGPUIzT}NBfE7pJ={o zYO8#K$%}f_4r%zkts!^UdvQg1+EffpXCu9ix70oI}==R;D@JM@ztTd^F1R zS+_zyrDMLxIcMO(l!ID5)yB1B-D~I7Ok&A{7D~&1(~=@$^#}UzI|0p@N?U|pePIMx zvu3>e)#S00zc$Udqu)|F(o(5r!k#fF01*BkZ8^}-{EtrmN2`6I0i<#urgQ0RyZs~) z6FsQf(N5YQAoeqFMEjLZo;NIpV9ajtPM41U+CDkq%bKA-XMB%%d{3DA*Zi~b>)mj zkE!GKS4&rWH?)?2T~Q1cc&pEd5f*Hz*GTi8=ePviw6S`2o-Vv}!1`Q9{!#Cx$A$Z` zFG@}EU$64?&xe4p)v~PdQTweS$D&TO8aYFmm!pd=zI-lN(cDXpc!5r;z0jWpfgdAL z+%_(gr06>Ir^V~xfws$W@8`tUg>n1Sss;Z%U6fKCalu`Vb1cVuWhD+?L=@v3&&fgW ze@DXNqrBv}8?>Pv_)*s_?wyQtmmVV`HgY8>*A2aX?$B(_Ee-LOx)EP!T-Y*g#t*JD zaBVwE!MMLQ&u1*8cEFH{N-K13Io?to4mCKpz4fD@+2zTpS|msP(B+6QFqlmnHoEu- zYCCpbaz)-%`-Vts;qq6_OGks}j#0f6Q48!z6qqQW>0HYkgL^;V#<)oVL*mb5Rv?yq z`%|Trbo&d4Rr_A4#s!06y*Z9}>7uDrB!qu2dcsi3xnb3QmLqZ7<<#!BuBG(;Blh?K z?aJ^nvn^Mz5v9(tZHK?btVD7Aw0(*$1n9pqIFZX-;+@cV@jOpE%6qoYsFb2mK2DvO zpP)gr9@sU~{U1$K&JsGa11S|b`<7emOV*lSjsup0rSte-ezMnB;Zmm{Wou}?IeI-4 zJLj*2iWc*7>}8*K3iA`T%2&u2Gw)+@!*7O;TP&RJ^FTY@5+Y|Gdqn$>ZSQwna&5u4 zLtP_ZK2*rT25lXRD;%g={pRBpM(z5Ex$dd3dKEfU9AfdJY!hj9wg1tPP{7?`) zWVXA!7wliT8A9A5IA{~96lfQap>!wn_C5g*b5}m^ z73*f{qsY>LcZofez6EiWy3-|#)0PrGTJun&BfmL)lcDTpRq{wlEyqCJ_8g>lB!z!f z73U|{VBG*B-ZZj>-iAyRH8cLCoB(ze8ISL;$Fi{NzsI*lm_etOHRBvUqYes(TNM~b29O< zC8nH7h&6|=4L7;y_zZZF6;e9JT4~2Fce&tro1+UC{QInP?ffP`2v*Qg_UF3b=B(36 z0oa@f!nZ^J4Sy4zK#zgIuR~(~H~Q^z{`U6Qk#yfu{2f6Huj%oVhv6f~0pl>q92vdH zlnbdD$#*wdwNs7wM>EcmdqJm5(XO0`NJ(#RWe&HXk+~n^8dgU`?gZviKR1c}P8U8B9J=a|nWzGno=8hRg9J>8ME=_#C29xD61Ps8onEqmL&))j%l>Y_ zXIK+IgWS~+V%l&q>(){o>*=G*7wfJgA_OYV@Tsi8#pyf0PsiC6I(@FSvg5~0-=hV= z&MS5DKZ0qZ3RDo8Cz-uN-|fX8fV_Q)a=Q&+E@nBm6aPWee=~*$Qg)m>^ZH$jggWx# z`DhBwf)`sB-flaj^4%U73uIc7AROpv$*QUB|tq zR^qc>2U!0R`bN^zq2VTYjRGyh9QpUDefnD^GXuXu>kb~G-N*kKlh2tYI|sibclp?1 zFu7l5NDlpQfiou2DSW1ZrV&cP*+xl)5Si=%$=jqr(JtN+my+$l5eH$eJaXJW0PbV{ ztJ1O>So*uQt5=^+L*YjWa$~Xs+*@`7@d&A4<%3b_qVu?!A7WW2el1+>k`7){Y%8e5 z0d9Mj71w~D)!4Nkvwx35nGY8B@A&MYn_5)NeURxvpJck9CNA%jymR|M%j@i<{EhKHFPtkLoaC0U%#$J_D@6rHP&bn@Z+ zSQMljC~CC_HiS+mJeQj^cQZHFH%F>1c7pf(K=WLXCBu3tS2!+CR;XisyJT37&LkY) z9q(uasBC|ItoP2vvTivfKD$}cZOrNkq*{K2uSap&zu8%AVrt<32{S9q)bg_P(D0df z5SeQr{&LJqIGOFS@p4DN%=MoaTco-Z8`G z_%6E<@8H4EY{u!QH-6yWjqIVddv|W;hBdQCmTR-du;br6FlUvz>$^0VTK=4A%?Hj) zWH*;159Z8|n(4iB(PV#oo8LK>@y$;?*Z-!h+;4p)ZG961C9+UnU-{-l>Aw^!_%;_; z?#BIQep7Xl{l<8>`@?Jg!RZF<#`Y|KCPtvhRs?g!wQg9<|J(CbY;06S#bt9V)^1`s z>r0vAx-0NNRGjAMpcjYTq*Hh0BYaccn0lkh$0fq0Hy1^m57BaCV>f^Kyu8`bbpkt3F5r?y z*7EO8gO8u|)B8#}{I3g^%Q{MT{4YU?mS`)T#^0RBUgkMlrN5{R;WaIenbW*aHy1bN zjjE%ueOcbfwQL9+7F=8_s7JICo3UR@#{T}*W>Hbbzdd$MbM?z)8#U6u?9>L!9t9VF zyNp@a>Bxmt{ADq;iW_bhNaGsi1AF8X%~sZY{WqIgUXZ#9PNZ`AE3>1&W1`|}1)BWEUjQQ8zoW@z46KenesRQl* zKnIykk^Q8RuedJyrN>5owCW1UXA8C;@^$NTN&YCJP5U0$_ZYcS11ySpVqh`5*>}?5 z!tc(-Rvsgfo)x8$=!-x$EMCCOpU=}@m)u@e=j_OymluaN`zx}^2QC8J?XYEL z%Om6uzNjduTh7ZF+4mmIT=)BIWMF9C#{&-M*iO_JA+?+fFN?JV??Xh>3L-i@%II1v z&nWhL;AZl(Mtq>B_#13a^iuq=Fs`k{EdKd73Md$bY{T-M zOYjcu;lNpWX=;Vmr4>e&HC1fg^5e^$%;C+AFRsN|GPfMnH|}t`G*+&c>G1|U3>Ov} zTVPpHaCaZ42Rz&KgLLC4uAV+HON{rjhQ4pwPO!nz>o)T*^LEkSTG3#R^ZSs~--f>E zSkjCs`B**QhFPFEj^c@FHV*p+=Rd+Gv;_;$ef&$5*f_`;iD*vZO7uX%wJ~d-gC99= zq&Vm_&CUNT!s%e4Hrs!ErPq;jX(*9seO=mKR$utntbl_D-k|gTlkb)U;cFztS)@({p&+Ehb_ce`Co>0eZBmekNlqB^1kB!*EOvp zUk*kSFF3@*N|wXf02?cu6q`G&HKWt#Z)_2XJHgFEX&T6n%T z|N0WIXBPSxLd^j%=A#1>Mmi^7`+tw^Vd;S*8qSpND=HdUER-}BJ8|c~(qZ^u!f6YDLGQT!z zQ~%=+)BB(8A5y#Ne7l>A);H(dNFkqfzXg^tcYWuZXH4Q74aU9YH;iwX#QDh6#?aIA z$FTginxjTM@Lfd-n${ofvDT8WwB^+w+LgD@XM)I?yMV^;IT-E1oByv}Zuq};xzP=- zp$_>93h3S&;AXMHar$JbhNtawz~H6_Uf?Kp>WE+|UVk>Xw3JPVPLJInAHc*^-VkMcBTU!+!#uWcO{^{3pVb_$N`ulRl;>!TdSr5w}84AqKkzLrK8 zIJe4Ob6~#d=wce$)+&t{r`xeH{q&=oeqd038qu8nl4+fc9IOAY;FrRmV_H9OJ^h>3 zh={KuK%zU#=4Oeq;RK|CC;jHRnxNInZkny_T%V?VbyU7({>xR~p31Uo=+0RSDfYNCR9A zfBP%u_Cx!H6ntDxE^%M0XeZ_cZ5@-Lz`@)nIQY?o{D|gflKMSEx$^&Nv-r2#=T7|F zk^Cv@gJuBj(D|MHj;=LnK3c|Mx$a72z{_!yP^Rm($yg!Ak!kxr`nr zYGP#lm8So(zDZuvD{fuWPMX{JE4Q=P_7d}ZfZ&1COwl$TAmIG6vGZKnPcbKoQcYpC z{u}h*A;qK&$KcW!&~@5l^dlL~c_m_i_c5!olps)mRo?69iKG|IP^>_)lqLjdD-PMp z7#*vP3yqe(wtEiPBL08RFiW(1xL9NAjd^LUe1-ml9gO9nOh+WztBv6XGi==Bi@@dx zNclG4Tisk0`?EQl?8ZB}!a1Ws&1R{Gas{9SGcTP%Lp+X|N;3#jgv3OnAk!G#i)6YY zT!(N2ktw*1Mo_O-9?s86y(WkUJ&{k1TU<{D`VQ*JCOzRi8KfA|uGdqczaSI&3(2%3 znQ(m+MFqEHxMvVMARgIUz?zC15LA)I75Yg|19ww4r$Kq>DH=uq7Riyv)$wpR-04P% zdT<}1Zj^xa?lGT*SrnX#&9nP7+S!o1(+#anV_ciA+Bt3yrzVZ=XBc~G^^)=H1iLuh z+N}{STqCZmwwQ{B1^viixFN!^iBZSsAB{<5P29H{q5)Aq^AoMzY9lR;eBH+Nj@C5S zzF>vtI(hQ5iROjN`}x_8=wtgX_r?95(!67){x+b)sOGBEh-`M)U!ty}fZZ6s`h0!l z=OeU!_L)QHVf-0)@_bK~pQ}W>efWkoOJ_JF!(O*7bf|W_C2Q%hEu#OrebKj5^ylok zVIBK{*u=dY#wXkFTbW)aJH1TCq?c3#B^BBJ-`e>B(N3G9a*%`8sMc5($8D~2jG)R4 z3_q|TnDOD90$s6wsPid_Q5=C>};>5rd3U@R1hzS&L#C`AD$uXXFPMNY2sA`?EP1?&e@P z-rJ^aJ8b{&DVwyLj(yM*`C02#PH|ng;GoYGeYW^sr~h6vE$QH}t}NS2^u5>J+L+5s z{wqeoS$bJN%>i5W^L(_AV=-=mKAl}^eHOD={zYy7a{3kv6#lHm`T64CsJ}ktsC0G+ z!G&viqtruA}7;Ht};#z#qhg*a|B5$OuNuVP4+4=A*g83yXNTr+U2D!G<-k!YAB!Y928u|j)<*#GM{v+?-+slg|XA7UNI z{wlBuS}m;1VdV;}Tnjtlu#*bxq!xC}VaFBNaV>0%!?r50tyrV})WD5v;Kns@ts1yi4cxK@Zdn6&RRecb12?RJ z8`i+R*1)|U!^!b6+`g?0r`5pK%!gbvA9Brn$TjmJALhgSM7t0M!uvF{Ju)9t5C$;K zZ7+t;jR__U1RaF13cC?70EM~$U13_HJJ^^)2xex39N2`-z!V@X(pF8l#dYQ738WJ2 zEvWD^m_k>2D$!jF(_2UcGkISRy6@9`e5d*{ymu9etrJ}kLQ@R8l-uE+!BEf#;4#R@ zqfQ6!Ydrx07r&C6pD5=7lEr#+YaU`c$N5ZdVR5_Ith?r0S-0x#=JRu~z{TTeYy<2` z7O<)4`ExP&1j#JJf1=*72V=Taq900YVF4eyB*0TKR!AnSArwA@g?^;J3@1E?6P9sa z^nv!F!2)zadVea7UDpOL+K~(~r}rel`}#wIMOPO}^Mq^TyCkeZ|5yk`obVh@SdXKl zWoj=PZ^sx}(%S&^7tplYF-eJzdPW>M%xzaY)0g2*lQr={J*r*Ww-xsn1NNuZ(k7Um z@#U6fx=l#8Qz4X|Kz$DnaUMlXg!Po?3SBkid%fb`M*DLR$CF8xFXiJ}Loy|Ot009H zo#%AK9_0H&2Em_K15C=U%6R~dN0rJnXb$wvkEwFo&Cd|Bab$h(x1}*iKb|(2Dc$C0 z2?c-3`f?o>beqqW4hd#erW6YDQTOS0M4!De&cHFaFKrnl-KOuB`LDXr5s`6>g{Nd|arfHLeI#%y)}0 z18oYq?xyHzFqr0ZyH?F9u2t|psnQsiXta)LERSeh9cX+^5AkkKLlOiv%y6dWATB&> zg=e|&JSjYn3(u{>^Rn=KRd^m2o?nZ+GXEQ(*%foc#k0Qch8te5dyKfhKX3I#=xw@V z?FzVU;y!FK-G*JN*)cM!ZmG@wqaEdF8*7e{~<9n`K7=-3DdBfPhn0k6w zZh)5U%{J;b5yt2t)9c%~bX*j3L>YUQPF!r>PopD$VVV7lC8DXz-ISa5d!Vb%@ z6NG)OgPnA*w73jAMp(_eI))u1tXzg|A?&0MwuP|cGVBszTXnEYgsqJ2ijS=Z_6lJu zV;l0Z)xZwB!|K>x`PhcXu&)SP8Cwi8j_n9m#(5i7$EG1{s}5E}*rR!qacniPGQu9s zn~d@v*@KMpHZ1s+O8Yt7`YpOs!2?asZRUBo z3hGZ#r#O&syAD;1wUaEitF-4Z&8;xirKz;-X0^`-h&&!t`^ROu&4mdsk|1q^X*aKN zW_(T5&kHPstt3s6XRFBbQ+m3kJ);DI)LlrZ*Kw{_Nrk7+&s*9H3JXb+5O-O^4Xe1V z95+11y(;1^q$HuZkawy~yvi$Zw;*0qw?dBg*k?!>Zz8ZxVI|-NUsk(`^E}B$-e0qALlWmbYuA zc6ryn%l9S9_hZZws%;orj@o3eb<~DY`KWE|T^+TdCmyvez1O3*D;$rOw}pc#t`VBH z&uu98TfjDqwf)={+B9uHXN5Ky+lH04iMH2up=~Vh^Oize3)`xEm)Is(+OFiiUtnsz zh%uiVdoq_F_iUKUX&%Teo`Y>o%>U%BOtFXd7vW+%k@qqa?ig{0CEOO`z8-LwU7Ds0 z_iD12k8p>Givg&Bi)-IxlH+!@7~jW*ca&?=D!j}1zAU^?@cpXrKF0T9;T>h0ycXV< zn6t)(_bYtY3hzUFmkaMG-*_kAr-achdFvMHVf%fNx=Ap;EiwP3nvxl@=jRyo&0xyE z{Y^sVZyT|3c^@Y56U)pk8%#eAFBGCEV8e`m&`QB1XTV0t)sx(z8${hVS#vG|xa zbY3Hm;t@l7E0)zqs_j&3Nj3@Km|*cKePAAbB$pJ^8ISadx#)49cDiq$-wa4Ds_{qc zX2ie$T}7q3N#m_7Q1&+$2)t&==k=XoyA@?4(dd3BQK)p?%7vpk3Ac)p(G`Fe(@eBOrSvo<83vmyB$ z&vP~;pS2ChJ?_9nAH6GMLusoN9P<)@cgxK3FI3)p6F<#7>Rn986y4`n*jxi^c8Rx@OE; zxpr2!K38gGC-t(ggC&hkJq@agT6eN9%-7b#`s8 zK?*+vUQY`fPxZ1CYi?B-?LUCY^;)R4of^~o69}-DNaorx73*>xU8o%s#ens@Ad&tW z!oMBU(YR~IbW%6P`dmkt*2+_=DV^}+VqNZ({TJ$e=V(a(o`!Ui2G#94YFIlC38W*s znI1Ob(~n#IIL9v5>KeBvaHZ3@(iz->?vHR2+;gD73t2jzyR6n0hwGy~Nmi%h-}cqu z$meh-^*G~mIIVh|cw9NnM)*UDKsHTD(?R@k4$Nps#hQek{aeT+5?X z&x6+!>v$xpuL@wVl@`Yufqu z8RpL^yoZbTP9oIx>&bR~iSizPgY}RR#S|pa5Sc@mRRREgd?BBsj)S}8K1+c@f*Tfp2Ml} z8^k$UYcd?%|EnD#JhF+n<}8EilT}-zI%PH2#KmCHnNE&qj_YZ*YH2R(XkH!B9M;o( zt)tn|j$((Y4W`vG(YYtDtyb+OtEYCt&5@7pYp=EELg8Xt%^UN2>t@?ix-ZA8u}$qv-=PkC2Ct?s$D5qN ztLZz`hR@*D^yPR}-!J0T^d0KOXYgwJa=fcEcr|^88uA&un!ZCl`3zo7-(lXXX9mTZ zcr}jYHY%MscRGACaL#6q&Yj9U<&!*X&z%lD&)Lni5IUA}u1KRVH&)d+^xl@_vIU735 zv(|?4I<|gluYIn+R3ES9y|1gAoyBoZp4Gt{>hXB@^{N~n#se~DGXIno8q9H6^sHR@4gJSLc#CW9Jr_bGY)B@_G z<}9dMXYVYeT3K)%{Tv{aumA}+4)y!&N?rYwOi5CYPc`+!b*6)qBun0RKAMv5@MKM1= zwLjyv{8PMIXL&78^SV0P+fbeVh!)kgt9wm?l~2J=j$y~AU|Ywq%Tus5@(xeIz8=GB zHS&^8Iw)6qzxHV5W#xUvzyJm=TEcnH4B*#Kuw9=Z=Huzq7uv;sz`_ z^hM(!@6(iMWy~4Og1H!3iahsMEHGe>JeB!>AD&&;Q7W-K97v#~>IV`krFI zotRp8hGTO&^lg|Dctd+HbMd{ zm*EDEV$Hl9$FLPY2oNsDfuec>!_WG}RPh!2!RP#n?^%DOSa}~k@7rBt7I~~EXZ&Vf zWb^`gC(F$79C2j#GdUe^HtO4AhKuZNH+a~|<2z`OhMV;neOELb|G^E6UBBxXqTe?j z3@3+i6-O+N)j>1&Xnr$2j6KU{V!x=1ae+U-!z*xi^aDrqT{|CFU%ZTbMmLNgZa;7? z{YX@}ES$D)tb$Rd4?j?h(Lw*dacS6jpP?9baGCX?Wv^UL6B#P5y<&zzym$6U_r{Vx zpsz=+0ciIM`dIp11eg0F#R8A6vs2&>VaShDJ8~}XAYh_Fcj35npC9!68GlbP##}l! ze0n*bSv;(amZ!XFX61$BK|2yHhmiMTV7R8!3UG%QVt?QJeI6s+wC7izv{IBgRjhf|$Nv^Bwdjr^ zj#qro`qB1)?kU>qiQk*(g1DkMfI2ZCec&+6@@UM;gOTpH%C}ND|R6;+nP$?rz_<=Y>FkEq4Lf7d$SFG(fZzf)Ush$H@jpaZOtWhauCt*u}UJ zj7YXSx=S^a9c9Gj&7ix0?BIgki7~&2#~@t#yNUhy49$Jevbmu?^lwen^7zj0XUf)x z{kO?n-mLEu!=|Nxm*I=H1LECfQHO*RFzY*CALtIJKt%fA4>TTdIV59)1`Sa=8lClIPQHK8O-BxB{iaZFX-?5zy;$0G%Z`N6uOH` zd(iSZO%>z8M-R*RVpLBN!xxnu@4elKf$dsB3zzDY`_pk-5pG-qH?D!3)WA(@;N%)O zxdu+FfzxW>;u^U47*1^Mbr9FI72(D;aN`=dNe$ej22QSllWX9#8aS;6F0O%#OK=oR zxSj7|4)16~7=sQmLFr-6m)oT>w^Crr&L$~dVcglrGT)-y1`VsX%Q;en1qTd^F1{hU zk#}$KbvObg&(Tubp!*A~o_vTy)wT>yMqjX;v;Mp zzP68BnBRTR{NJ*_!-L50cjNK7{EllW`b~hViMPuw(g$2rfw;Mf>4tZu3W^Gk8rgzuEy@>8esYlQLqA!^fiww@7fO5 z8iPl7YVB-hCnxIAxB9ynzUK$N<@K4(*KW=eK(_jxZ|~P@5jQIQ?k@fwm)|K4Td?x` zDO}=?NX%lfzp~Ox==1xei5LCtLe#&cJ6d-+f)e!oOUyk)o;z6>ZF79Ohqs6e_kIq0 zkGXxRFYSr_ewjC5O9qcV+|S^-&lUPC4ZI>>GUpO)rH9)MzbLn|>H%(S(wqk7S^=hS z7Tut}o5zTfJU6pde&-Zsd0FnahY{&c1s7)kAM*8*^oY3>?Y+3a?0MyTm`om8tFpX= z^qlQ`XgoCED-y}Kd9Gr`$8D4!LSM}1IEpLpyMBI$6X~oUrF73?KsLX^x$nxaieQ1!3F6IeA~isod3NL?OCyZ))$8^*FQeiW!=%aFnymI3KB5s zslGidw@a|ORaFqoM4&s?OLD%)AWUJ+?`~mhvJj@u)(1f4rX02Anntcm;yZ*URn`(JH zAM^*4`d}^GCM9|wF|40M69l<1Ct6PP!(4}`j4_{^s@|s`19%^7AT+1kPYQS@eUn9W zn;MJbUP*ov{WJvgSw>#i6X#>5`ce3?HYd-(dPuK%YaZsqG`TDc#wdNSRT%dc^iT6+ z@v%m_D*6AYHyIUtNjE=XvHtjg=9AT^Nroc4AcfcoPdE;vsl66`!&E@I{wkpeAT&aTbfUOzsfn2I)mLlYyXW^>kHKsxUBR)N8WS zYe6TW{*r(?m|*b8eO#;KJwDI7wd1YT^F~86CE~4Vwf$PwU$p6>>{)+PtCw9ew^ctX z%5Ji)L~~awyV-(mQ##h0{z7HnW1UhwrSG*feV6P+I%_9V)lR5Boy|)@b}4zH6&7Ch zIxWS+1vFQ>T~#0J@PH8->b>u$cL!?)L4iM20qDrf`np2DaL<(O3%AH zK#q77zgff8wHj;lZ^tknI9@vrcZ*QWJz5J$mnhawR%2MQg#~O>Aw2e<4eAm@3f*y5 zqK7u{glnoW0QJ2pM<~&sWPX^<57NI@)YrhA!bes2|G=pAx%kA@zML=Z;#%?#43(cG z_#l)%igr$aclz;!D-Zt9LZK;ZH?2av*FU8CK9YU2{z$fikgw^Qp%vHWPB54k;Zffv25GSfO}4=0>iss84>Ld^VGCMgjXW#VlAmVt`QTG~dZxOV;(}>baHM~zfH_9U;qzP*He9X}EUh_f z%obsAdn#wqO>+?rlJ}!EX`!2IJ=Bxt&$6!*O?{0z`FziFUDB0~Yw^#;?Iu*$dc}8j zx&=X4Cxhx5hCBw-a4V?eI=JpNY=aW@&BM(Hd;o zR5;RGxcHVqo^snW%CSor zyyKd)HuvK*N;GvqUo^{mqy4wTo9Pd|wBI8?N;ZkqG${t|VvvM>_x5S#dAwGLFE3A+ z0WN@I@yl-h@;zSmZSv7x4n-=(W~BdK&C5(3K7_3rnzYD{Ulx_PhI!6b7>9!wW-dy! zx8?`=`}AcHntQ)4;k<|ctj0**E{B^nd;j3HG97)OnPVK-d}!|_zd6V@-ZcM7dYT-! zZ;snH19MF9Xqs9@UURb<7xSZx_pLfumwcUmA4CCPq2F0!PK2S(T%#^|pMN7hxEBBY z$kEF7#i7O~%i%C?3_B^^hu)%}oM?|H?bemn(*BFzl}~p_$L!DceVqU1ClyEdSuXrj zvn~HJ^)zO=iY=xJ6J2|EhjjH`d@<-A-BZ_lEZ@t{??NfOD~-p}-R?@zExkVmjq3f4 zyZa#vce$rXgU-l^Zx_J|unqB%%0NnfmwOs?Ma703z5&5w@vg~U_W--+ZTPO(@7h-W z+_0_3{T-FXJN3IYZ4LV|;#DTQyZbk4Jm&YCM{YNNesmkf-_oGFd8jUG)31=w__E(F z>6U-HgpZHLA$E8F5-fd_(LMZr(q0E;y%T)34RP!48sy`u`3;1X7_lns;ky`Z_nS*c z_x49D()QopRCHCv#ep8?sRKdjd!D2F`wMid_lH)#?J54w!4c2W?@l1iR+;8Wd65DC zPNrd3WGUR$pTTz~6OyC!+bUJPW%IrJtrI?Ojm9mq-8^Swny^>SCrx|YjV{HWI;~r#?=7^Iq{?UZ-ZpWkkOvMD}!<8Yu3)t0>SjAiYFY zICM^%;#i|g#^soc{Mn0ssI2|NjF3SGV-1-gW^19Dpcv diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_m_srm/1.srm b/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_m_srm/1.srm deleted file mode 100644 index 635a9486c392e3675a81ab5f56f9613b846dae87..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 26132 zcmZsibxd7B*X|1xC=SKF=)twPyF-EE?(Xhx#oeX2ySqCa+~MF32Y0!Bzngr?y?N)K zot-s1D>M1+C(o>vA&&g^<$ng0l8TB771cPSlpI6AY#H^nMLJiRHgffUf}fuYns!#F zli&R|z2Pn3n}3ib6vZcUaNsMnha`%I(G=NhWUL&y=d*=YCGVB=uu2&wPy0?ZD zF=fiSn)=?lO%V?Rr(h=WY58@xv>w9g&xfj9X3qt9zVvu4_d}s(J6H1UUu~G*JeM0p zgHsVQqv;-9uf1pOK868ZIjxue{;i;$*c9-!RuySV9A6ON1E1jlr1YfDN?#S!6K0=@6X zF73oN80gmK)BQ2v=XRB0bDg1u5^D!^`S7ehL)X)|fNtrKPNliwqXh43aNN-i1y-B= zDYmseb^LXrr1J{c&}?+ka`C|}<58&pRY+-&+huo-QnMf zehHM(Oem?jlgIgDEN+TFlg~%*vWxNYd{~mFH2R|_NcFHe3g_8(fI}F^Rp>EC-&%mn zNb40K?$J|JTteAP%t>#S`Ub-q)qbNFXs{69v#p{0p){>HEHN{8t~6YM@g}=7@@tyT zE}8~cQJD{}IuDw~MeoEQ%sF8*fJEzwI$iY!<-YbTdS|Ryg|DVJi`TtK^GddlK!33( zw*&iXRPFgKhk^xqc(?4kyaIxdMmAGN3ObXE>KO4?#~UBKonl+gpgv7CA+@Y;3NDBC znm3KQ9-0)ud0}j>lm12bGU9c>nhtf3BuTB;51WMVMOAmg%?iKdN53;@Jrrj_2Ie3r zwJQBdda|8yDm%lDd;&GzCZQ6~ir4}HpW~QoNp}JR4xSmsp zM62~(y*O*+9p7r5(JD?3-N+ab(%^J}5bt_Z>rOKUP+9m$=r}iqZ{fM^ z!ogzBADR6UZ}>J*FBqt&#k}#P4PnHg8PkRz!rr!+4kiI=L(%;FY}89EtMm(zPzYcyP!wd z9buq}=hILr?aUKVg}HgTx}0pkV9%icdgTM<9LJP9aR7Ldg(3DSZJ&%;=>2ZJGJ>Xg5Huk= zrpKf!Z~ZA}z|sjRI){N|nMjP`j5scWb2@+@jGo)iILS(s4eGmsFpzZ|Dy|9D;288y zG>;p)AmnGsz&(QE=6T^D9YgfeIbm+f7J-xCFrsoKcxK20H_y8Lz1ws-4^Li35;JB& zS!$%AJReSeC#gOc*s~-A8V1Ny7h6LC({Z6On+JdCjf~S`+U%X%$d{c8dFi0!bWhAv zN6nFrtbD3no0DCxe2Q;aEJyH&aA}Wx4Pe*X``bfk=B+GGX_^e$8o~uj_`@x&kJ?>c z=tc2d2n=OoSWS>#>eCCSp$5b0{GmF10Svh{q*?WBooUJt7!2h1Vn5Gnl)}={I0)ZI zIk8sT7mfgg#k=8GP0&i%_uv<)pyhuT!)De=2A~kZ5NbA>wP0BhAo1X+Gj;yDg#4g& zO;XEp!Y-ajoAcr2Bpb1g-5mZN(}p^SZUtM?gJjc#IHRNWU>dsh*sXSfnlplv^pNC{c+3b!DXDl;V>3UTh zPT?>mz1zIM74aLSk5bU#yIcUXCRR%x06D5-x5}?}4}s=KE}wTQUhMmhxE!ae=oYE! zHQtuM1T#Lbgq|M#c^A#MAL}>^1GpAG>u*2#n~<&>rE{9R=fyzIv>@jeZ*-oTj?NHO zvM8dpff9>%qOC7Rze>Uw-HA8{sE(@(DK_02qUWYfz+x76J(nNF!q;!%lnr8~q$r4dWS z#m1a2|7X8_SEm=COw>a75M-ea(?s4q+XxU(U+%xCYmU?)9Z3o^R(zx(CZJ#IOb`tQTl+Nh>9yK|9) z=LkQSgJ-zPrOwvvHihaj3i@=r{iNmgrK#9>WhC~s0b7@&-2%P~_HoCI$Xh}uhgZGy zNI-9b(b3A_KOF9tg?%R}at-tQ`T0TlU8l$`5obN~Fekda6XYqQo z1tY}-Fo`Zeh9n{c_V-o*sIz2Vewob~RjwFxj|y#EkgqEKR_S#n#fw2l#e55qz31T# zd&z#(fqOvaO(eNYeLnnRokBj+4MpotbUd#%FJ&O`2iPdVMm|QU>8aq(64$PAcg+el z4NFJJ*hY06vl#r>brfp3OrAonxGJ=bp_T?W_otti_{m>X$$nd`ZAb{m`~Z9{SfAoNPmC_j8GlyZs!&&9(((xGD7Hcs1)H0;ucFYl3>MD3jxm{*u2x-b{WQXfZ#XiOPR1Pa9oMU+&1WwqH`U%NbE>PP%vPMu?4_XRfY zYbq0Rlb6oQTEJ0{IcRSZR_1G;|6|*wX{Dyd>JA<4q5tPqJD%BYoe4GM=td30G?dQO zBrO~g9a36Jku$j7Mfj&Ni70}DeTog7DE9kHg0yyH_LF_<>m?r%P8=;4(yxdo=|+@r z9;<6iG`jXJt8M_rhD|A##(ZiA0HoLopw+c~5WL7fu@R{O=(S2z1Td<79e*F5;gKnG zQ!s&aytdjbS$bIb%(LF}=y{qqa(tJg{aoqWb?jLqOl1rUn>c7aM_zHBz-~HANkz`w zJXmo-;C1}rNj}OJ7_RAide<=BYTEKrdDOnY2pbQb^}LYa0-MANz?@3@q4#|qvm?Tt^RwUHJb%byi(BvZ#^=??2M_4TKCIaXS zx`fTr)Iwk}WDmt9>F=a#palG0l*>q_DT#LxGYM29mRuhVf5bsHVBhKsninB{uX7-G z5f{gj#7$I`Q7y3;-U?*MawBPxa0elOQ(iJ=+Sp7zU5tr zMDK*Daaf-AI(|sPMM1OARVMnZe05@)>!Ca+E|itcrnoV*$=5H%Kd>rarAm3-Ms1LA zw4|Pmb1-3r438*X%6}-(c|pCsTJzXPUAZHo%5>D{m(J8w&!>R;o-HKb-ZTst7fhGH zdW{`Y*MIL?KiJmTd2+i+e1rMV0q*}NL0Qf&iwT2wxhG%qC{CooB!uD@U7;cRu5^>z zV^xX>0~V!Re#<$equ0*zGNoM7gFlW&&h_N$7bHXaL$n8zH-ttB(MGHRlz$}9c9X$W zE0rs#KAL(R5mRd?vT>Xsmv+r{*&N&7G)+`N`ZiGNyOtHJr6_?fWg!jkz2?pSf#6p} zci*Yk1)5EMDJ%rW)~>NMZ*DoS%gk?2)p@aRMtgjq{g}9o;nQ2Hpga;>5RIb^W-+|} z)3EF`jOoRrcjd_N^brz{H|=dZRt!_g1<`G=KL*uLAG5b{Ir80f-IbY_>gW}B*i~5O z&%jT@xFq!?2n00yP%*5lAg69|;zrwC)V+7d4H2Gcoyxx=S9vdJM@vM~EC$Tb@)|JR zOk&DtG$?YaI2yC1Y1b|2VK94GWZ|qr#LGrCTAHfPX-> zzvx70uey!EL(xk^Y)FCE_S; zJnEDXaaXcEPtRX5JR>f=&yXg0xx4-kgpGmK>8b?o>tuGONT-S_>Bc+LQo%rRh}YDj zP&@E~J(#0o8-x9d^r3dY&yUT(R?I7h;QDT{b0s+xaVgpSWl z;8n*W#=gzP16s~USkM`-Xd^)*=}*)`Zx}xUTkQ5(N&Nn+nkCA`7I3150{8Ul{=CKw z1XJ{D{vsO;bWQxTJ%f!f+NcQ)_XDW?bZ-P$Pd^c(QKTsyvGc*fxbm-IC2;&a zG`AG}31hXOUyENQOPjw#l5fdmxxlFKAZj2J_*(+=*KMBo{ES7dNh%K93B!-P3dvbm zk+O>%=WpJ(MgqgbQ41eZ8piVuPM;E(H<1|ZQu>KM`+Dvf45l86Kx{tmN?>P43s*Qp zA8zKZ&974Mq6}Y^BjUVrL2pl3W@X02*A;%zw|7_a?r=xWHe=mi>69T)a^g7J?@q9L&Sw}3@5rI(OKcBt*U3I-c z1IT@W1ZLV=PLpGStGkXj8wXC=Y|wvqR|eCupzkZ;LL4=#$oM=%b4NBhv^m0tgaJph zXGRmkF}NCOWF}EwxeZ1HX6K8~CT2WpEn@CoS!hclJV&}}oA_U~-{|-<(Gok#SyEo# zvIy}%{*u|2G6b8IN!4EVtkDIFP-*i8eG4JXn!YS=F#l=u^=YF*L3Hi8K_WOnU|_Yf z3WKIGWUltcsUiw7xz>|L&|eri?9mEEV48Yo^^(>eePw`0ptp(WZ8Es&;KBpC28`&P zT&2j40q?w{aqdSqOmOzt&;dzD%cB4es`0zG*E4KhnKz|T+>Ay*hf9P+-(-78#ob%6|}Q?XQ@#%2ubMfoAKmQSE=0_s!~RvRK-AActw(_W276SZoLvPcM(FZ# zk0FLzyjUX1AC-Jf$soBhiEF5T|urX;X7EeHq}EUrTOf+YJ57tAs~uuG__^ z&`LjqQUg5HZ*(y|w{x-)gyX?EhQz_p+j4gg;i{dp@}F`2lWkd1WNdyF<3y;#tS=y@ zo69ddXkiBB4qtMA%@znXGFS@uDJQ1UqV*g&Z z86YEDvN`t=<4ZV7j*jwD8<3j!Av&>C*}n8zX_l*DVNOl5Kb;||8`i-S ztjaquB%hqX?U>Ha6d_Yo^{3If82CTReY-IL^m24}&4hgW%5IP4m3Gz#N@r5ZZ}o&k7*)gq7WFg>U~6k==59I^A7{5FNk#k#V(-S+42Kp55_gl(z@I4!{0h55zm6pJl1XWqbF8 zQ*#~MOa9ry|1y$40$KTJtf=v0kNzVn?h!d-*b8U=^(vP3^KvQ$OIp*$;`c-P-(@zs z{|Ne8+@q(j0K79vFO5N!F!C;|tswX%2cjd);p5To48G$|r2kn0(d;|uO^g!^azowa z!48b;`S>9K@!uTF?>^ggPE@{BJ;gMxr(a$s;o-rkkgA3G_5pEqBelqFsQDY5c_zw` zZj3K43FDOZUO(=bwmUs;O{wB~m#p#h)rJ`xUbfZ>mL7~T&8<8ckFls*W$JjXRx45^ z{03`9t;*-<^?d+doBD1KO=C@8+BM03t^eNTiLT>_rGaREvYR*4d|deqkvg^Ld1@!4 zy_U1j<)~FB%FRWgP0*|PQ;AHdwt4F8Ii}{_HJN$zdf@2bm+wCqPKSv#9=-}Y&A%HA ze}kKQOpd z6)CNi1i^}BZyHIrt!CtH+_$-Sl z7s3SM(D>^fLYCT~4O7L>#3vfV8T%LE-!D)AOBTw1O12mN0F5W5PxCD@E#4;ubDwQ{ z%^m&a0c(p024TLMaJ=+v!2-cGsv&CQ>j{gqN*v2!sxhcmflwnzWr!@*IVozV6 zo#=(@Hu%+P#=zBH&dMqZIdMV`yeE$uB7gxsmiJQe;mOJ8N{7VnmnPETRc1JvJoPK>O)PP>0KyL9Sxf@jnJ zne%l*g8&<4U%iVA)8~cCX*uQkWQ)tS#q2ho=3@FD`jjXrMRcKR)VaJNm62taf9_nX z=Xj!h`&Et9k@LEZG?Reo=<8ExJT~mNN6r|hCSv6@o5c;L&^*g!Ad@P(W&%O+i9h)e z`Mu`18Y5Z5n%uLsgJa&a4`}9dg%Q5rdmBt%L2OX4<-`uv=Oh&9l!>uY%EXMaN~Xc% zBDer?)1S34No!s%InKm5JN(1LBl&Lod8$UlZ~rmq!LpNy-zfvJ&QV9;ydJp!A#>~c zjq#DJzq4le=To}{9hC7715_qj=1b-Gl+dRnLh0*6GKR7-WBn7M`WG`>DG(3J1O=VIt%XZEhKGZ7M;t8Ge35)AV&rVizeD)A}Ph`5q7RQjKuWjpI! zT)gda5aG1}YIR{U{7><4P88+yh3`dw=G*85&mbZCsDKDcY`>~f3`=g&<3PGMec*A%b%slrFKTV(J0$MpIV&E3ns z00QWLg+9m0y=Kn{1cCT8sek2!@6~)`ZG>V;B6-U$jOYxoE z9(<9I96KV<4kPg;^JAweDv~!c*!yBi6(YH~_V)=@Ly-mw#)?P8(O#WLMNkyS3@KE zWsLCsyc))`C7;v&zCa$H0m1+nX0)!bcFB?ztswKtA)bu@v1OQ{)WKdC@%!1+B|4&k zn0};m`$YPHHLJ}vHa=pJgUc#N{v1@M3E6oo84LSZQ+UHWcB`YM=AYh$bO@b<@9hOL z_d;X#u}o_h0Q2Edtk(5dfEJer9@s6?ZjYx^gE41ne(E@LU+}lYzw;=m!8H`nZ{eS@ zQ6j$jh26=Is@NCth?K74j|u2Ci|T|CbQc7_7C%7WHLtpE5(%}x$Be4mwR9q1k02V1|y~U;RnD#O@9qeq8Umm~lZBY$AFcu7;m8*c!^o4}b69KTG)4 zrU`T+lgVhErgB-pBb^u7m3+NgU&(wx?WG1$`c$5c(f|k}Wx3Gd5SlZ9PR$Xmj(uVv zh@JaBvOAZ($ig#QD>VC5*YsB0Ix*+u2GVn1F0!do`BB3bPhy7bo*`rbRv(!kkbg{NtgrSyj0v#6#`CuhEdF16QG` zT$tX-4HQ565s~vl`%pfn;C#q3lu@KWaH2JM6$8I5nM`&KKkb>Z+CH)_q`y@<&Wa#* zgKM^DI(wI2F5a7o9BnaOt|*uW#*~D_m9aQ$KW|PA7KjH`ZrQxb>>64!dOoL}D`F$B z%#X2h_OdK*k9cw@zO33`K!p0DFqUY!SB&v+(0nN9RU)K%$j>JI6+#F(Z;zrZ{6MvO zEGXoQTx0cR>`WW?jAcGZ5O@PI5G03o)Ikkfj~}In8;FyR&wkG$cPF*}jsM@WzB2Aa(A0_U3fiZem``%(!U56kdID;@>wQohgQ zF*;8V5#Vxir=V=F_1ts8*3*pV@4Eth>P`oupEYOVe7s%sBF6B(wHXw$Am*y%m2Z?sRzc6`4c| z=$*4J3+24vK%P?oX=5z(VJl>H0o`Vyk(w@un6wZ3MWIcWluG?^WlI1r=w#J>j z&l=D&(2J@I0*>acvBH6KbXgz2nx6#ky`z8BO;n=DCt!TqY7vvuYd_DQp?Dw06f1|} z$$l_g8{{mxZ@8}HUi8U4cf{L~i@ObWEbqrL~>_J~x!mtkH7FcGeD+r$WqxIln3nEKOq8$rM9L=&vh4n&F_?T6 zFV|ZZevOhYnf@}~&N+Ja6FwLJ{J4qdU}+`rM5kle{DzU;=5_RpxcJi9`5fo3LVZ)C ze8S%4*)B-x1sogPmch$uN^;TeA^sKQF;1hpY7wZ@(-P#i{2Qyvr!ZWb#$eT@6 z?;)+p>8fxArr_?av-)XIP%pcL|8~E?*HXzG7jV$ftPMPYb+e4hGU`!YOO$UuqRMH{ zULJ|^bH2R?_x^Sv5+K6O9`|*2-r{Gkoa-?VL3G`4_{DGKboTRHOybCZ0Cp<~c;s~H z&B<_$Udpu)>%d~`mH%BP_z_x3!F@7#u_-;Ti?yPDdMcEOT1g9!e#y z7+x>FgD;4PMlAL1T-^||kT^$Tp;oP|_*`!1sRoWK%z{TTep2E$9eTvL^Kfp(W0A9k zna2p!DFJWHN1M_}gi_boS-bCVVSKFx16=yDn4H#&PYe|W#&GNPpEi)iyyN}*r zx<`ri9N14j3dHvDDEDUDST7(;cXGuOV+p#GNA0qs5;JE@#zxM{cwPp51Ku;EUO9S3 zt%hOaZWGU_yQExB_K*Jz4C*6aBJ@EvfLEWrk;txhT-mKbgt~5=J?sgez&r`5&-P6g zO6#A}?Y$WhE<+vR7cb~IKrR+f{BZIsX1GPVxOf9@?kjO z$nh<1ryJzlDlUi|-2>##(sin3^X#=SqO+Xdh|YeZuugB)FCW*hcvOIFIi)X0IVm_B zEfe^tBR7&#*br$UiN5Xfw2AW<(vN;IqM7ukF7#_;{ zGg9_qBYT649TT^VqHE$}Df#uSIO?*j3HQOetWZDLj~$71d7Vr@5H2Be%zfKjWauQJ9MZ`S3C3DY#!fcB8FZ1I+Df7xVHBCG*!wY zjYF5moB(Etp>(`BMxgA8*L8gMdK`WFN$BuvHIIH1-;(t^sFyQKC!a32|E29md5JzS z8^l>HMAe|>@OkJs?oRvlC5^>s-**=aaW{vDV=}MMZsch*)rV*l{BGP@fJSBeq?Ee# z<%+WT4aRGLgIti&Sx6L!p-2F|%k%2V{KRcGaFDq;v<&X!__!(hOn@lT1!V<>e5@lt zD(!uJjMl#4m{2)8S6>^4=5x-bP0gLsgxYJBr*&%n@-7y#8EK6bxpckCB=qs6@{o}# zQ@Ir*B8UDw%|-v5xwe-Y+|Mx`@~tz6u&)rBN2Z$~mzKOhs~hm^$Mg`2H(G-Nl8wh|vBxN&#*;3x&$YEkva zIskw1n;G(AZy{62eEh=PELd3|ZogGrcE}g(L3Rjfd=g$akUz8$N~>tSz8lrVz19jj zg85tYbd|Byl<7wH%EJ6wOB}>_T)zUsIs;XDFFgh@Xa;>u{d(`3?|Fvwljzdt(pSmS zn;Kp+eu-()>pH7dtAOyDK;{OoRFJ&+)#7#Y=?A&htgNe5w7j=mERh-*=zrh2pG<>$ zJzEB2-yZmPJ#%aO9AQIP)0&_Qv=Kq{b#uB%-mK5UotAQ7^W1#hc*FaY_KLT7oUAqTQUq@sEjVpP4DECfnud#^e&6r|V%2`? z_4F90VuLQZe;Q}|ZN1-{GMq$x$!?P%MsweD!#B%cbcl`g3p(gzI>Hc_dc-^Qb$4;& zm7|i_V6Z?!MMA)KB86-KkTMRt@DY8)N68m{Si4MzCgcY682Ip$@w*Y`M1>eJ+0`|A z{k^hI`xf>7ym#Qh4{I9^G)t`#h(!UtZ4qO6yNw_pWZZ}OeffO;&g1AtdSDy*zTS1a zdLc<+1ofp74|e*^98QR~yD>0{lk@5vV_&lfQiVVr&m{)HpAq3hVMd(dIlROFr7 z#H`oQ<62kp=`~~X3)SBteL-xC?akPQq{3Ia!4F=|qc8M&p-?mO!WUqFsscnb) zQMaUvk_|)Rnl@!qo9v1elS9#EE6XrkX0)%OXXSmQcMSnJrs=+JO-cUq#mf3|w{;m| zFNe^pD@bxpVR|x~PF}+tvcSDdvUcU;<>vM3W*z!0_|De;QQ;wU>T~>nV|wwRb~SOn zAvW8n5#OwKqJ#<$O!*SelwepXug8_l3Bg#NavUP@6E)nihJ0ZsEh%fv&tYx zVuy(%*_fSnm2egR@U(S&&j#1c? zUeneTsuyZLef#V|MYhw+C=Wlbaeq{zz1#IrEO$!d`(XXB@f~(xTs;zh>9q+#9NdvMMb`>R-NjBn%8j-Yr>RV@&f^Z2-uZ<_dHrF@7IPkAXhQKaz4 z{d^&l5_gmJ$oWp)DTooAS8LAI;D4is2-RQ@);4Sj0VQ2H(en=V@rx?IZVGg|dyE~o zOm7MdAZLQQo-1f-fR$Kjw-JqUSj?H3WgCA)Q5y!9RyXgS{sc~QNY@We zsAGYG9Vy#n4?fIhF#VdRk^TIfcoz;FKCh4;omXC<9)=a(IT|ANnW+^*D|>?{J+AFG zoXFCnP#+-_K3WWNgD_aVQyS;mD<|@N=}wM;A3K@i&t&(pA`+jbU8aFT|U2R4YCuSBtq~J_4lB4g!um=PZ2(M zMM0v{ZunXW%Q9S$7ps+OmK)n(x7(7-2)$jAQSEW+xLcTAt(fRrrd=_2RVY1>$c>~> zy)wJNr=TCw>x-BD&19ZSdrd%`r90ytvN5IVozdMVd$W)UVNQeqQtE405cz5LBqBfb z8z$WPr6Ler&*tIX@#!XipnG=O;0efaZtHuCjn6edk1es!(Ucn=Rh7TM1@dI5bW%u_%8SW3l0fa$ znYq;}A3=a5G?|TFvPA=Uj0XgOxtG+x05@Mo2%mGnz7Pi@l z8=-S6IsE{)41WnbX+!uRQFskB+IO!na?jrj;*P47_t)5Vk((F=NR@qm`=+rAE}}{f zCEX0{7!By5#u4XiLLn(!CK4axn?LU(?hC!pncSjQl8cdXKM<(a0_Ko*_{R}ZE`+|r zQ?Tx2?V0`vSd^Sm@zK1N5+F&tA_zGlI7#pg7P zX9h+W)L>s zRMLN>^O>YPjwSr77vAAG*|{H2S3=;&rO%?-{2*9Gt6?8XQ=0hKdC2c0k7v2mx7&t6 zI7^?GpZ5Zs#O(xo+&B|5>O8q1U?CJ5Y&bTQKMWV)q&$UbAsP(Y%%iyT=b*uqz~2Y7 z+7BCJPk4t)p~Z2iwp2vhnaMzG1rA_OhXi1x;diry<*umRR_Qxt{)b5( zWyC67uUsSAGlzZ8RSjW{RsztlUd0i0y7RY61eLorXe%Oo$}8j#mys>|K&o8sVIt;g zJAG}vPQ92bHys^mHlaJ|Iwt4Q3gG#r`62MeY&0 zoZEoXEX}IoQ@r#fu|Jzc=ukLRvFe_o9vhS^Bn%-IVoFn#?@gp%0d?jDe|FmTH5=xw z@*!P6N+K0=u+<0UXF)85&&w(9HqxL(o&T~OI(Xj8nP}wkG<)bDoF2x|r6_r%qF9RJQ$2 znuTq|hnE6>Ii%D_B*RBfCw3ut9#wRXmr{==b7I8zJ*6Hzp!D!B#6?E|*-o4Cr$U`( zx;BY@sX)KXot6$0T(>aauM}W2mtC8*8eSwjsPwEJ8&)*=T9?!|qND&P1C6kLKC4rg zq&A{N^iuSvjtEJ{-Fw1t|HR zPw`7v0t+9oJBQui3wy!z%`Hei1#8`yLv?kZyA4e1eyLKfkp z5r@Uw8V-|xd;wdW^o%wS=-h+6q%G6neZx36n+vWK9k?MpyMPY^|9S*hQYV5u;TJmZ za2P(zi|)jSgoA>rUE29C)3&xmpzKNAAkM*Y|qcoLn zUo}FSVx?6b4$(EjzSztBK1Ic=R(vf2*&tx0L#!A1Hz`JBjL=@Y1Yd(nw@@8&N(!yHfJzyamEX}${hP|e z(8pf_U8JXOwyP;ojH|*Wow)Bj*R>gp*#N-iu$Z+^x$e~Qd%%KBn7+-=G0&6zSBeYV znRT8y#Hu;jezPB_W#v4qG9HBZr_*=L^W8FAlV+10zvDet)cuTH{j1`GbY z>X|`6XxGSMiBM?Zo{N4LYj~fq(WolcxTj&bj~x7{x1`th^$Aqxgq(28{K#MJz|o<* zZ}EDme4R7B)jBg$UiQU9eh@vrH~eeQ^k666oBEI@pa1o=p{*0qyuaPkG+~xf?)7Spk}7}PcetcNzTtEe&$X(%aZ2DD zWj*t*TjkBwj?((U7Q&}+pEur(el|4P%r(8r>Y;$sR8n4?99oD48g3E>b#tLaBxz_p zJuG_FD#+%52t?Z$0cT;K>AauXzuVyuPFT+1hW;#_a#)|%+i2tG3cVGaS6eGYYO2Qm z-V^*jWL4$nPsy^0@ zLc8i!dFNcK2Sztyr!razS-E&$y1Jz0U9pY(wHNr#t<;iiocTICCLSgww14CxL z=6tW0_NuWJTYw-Ksonw%<#$<6T|HLJ8C{ar)O{*Inz>tLJb!z+{`A>y&4O=|co#JB zr$!=K?y#$VY-6IhgeBAOdZ*_Hbo$z~ z^1F#A!0KFTU7%R6JVPnhh%t=-F=Om4mp2xQ0C*MrHUhCq{df*a%q_qcsCVU0?Jk|DFFvz!CYuQ-JHYi~ zUTx;*_B%|)PRxj%e^#gykS2z4hz%}WCWDB7nW^1RU&tFE<-tm;!l)Wj#i(5zi#ORO zDj+I!$Ksqs_*ajm=Y_%m1}xuiW9ELBvI_4?V;gAbm)is!d^%)-cUB8Mr@dboA}XxE zSTQSp$VKMjz;RR(mr+nvJt`zWjqPCA6$LD)v(d(22^1uCdAIY zczd(3HhMzj!Qw&JiKBeSj&NzC1O{O(JyXam6GO;YZr1qzW~+bFyosw1-B1*~pM*B)bYs`bj6*jx>okoWe9{0n{}P^D&x*_H`OR8$W*|08?c=w(K{k>~+k?F(XFGQ; z1p~OhcDHaf^rh{N#AHV?41q03;h@gd|atV}$u$?ehmy#L8K2j?To4S^v^}|HrZO@3Aca2V`P3OCidq_f@Y3tHS5vam@L>G0HqEl(4$r6R4 z=GCGml+G`sxXE;39e(-6A~$sIxk{1oDlPl@rryPO+ObF)rJZ<4;q@0EO!Fqq@b+7N zl?0yv8K~qTQtGmVEH5yyR)TMi=W3oGNdvf9>q=ywi0l|M_l%xQmVI$T∓nt%83< zL|B;P;xnfJp)KJ4sFJ-3^%(7l3-NF{{_Wl2P97?o%@te}iIrr4qE+{?tL zYt^3NjB@q6s)>y1h^2VPcuJ@0;^it@0aAU@&g8$VtIr*iVE8ysBY=pVZ3hqfkC02EsAQEh+KSomo6g|bGl zCd>-4?u#Fv)*aiG*@_^<`}ue#{3Mq>25?Ii_;uBb!v1_Vle;Y)@GY2s1k|05H0CmM z$XqP4dyZC~ktjcqHQ2Ow5RC&lpoMQOJx4dr{+1MnS!-EiV$6XiLtE zY;}2-{$+5k3G>Y%`h!1Bze%o@-)^nw^4HjH^}Eers}+!Zb0Q@dpk-K}Xgy{r;IxrH zP3H5h>l-}^C#25O_Jj?uoin4JZ;m&Kt2Pg|W1@H8tw2Th=VMawy)ya6YHr1@XmhW$ zk>)_VvySbd?(iE1ZesQnpzbiGj#PuSY!6$d2iNjGbA3%*Guo7Ft0=Vv<@BN}7W>`i znv^Fz({sG4rRWxK3UZ+IR*0`kVO;fEEKcq5#br6`v#q7XFM*Gc@sH1z=I~b&T;;6y z6F$LV>xYYKo^>ZiBj22;x+#4Ct`Wx=&g*-B)_TCwt+5uaQ;i=s5N&fJt%S^IQmhWB zHIddzXS6FjY~I)s6cqtZWWLrN=B39Ca>4Hy#c7ZlPSc3i>THN&2B$Jdze7B-90JC0 zG_Y~OR7N!2x(fI0)aG`uxO(&eyY*pq1`rFm2e-p}vjSvZDDB(A+I!V?Em zJwgV!Y!4zpXKxyH(@m~h72G?r_LDcu4Ewz1fAyO=v;A2^8C{(FepJz@)Zr8YSeZSK zv?2I>&b-IDC{LI=#LEco2Hi<&=~NqssGy^iuno0VwQjB1Kb|8Q?L%gNV;2aPwsqM) ziHnkZ5*Z|8G&@hSa3+`-o`tyu2LU%mJy>`9K+s`y<;6>>%rQsI6nE@ z-y33HrBxYz;(g8DnkYJM@PC-00nZ1tF2z{a29V)1k6*6}K9I#XUEStm`L_N=LF8q; z{oxBHKmmqUF^Yj=O+K=H`s{PnsQC~Jk){8&IhMEnpEif>)1NMUg4#v>A>A$$*a4N# zs%A<9|GzJ&ahYFo+98v&U*V%FDb;4SUggeyB0j|p4IrI~wrBuUN;nkWzn!ktIv{b} z&na4&`$)ZNcJ_J46*UqHOUs6kDD!okf)H6eswOU%QoGM&R~Fl#E4Z@dR@~PvJH3WN zpZwIPAl?Wnk^l81Xw~PZ1+|oG0K32LIys9PL?58kQL!?}M_=WA-hG+%kXJHm1{U3X z(Y(E$|4#rDCG6Vm8=Lsawbt|OB=I=_TJnZpF2ySAO~#G9zf?M3yXe)C4A4hsBsZfj z_B^AWDMZkVDE4fCb(DED#t9#dlCJC##JKGLKsCH0<=%)JiP0S)mga8v%4zZ0+0~ch zX+n5DKIe3T>#5DDaXr8Isf}SdKw9Tlv#~XqzGLmq@ZjCS!Mnlg?c29fzI(s^+A~8t zwIHw~#R2Uho;M~_QNb&*&H=0()H z@QF2>xX$T3tGQO!l3~#&RP_omJrADtOgsx6d-WOEH!Vr~rU{ByaZL$GtFvTd~Vh~MSBAT4}7~V`?U>_2D%16m3$}yU7qEA z_dsTGz%Fx-c&0Ab06)NeU(|at*>CPF`-km!Qcfuv+8o!EYi~wogf`d3;Om?Xmv2_E z9s%J(_=F{Fa$WNyb4n+xX4?ypvb47csy80`iY<%JWIq{6Be9Kr6*JjnRb^A0uBjTQ zgLc;!&1b#L`Z@v!MljAUy!GnckiA+B*jr6*BO{0ShdRq5<6#yrz#xIO5nfzd8wv~H zQ+;jHGYC*lP>jp)$yu^Gc8swjvh@;36R~LvFf>Ujn+H->p)HT=!#G`db7F&BHe*3# z&o`XAP8;g}g~gUp7*i8_490?`w6H?D7;L}<5(_AQUx+z-CRjyM!q9`8sHbQh@j#OG zf3g-c)M4gY9X5Pf)?rt_9ZeI;r4Ghy-)Lq(lqNI~3Luz7uJCVoeSBE+ntKUq*?JLcUu0VVRDwAEe8HYbK{}T9->nM}XDk z8UY#QSZI*0tC6p3;N=)-oqR6jQRjD{uCtF{3K@@>O|8);thA1|UJcoxf-?zxP#Az1 zrtoPXcXByGY8bBQby!^^kAM5-du2IPdA<>678t0GGc|mKv|j4F2t4TNbf)A_^_vwo zNB!HkcaqNs0L@p5c4V(y5Y2$b&0cJh2*^k2?CBB8&|D_T?+a4BC3}lj_13p%>Z#T-YIBt|-D4Wg^_qI6A_^>XkG(MERf*ccQ zYqd#EDg2n~%hES5O>M91GG6+vzoaoC$GYO@Qvi75_LRMQH#|T+ckpV!O6!F|9^gk< zt=@qZ^-gBK)Fradn~Eb>)@BvQD}8OK1sDpSL;FgAeYL9g`_k;Sfw~6xGD06*ft7|Z z-$?JB3wma5QrZ8ziiuPYvZdZzYM1q3uZHk{P=5!5R|l)N!?&<33L62!3W1UU9hra? zMKtYQ(Gf4tm0GtwoSXjsD(}U^;jqMeX%D`oF*TKTjp+H}>{RpZ!ww(Mo$nlEqT?5ZsI_I~&%rgX@X1K3`M7ng@dp)3Jqz_`>Uj zao7tAY(amk%Uj|B^MHGBnB}P;kD)xGp$+CY`P~b-_vQj zCxCkhjnq9~D)-2FEdloct%N`;53<7PAl)lEW_nu(? zgE(mPHAVpkTQ8FS4CGP${F1K2Pmv~0xO>{-BFD?K(=^{?p5>cpen*9gIPab!UH)r4 zN~G?ha{}t1kh=}`mwLwKC#M4NG70Q>Jzj3GBhWTS?X2q{Y{n?pDWFa3WIW0`8BObC z+`CT31J=p-+tvxt3;HpF5d9b*Ef*{okj2aq3%%!l9T&%OMUUjqg7%blmcPnlzC^8s zW zDarIh$^V1$KD4;Z*BGvj0nAIEYK(Th!X^G7&$WnfElrc^zA!yc2(gZ*;UHNnit7XR z8mY$&zLe&G`GrS6(fmz~ z--kJmc1+P{NdP74;W#NO?7bX#S5|f##Zu{=9Z{KB{{OYgzy50gDLwg1G zBE`hT+VbRIqwzIAmE&fwR6Z%3dvSfJUnlkJ9sPQ!WPpiw3`yfF>%LF! z!Lrzc$HIBruCMH0Zw6ql5L4yyd}DXt*CA0)%U9l#a~8^zvgBBg8K*S z?suE+7iUB1!yz&kEaUr7p1YF!WvX+Ln1-5Q4}qa$raX;wg1Y7_8+>nF$n_LT_vAbq zOP?rMie;auvOV>9z-CP2d24Lr+(tU1YkUrwpRdv9kom1P_-CZwOT&A$_o8j~1DHn2 zIi+{axCg}A19MEI=kR1P0UD-08U0MAnolMUg3KP1aR7;1sN~W7jFhTRB^eawV$`k; zk7fLDVU_i6C4MKd0In%rEJL{KLHQd4;Ip@{RtH(ks)q3bSQr_>i33pEh3`|3gQM>j zW3Ot@YPwa}LB(I3;bLiz$4#*t&>>pv#_9T}(%&Ncxa8M{WnZa>x;(@gKBT5?3^h2(rPp;jZRyX_Q@AV5R+>BT{ zrC|+2%b26xga6^-1NHSb9$~$W#`Ui2V|~4w^s&C)P5M}0?b%DCHsQU+vp>%CTv%Rl=SZhav5GVCRKRdbzOe0pvufKe(P3AVk$>uKJW)G?2aq zt=H>Zuc1R19=uIn?U!y!x}9x}RI5{z26<=}V)ZJ3w5W&+8$$5Y{wb*IoRn zx?i%cpJ<~$H7Mv$=G0_8g0gdJ<4EbJ;{4DsUq?_TrMR5zE9IfRDEmsqb`UlDP>Xex z_f&nTnV)s3&*vdNY1C1JOiz_^Hch~danCfPUJUe^@b1|1PxuV+$jstgP-(wV0n!x@ z%miDd7Uv9tG|t%teg+i94Sy!{{pgG<+r{W&=7HflXQLD!>TxFma!=-10uGvSU*_y! zo}lt?VIHAAF2+4VSTxY$0{=t0+T`=Yyo#DH%d>%gV!-6YM8C^8>2jW&_z;3* zhw9ut*cCgCM<*p(s`5cC-*{Q%8$Uz_*kI-WY6Ld0&Gc;YuKxb6bLAr43D(;z^O|y; zt1?g3fFRvh-*Gl8_8r%%dc8H1o!@`3ieq+*^|*e$qhB}c*T2*-@Uo17A6&0c z_0gdX0MbMn1P4K^=ekNvMKP|3FfArE->1MNhs^g`jpw(hDCG-eekO^vNbKdl_v~Hi zS#Jt!!G7sE0?NKB+X4QdY%M#^bMlKlu&aB}DeXaA-h(f#raTR-dn@uZe!&0o3gzD;(jwp~x`q}>g%-`t zwCHW5#poVdq+8J<`7v6=809+KD$Z@ISlU*a9x3H0srAG@%Z=;liBcU`^?8xnTg90Z z84s&-3;IUeB)pIqsSxud8gS37|^)Hfk7%7k2Y^w@Im(nJFAYC^5O18{d)APo5 z%~?bGM!&pT6Hi+c)d$-j zy4HC;Dv#UD>tU{g{@49dny;s>$Gt|sl&JWnf|6fKgmu4Ep{GO9;Fl`&w6KG)@8 z$$*8q{-GMK5E`Bg*40bhmwSMI=3Vn7^_;{_I7nYVT3J6GOJ;t%fxbSP{tZ-3S@A5X zKHJfr4eQU=){ZWVoL8IK569xoIMPgUq$&N))%rmFy;ZwUx|Eb(UaKw0@sFY}7L@&( zdXA~B@n`Nc9cs_U>wThT)3aa7HAf(q(FZ1F5kOS?5n>!=U2RHTZH8G~qeMrU48-@| zhaYwS?OB-C3uiRq)oEm)(O0zDr!3a5Uj;j^qrdQPq`v^O0|Q&SR^n<80A&SyGaACpOQq7qL5X zRIMS6sZH1ALe%J3#*@9g4)$z@xiqOSTFa%u-V<3ajk-pw*Q)ee8W;WL`gd(p%R2y! zsP8f6UG+k&<&FaMkFLq1V)3HL{i@f405D8znw#>z`K@~`Qa&znp>WJ<9;BiJjJM}G zG@e~t9~Re1)AdeqJ#5UM`?B`?FdtaYC4Ed=d(9dIsP6%Fy;vrcdz-n zJumGU*5oHIp6zJQ7W);z(=s3aOOX%1Ea$^NSf^tx>=0|wS8qFdjRZ(ifRz|G@_Gk0 z(R*Lyelh9Wqh_pXr>e3r2)NrSa4H zCxLN7fH8&>ERFnX)CU)W1wS?;Cqpf$|$)+H^f*emLkMZ$t0#{Lps%!EB8L%BBi zb0|2x6ObbMco-L@{wi2wlVR~0PhJa*JBqWFl==4W&yB3{dQr9M~q z&cn(*oZlV_lu3u$d$};uXJKVE;3}d`X8F9REH1pO+Va)nz|2c-Fm6+>6m`-#BIM$tR1C z#xo13)0EPVBgLRBZ0*vz+#Vu>T{y#3xjzc z(hs5R`*285p5jk$$WdJhtP_Pls(q?*T6jH6I2AH}ESl(+MrmyT=KAM!OLMx7@V(pe zKt7hv1Ee-qjR%s;WBq%xT7&?{^n@w-s>UBA;}2W*aqC1Xc zmiUCEd}7luKf7$tCqIo(Jkb{q=aV2XW638#3J>Lza08!^G*(jPlTf4WG(NQzpX6iF zx-Uo@>wZ2Vl25Q6!lNE(Lolnf1wkf`M zTRzFh()q-zjdeet#CXKm3M{(eNyRfsq)TB(S0#Sm3L}mRC#CEpI?@qS+XJ4 z*2-~(8uw6?c!!pG2YZ-1H|`WTCAn@J4Cz^1viY1{P(`=+@Bg`YIizh)C5^)ik_-3x zwc(H0q+Rfbbvog*Pa}(s6UigS4YQ`nn5rD|$SjjdeWv^&yq9qvKBc6J%#eU(nZS-mWeu zfM1u#-!Do$g=3>$(blM@SJJcTm$6Oa;%xfE;``)zX=oFn&7Ymsm*u2rv`?fjyv9Gn zoJMKNKb;couS&F^jZx*FAuWwTD`S8jnd2D&`ZFjuN_ykQKUCjV@J~LjJWt92J#ja^ zL0w_YSzF3+sb6SHyVyrt=>T+1`jjf}R&Bd2^~eX>Tgv5};FVlgARnM^gh zr#am#-VkNpkbKEFZMQF%k~i^N+L*PNodaON_wr98?6=DCJQZdTQuxDTfdxc8bmM4w z7B-$MVpGsI)EwH_7K6-(12!CfCz4N6ZV|j(&zJVC()^?HJaF{$R{guG-^M`WC7Blx z=DH>A$FZeuF-hH~*K*y|^?P+o#$zQuSe^~PCl_U`hGW}U!<4O&LUN*^$7G)&LgKlX$q5Nd?N8! zdwNsQJuT>78$aib9GlSso1wnb@tb>Oe432-lpB^jCxj4I^rA(M!7O*t)AMQ=MvQty zL>>w3A?V;a`8vR#oD97bg{63`A#Rk@-YpljWxqc=UKMl%v={~^!CZkL?Zq+B^JMaT z&Eonni>Y+vPuk3Tp&fY0d$cK^PF>%|W=Z*AUgCpBEEZ#LnqrNrSPXbUp8Flxcyd6> z3y0eGsWYMxuX*O)zJKm^i?F>&?eki@#l1JKVq!jkMn^e*iX$3Hd@?9_$<**uetjr1 z{FMD%(Hif89*9|lwn2&a^8B~r`Y_|Ul=tRm!^=iKn3wpVA@;~Q@(_OSp{5^^2h5BI zBJB+0y3OLDZt`pq?QNFFv{~H8w^;(r#f)e#_DoU3S+-QL*_;3F?DK3wV1j-7r_r@y?tg| z$mfl$7hkXpV%hU%Z(ICK+rpl0VO!w2Az)kJ4^OWJL|dH1wu&3?WQ(ghxN2Nool6p8 zuT9`5Vn#HzpM_qNR@l$#db9jj-#O3D5s|TTg_rXBxGiJrinmhVl|bKB&ht86(&m=N z76A=`o=~wxiI>z^XkVnZ1F7L9HI9m}V}0TtFFgyqwD!CmbAcz)rSY>J?F&u*^4nIJ z+ZS~j2X-8k`DyDIdzJq@Gd&9{^Iz5La@F-U{wt*q05hdMrGl8KJe(%oqT=kKNo#TT zY%Bxou>co=Z4*`fSA~uVWGp^_+3t1BGw@$+(lLQ)s#x-ab&S11$ABRnR&`9NZb)6< zLdU3hdQHb{8E-G^m;iN*DQm<%kF3=(Ft)i2WWU%f-dNQ!(k7>NAlB&^6>}Ge>h?P3 zS?d@N#3%MY4TShH9b-1@7!?bz>6k6!?p6K+WE50&j9xFEU%y|+q`gT3=^KkOKC9^% z6??b6uoinSbc~5QCa|+}VHuJZ~ z%{VQA_e?X7!z+Ij;BTz&q*z$rUW-SqWs<_9QbM-xVa`-0SFk!Mg+eE(rt z4q&Vi`&(dASFp~mQL%abo_%coX~*VK*O+07()3>5GMB|fpAV>*9dlXqxP-0ce${;e zX)R{O-@J8vzRHJQSmfTTdBl3Z9QD5YZ5x`_wiL2Mk2#j^Ltg{hB~dv>ult-a2j9dv zzFp2~Dcuv7@7dco&(^-NW7AZ)p>E%}9AlK>Ci_MhsBg@5`X-8KEUNlu%Q$`dbCCVq zh7Y7Ktwi5dJ}_WL_`B?z%UDXq-nBKU;VpRnkkVVT^?pzDKTPx36YK#r@7_c6@gg87 zV?j7oaTLZin{iaywF>!%Hsh2mj(&ou`YmF8ffBKw_{M$3E1)v0A(C)BIT@jZZEE;E=tY{j=?CTg>jW zvjaRcMxMJ!Q#(8B4;bKCZ60aPD_M(q(taSijg9$LetHgFk#Ae%k_^-}z2BV54Qa$W z+4$17Hc2_pB=4J;_ogdyR_gYF&W}aSddAneY}e+4;{t8x>yqW{=HpNmFAr&1zGq_q zwjrr)5qf)@;Ca{t$QMD_qp;-%KG+0`j)TAqckJsR&mvVaHqE)p?C1(jN7#3TX1Tic zUZAQ^L(TW3dbcgD{py>`^u%0tkWHFn%`c;()YUKdFqZh@a!FXI5g-E zvr%`5y!U}l(|MnM)stZx-I4ci&~%4a^h8eIbD!>rGu;t*BG0R8&>cALQeT&v?oc)9 zbe-<-()gaBd)@K8bw?yfEbFp=KzBrIbVuH6LDL;kQHSAWIT`os4t1}tJA~$U+L$|1 z(hI5<-R=cm6c)Kw1rKF4xL}V1>1RDHYWxvN{)qJ+KstY9adev$wd(sg-qVib1wBea zkY*%}K_|az<2-PtA9OvFt|uK@s2EW&J^Qf9m>t5rt*48Y(^aB)gU0ze2;DPNGUf&>A-FKG-!H6)tzgyp$TWDu{_LA#~LV_)`cith+BFYhOA2u_VKyr5T8RG((8q&PdwF!KdU*HXKwD44^oL^P;tA?PS0~ebRVzQ zOKwAdh6aAi^FVTbi#2}pn0db~lI3>Hb=i>RfK>ENjCz8~PI5(W23=36T64Rc|Do#| zIfiKm`@TQV*&ea+%x6>VnEO$WgJe1FHH@U?eP|e|u-CIZj;-us0F_x3(vP ze_7EHX^nX<8=CxCUZy7mg=wIk@Ur|uT~C0`?k(jBEsZvb8YA}hedM0GO=6o~yi=Zs zZj*q#L_M<3X3ujxN_r&gA%}8Y#LaQ?EVi!b{C>LkB;AAYUdivsXqt0FEcx&|y^!Bp z!c9>|%i21Wa1%aD`62Ljw5RbOq;Xr)c=#|Hch}SSB4HsO1%Mg=@I?J=f)MC960V|S zJflRJLIjqfaE!3A?7V0)&`uQ+svaOrvPDKzel*~(q^8r=CM+o&E**;SK@e@P@Z4= z7p%)|GERGd5UTf)k~y)yA2yKZeRo}PDSQPUB z@tkcl#FIJ8Ip$?P#CAGxT*9fyOwYP% z(j&dw5P2meUf77OBJS3()w;0&e}pYAWWAHdPoX+1P~oU1Uet1E@6$yJtL1h|#xDcK zH%)aK723!5hBggzyQ0cRh!;^8qh3?B8TvdG?}VX8*71(IFY`O?eOLecdA6<_ZT4M> zMS)MMdA1?g+>!K8xzay%bq(4T6qHu8RFcgZ?PodP+0W)0B*h#0IhwiuX?l$3ITz>0 zjrhM3F_tlI5=dKky)TL%UsA2+sPuiyitbRk<4TvMIm4W{4uz_1Ej_=d{sGPQpJANK8fnPa{FdpvTyQQ z4Sj9!Jb4}W9#-b4FqWubEUm`8j@xJ%x9wwyKO93uTHiT_IAU#JXnJo9(MHY$t~Bl5 z!By02)FX(M-uDFaz)Dg%78RX@`i$FBCx!RvB!lx%tZMue=JtQ3|7gyOy1(l_U8MSo z>NZmPbCCUP?l)TIBLdhilzm;QHBX9!q4-K zMQ!&!-e9WNA3N>6*EaOdUfKyuqu0;qafh!cIwTjR3 zVp_f|=bU!dZ3F?=xZ&GIaSAGrbGBxeeGZ#mh>Ecssf??d73=qB*`QXXMhYyuDzg2m z6CP~%vJ6)Gor^R!UH=|t9hHrUgL|$MVlf(GP(`tvC%Ege;B05WqfBtKvEVx6ODP*>ZLV9SIW6?D`2Yosvyw$()gz_jXuQBl8Hw%(HfN{|aJoe*L z>_<+uLF5m2kN0mw0=pT*zUfZJTn^GLy7WBUj*vIB@cyQtAgmHzv21#HX)liDqucs` z{ws$wSSqNFA;oDS+nDzLqnlRIG35FTkfSCYAhR<-NJ9KH?WR9;$*#=LhH>bCa@sZn zX7L$A+aF_oUOHv(kHP(QJXn^e-59H7YboSPgu$@-<94l-9KvtD34a9u0RR630Q|Y7 I$-@c&0N4e{8~^|S diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult.srs b/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult.srs deleted file mode 100644 index a26742c0d16d177202aae8fb6822631e6cade8ac..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 24257 zcmZs?XH=6-)Gn;@2qIOG-c&?-?;TV?q=`uHAWeEFKtM%6qzOug1Vwt4UK6E5=q>b6 z6Cgkcp@$@&@B5we@7!yC+%vP+%$jS;p1o&ZJc)O1{O?B=^!jhgq6B>xj)|B4weZa+ zUhiW~?j1OacT_$dPurw^+n&I+;QTx7;v!`sq}XTHgmXOae65vVD3ZYSJ7tNsGNO|6Uh5N;Ep%^>%+spL?5p~NFi8* zt$ck3lIZqcCAi9Msy@^fvuh(AxfmVi)i6|A{=LkGcId^#L)u_o?pz1UgPvuWA_TslIpuc)7eKU2V`pXlnL18dc!Qf7d zI_gLI4b=>+TtXQiKgspMpEno2|1sG7loY`w*ck9px@XQQv#P4{@PN4KmezxF<$??9 z(KXX@a{7Pc*Bu&3SfKKoCQoBNtyybnD_Kf~44@0EosEN< zy5r2pkaan0WTID-T`dl35xlKy9sI;>ALb(Q<@dpJ@{Cr3AjUX0Q12J$Jib<5J5}>K zHVC3X3J|{E-?SoP$y~7gE@Q>_&^$&Q5q0y%4H9V|=$KlhFm;4Fu>X*rMjI5WqUo(^ z|1(4G@wcHD)4MlCrE_Md>)m%~4CL8bgVN8B(FmcK1Oxr2XBn$TEmI;i&6UI30d4yg z0gWUTjt)m(Xp4K49_6JGrl0NI(kc?S&vG8(d0H?Uq|GB2tuJ6Xu}dMfuoI ztcJ`7mTz~`*p)US5Z6ZFWgnlbE|M3Qw*nft>J{A<>??{we?1AGn+vwvU20SpKyS^# zCJwx-<>Mh!yM-k6yr}3a*xLa0?3G(ppimt6R|}617yRdR3;Zv+#0UwsbP^>+ngeG@ zaEi7Gg1)UFWTKtaRl1aX-(y~#?8f88>8AU{rLVTXky<9Bk!tkU3(yYiG|Kk1JD32y z`N^JM?tOupzAMK|(u3)tjUJ?MTYQ~_nNAfKV zmm6-!b9onr>)hcMZkyvs9QXuuM$xd^vUy$}-7&vPvg!0@1`c41L(N$Yo4HI7*fkPj zz;HvH>k2aMj#SS5HhCSP85)P%m_FCRq>;Rn=`etvo1O7#%@_2sSrJtcW*ma&%ZODBDOACvZi{&=DPW0 zSwU{%lV$hV4_}K=_0`kEngwNd=IdodLmMXa=)syTN3rjGYGMuFB<({ZzT~K42kJ&)B|Cj7Js7Qz;akhaKd#>G2e3NTg^0R2W2F0DWdzk*iGNx z((@PXCuyHBdKHu1WtZB?+Z{icWHIk~8pGg8x#NPtTszjRuTu|YVDV98`J%z16wr68 zDMPn;cgnUO8AENZ z=dEhC)vW}%aP9hXRsOcFH?Ad{dYj{#ROZ}(1iP72W9G-5DNmYXAzavY!Ov|Gzm$K~ z2>-1P6WFM^u<02znaEb&ORf%}4txZ~Xc+Q5%X)`2c6A`$tk7XZYW+wKj1yjF^7&6d zv$a2JtUn0F{4g@%-Q^7n5QSF|;zPv4PR2{Ft4*^y$_;-_S9&qPFPCVWtiLDa2}kS2 zzeZ(?n}5&Csd;b=dGnI6UtbTbOjpexlr;(4)pb3i!&A#Jt}u0EeF5WRo`w1}3u~x; z?eDNq^ZA}y8Nf;x-=2f+d^HXvUw9BixyKiA(+bR$It!3{|72jh{@tr{w#8O`GtJD# z>(HwtCECl|2iu_n@TgK<#oA;<+v=1?G^=vEh)eJLp9RLd)L%<~fs`o+*}>ApIh;E(DFNAy&0@;l4H3l2iFRg+df#_5~CR=24qzS9~+s_ZEk zMhHxgop6mUaUCUZ{+d`_{88iVqVWgET#*%+FS7~@fQ_osAbl3%1Rx2gpMP>2&qyT7jb7>k?DB;G%Lq@`+YJg!zvf!kVR59qqevq%-#yQigUVm$t4CXwmXNvrFHvH0tm z2BuT5a?rEHudtAdeO8&EFIL=|cAOikJu1HgYH*IU3~D70@|Lzbr2D1lIbFX=sJh+v zs;1Dj$!w?ZW!%5N=k8^wsVpHRk^-+g-v2yp;beKJp5=1M;6;z#i>_W$vfb65tab7a$OW@mJQ{a+4R2J`+e>qMJsm%8IS`12WaPm|Fu_tJaA(VUu?U{|C22n*FW3o>xK zmt9Sz5uAAg`R(FOD@}-a{N2*q;pqYpQx&JHqB+WLP&gXGeY=3-7|W%s@W-dHx#d`vQ=GF7LdFr ztW7w3ITIK{W5J&#(9-(E8Qjt*rXKdNq0&KZ2xE~_KMK1*Y@d&`JniTA-u3FKvf*#2 zzUS%?YA)xF?9Tg!cL=!28|c1KXm#GKUY{?PNEQ+LOq`0bG77Z~!QZJ&XL1GQ{pksI zxJ}}E!qfec&^;F@a767M8{Aml%IxsE^sa~Bl)!pk7e0L6fQW-zq*NB`wtA`+2Y zcL#_1DYl6*y<8pY=?>NlzrjQ8^bq@O>-MnO5DB=!fk_%u-FIJQEXqVp82ntEqhF0Z zE;QyoI(|#NN#3w-+#sbMN>7{@WXPsTWiNwsURwPLfAQfxB5{#cxSnjM9B91s#?gQ6 zxIaio(}IKLOu^ZXV(U-fz)38|(t#k-ueh(eoD~ixBUdV-92o~%OfFwPncGA&3FMrA zOktD@9X@@ATXkF!Ubcdo9B^%IwIUxTb(1|T)KR%s{ZBT{S92l88=2%t^763erBG0- zqF4l-{+-N@0wCq8aQh}+-+Gi{gV^ibbSy4<6{)=cJ|P281fS;X)O@>a^=?)XO{#Ze zIp0@4xKaGz_Kzb7ezS|%F`tVmgV(K?Q6-QdF$W0|HzHT%0!V8LkN&Ltwgg*l`JkmH zM6J~;VEviqVe(y*8)ucIMhmZ1P&eH-I|OE&A}@l3QYYfCF*xWrNsaV>e`>>1qB0@r z_}vYZYlnr%YPx*%6z1TM6wJmMt?cZk-?cb4m5JH!r1D~#uZ%`?-6v=L^J<-tMop4_Pb~$q zGVsDDI)yZx8??g0<2X_0B)J;o-W%CWobYDuq-9a&4G=!cnsK$eS!x9WTzgKC|r%2Wr-N&g^y92b35tmI=$enf=f--YNn{s9Xe+al;XDFnh4O&^n<%l zodc-*6<-n~^wW@yBm2^tXHmd1rWR^Nc%ZC zs@vA@GoaRX-3JUJ=Vhh?ZiU?Ch=l7D89ykw$CXMUbydnD=`V?yz%n2`a(%v+)R3w3 zmxbXlDZO;mH_S}%O2T0!@K2mj5?__)53|KPDGx6-zxcJ`njPf0Us}J390=gIdAHb{ z)V3at!wlwqF)}RquyQZ+w}d5gdz9JL*t0O$%7{+%^P1Qk=ikzA#HE`RS=A`qEFt_m z^mkG*7PS3iyo>AHA{1ts?VFnt8u!1gyo+;)N_yOIro*F`$$9q32UTZqT|DH^ zlV07>%bK1ZG9hd|mb!;Ij=*|-y1gmy(OIhVzvu}?-2pNY6eD=`R}~9}xX+$pidAB~ z(<~f&`{8`a=j|%)-?K2zGYHBms#J3Q#t}j96#rW|;rgSLEoQRE!9~s2cQP#pK1&_{QWj+bQm}& zYJTjg5|KX63pmqw-hE7<6TMGhN7g7@sjRlV<;Tks>4DtV3$@tz9b6f#)6eEaB(=|V z4st*Hx$1c6(|LK%*uPGa9BS#@)D(9dfBcBB>qmmu0lQ5+F|ESF7SULqZmbZ zw(2-3Ekjv~zB!>jqoCUg#2n1HxZY_;0ZV^T0N`_Cl&a%2;2ybfKmG8WcF}Va!C@M7 z!Mm=bla4dmsl`8h!-+o)E;^qRbnPePJe#$;NcEvP)w3duTc@AIf7;0R>mJ|!Xz-vZ z7&;tSk~MfDhq4e?7c>(8t_K-zK64vJpE;yR;eQOVWbl~&B`X>5Gpr0-I)R@xnkc>YM}f}1^?kFb3#0>Q5bkesz6ld8 z9D+CVUvJB4`&RZ3Ig43c4S8jN%6(u5oTk>?=t+*srH}i{kn^fvSxZ(GQA$2Y#ktla zkaTIRQPV-mS98Js;^OfUxi_Oal2O`|wI@#jvi%OS?x1?aa=+_zRgT27k$$PT0~yi-0yd!fO<15@GHlZ z&MZ5BZ&XurpXwW5Kz;MiYNxHGeTtnu7e+Zm-f5M|>al|C>{U=n)heSL9N-mNlGG&K zSRKH%fj8 zw4kLN`FxYQ`gXr0#6ogldXL&S)h)~+!djFdIkw@EPgCLW8I{n*GOKdK?lqN%KjB(S z@kw`E^{}0~y;y!i#ap&<8(BSr2;MQ91Z$NdRWh>iFzY4fe0)wbD=%V^c|6n9`!c67 zz}8!kw}x}N_FY6xKIiy^>4{YItHiOg@$!u0sFHj<*~J2MidNmE=9s_igc-yUVMg1b zv~)_Rop)FsC=(v{&VZ7JQ(`o*h^$H+<({BC$zWg7*ZCq5kia~Zz#Nd1dGbhfm(Qv- z72VA$7_2Gn`=WTWPqO{7xIe$u2COlg#Y0kj@~P6~&$+bG$Xcq#Unuu=HMpG8pG=e5 z(U0opv&?g;wj6dKmeO3jx698OD)Aj9Q^o1vA6D>Kw;)?-o>!A_&hf{MBNCdJ@&ibm zy`8F^Vs>dG*z%emM6m)`m7Efq-vVvPlD>unL%9Nd^fD`@#ygdrIBt;@9O z4E~!*$SU^wb@9rBexEWwWw$Z0JjL2CXThBbMn*0#lK6I+DzMdo0f~XFl^2;N7s)F2 z+wGAfjAjMNvshWwQa@_3AGMT=TFgZ)r3ZQ^R8+I({bH@JKCb?1O8H z3Or$(J8$p>AESIRjA@5_ug)%Q+E_`YU-OihUo9XuZSV5?^|AIccUS#Gu^B=czG`I}TIqaftGQAO3>ZqgQ!@pHqTckJT7IM%GHwSrQ|6#q;FEli=GG5;FG%2exL(~>L;jnVEBH_J+pTosCBwYOOrFR~g~ISYxG zLm=sLTSc_HimM>7QUG~%D-3nAZ1FkKx7)XWQXW{i!$Xdi9`FLr0-D3q~FdPJPYx2?xO;@&!f^1M_d2 zD+YPszMc)<4ybN>ZN=9yQG)@&t$Ppdxb8)^(8zD(Zxo<^{{g>+oa0MXxPfI&Xv};OW4# zGz~qO1cOgd7$)IJwfsum{sep|bnP7kJ;ief>qzBoRNsogV2wZ zDv;srP_XK)}P8t);Uy^K#3%t;+q?(&khqKW^|_GN$lYn3s=0nuEXe7%#L zE;^wIE$|@^Qd_!??6BAWkNfnwLghn8BcMC2Zl8D(pquz?Ws>tsvUNpY{yyfsUFUMG zbNSW^4snezo1AFeoRz2s{oSnl)ibcv@N$u12Nt=BpmjBAZv&HTE_}an^MH+~Ixf8r zNv<_Fb6u(Fh0GXFmRInf*;2G)DMylaCn&qN6?&zS zz}LtX1={_P?qZ53PKNgBgt5UL0e{2(JD8`Qb1_3A=N?V2-Ov$D7H_ z1Uh8-D0!>(4(%M$YB`emFIXSURyvw*M~wF+h9j z*dzC&5lq(;`nokpTgJPXjbL4PUrUXVBS=i`)X_k^A7vu1devKKAY7eKo;GmLF+9wh zO#T&J;Nq}NQLfKaNva|Z@1x_)`I1qPr#a7DO;-9P(xA%e%UKF6|< zUU)0A(sHN*AXJ&A?-`Ui_o8IB>g}vb+U(9Cd`HTjSJ!OxrP*jn)#9U-GcC&|Elb&d zh8CY?Q=UqbICdrzP+_i;;Swy03j$`Aq8UNtOhz2_?P|wCz?@P~T{8o*N>>xJ;2bl8 zNOgG3oRyh@rP=8d`%}HhSx-oRMpb`lJ^NrIxtj;gTdU2NW}A$bG%1lZVmR5aZ)I!V z%K8lNz5EpsY;0!!v+94O)2nK7RcviHc3&dP?XWVR`3lD8G8*Sr{%N|1#~JJ7=;Jo4 zgvdu5;j`pf`5!z#I=d07CjVZYJf%cTFwhG+NWK7g}@)gra5#^w6k`T-?ml;EIWNwyazRUlt9eexz0}%xEE~r-^83g^3e?{ zyx9kB>lqihd5w2nf%`?BpbuJZna=$4O-!TB`nXux(M*qKtes!v$Cn%>49iW=$4A?N zr1ySsnpX5{(qg6Kx78;7TK4~0xS4qACAGtGq1O7O#wtzOu5dD+SBK+&-p+BH>`8U8 z%r!q*E?WjKwAZN%uair4{RM=_eDk-)ViPZkRTccz9K2KYKHR9{#{Li3{9MnsQgt7z zwg4^Z$d$g}8l4o+^wFSB%OUn@tn6qdW3G9YyPd8~Ei9OAC#A26x45J;BpY?^TF3wO z%=kv?C@M_VCgJ3f&D3o%;~p(D3FTWQ4V`ncyQS*8DFTxo(luM%s|-A%F+K`9!x~S$m%1 z1fkw@Cnd=Rz1(P{qz&FoXP;6Sh=i8Xd1rk;p$gVhR~s&tB~J*K^T|8_t_7VWxv2JQ zx;$%e(+}f$2bLyPe|{%+g!n43iVLy7HPu5{yo^{sU1emm=gcr#szLQos!h@G?0%&4 zaz2}h2~T3cbotE#308vxlo->&Z@0EBIR0J8ejk51e>?zSdRL=hcT;ej;nwEFJ;0sKjJNP3wA&WJ1E|NPZjDR>?xxj(!X^{m z)F9(ayO*k6hz_<<-=s6ho1+ywpF0o%8~X~kH|J!9082yLm{clX<>BPOtDI@Rlp!Gj zIQy$&v{gU*IY#=bSNX#FWJ0%V^7TfB9ahL8LcVaw&*<=vCjEx0LbYdP5om_Lp>f6h zSAMBM`#kWKg4rXY@q7)mFTBf7FqsY{!CPaIt<2y0LdRxHMNGv@(?)KDcePeXM{DgN ze&m?_f)zBq9p*cpA~29heZYYE@;##26}T!tAc|4mlW=9QoyxbR5mvq#CteM&_||ci z9d&P`dF<4nivK{_Y*~lAHM{Gjpzh;d){&`{8#Za9_~2t}eQNFb-}a8eHDxM^ zWH#tUZkh~2`+Gf8qd&z(;Co<6m5h2;(6H0IE%P&7=2ICt=d;GP0)Cjyj_{VWiko;s z;}@Jra!{S%+_niEz|%W_c_mi9th#DM@jSmNr}rXqlGZKk<9AivV7s|0@%aq~E^mMK zq+J<#DGT1Gqc&ggR9z>EI%H^uu(=KylM{Cbt<75%p0i6i)i;Ey z^7WK~+}g|@3z%!4b}&!1q|G|z-OJ$~GIKhDNhS{ZbCHpm2nPy_+G7v$tKUpHizI`X zUOE?(MHXCQ(9+Cv(M5ae_TTz^QOGQfR0VAT%6;89ouzT9q(zWynSY3WoelDyWX{;M zZdYwwlQt(=Q2~E&+jD1$q=o7GXXQ(NmWUyNPVi>%1+XnRe8}R+dXuvehH78Us}G-5 z4L`*Tu(b?Rop>djA0+oP@B}rF?L1zS%QK$siMZ@N&+}J~b{w%tDipvtcxMI}rJzI^ zyaMjYdBlufZ+dFWe||2sjeFDH9Oc^}-m5IG%uAaEE08s?)c7$=$C4$i%A#eR2B!r7_pFjXC=_BOO|a7K?_ON-{K} zED*MIFP~xe4N?t4$jfz(e)Y7cB%E^|K}~V+fHHI#f=XJXSJ61_vr)t#y4P`?Dq47R zKtViQO9bcRooTdkKa7R4Q#o1kYZ!}e=d>Qsi#$d2XpA|m^vL&4X9FKVegRNMJE#5N z$Vc?Z2bdCyCdc^MkG@^uWQf0WvU>}7m-Jo(EH;`m1x^}gnljfYn31gbEs&o!DHIyJ z{z6jyf%m??WvXMipT+Z!!Qn{ZKj|)FuBfi?`wbS_LUYDMP>?F(B%!ZCfmk6PbdoCjZss~?TM;Z>(dqYkE z{&tyXR>M19$wRjne+9mt&=7gT0%}!nzJs=A`$iSKEm zyEf4U$Wc}pv7kL91%y8G-s-v4es~ac84Wo#Z<-~hsx#_oi zJAwaARN&xb&41xJM?)q+QgSQSf~Iq=nccjZ@)9`EvZG}g|^S^H+w zd~R0l{*NehPRj{m8kX5R`&un4+>s(10E>Xjj5z;pZZlu1W4)u-^wtaDsCH~MMrne4 z;U^RGBwLuw#94TkE5cn`^=4Skimo9V*YzwWB~VTgIpV$}G59Q7$J){-?mt3zYi&}F zXm@lBd$e;^oej~N#{29AfnzQm67yU1{N4?9r;r!xnyTQEQ$z);tz0y#Ve0VNa(lY( ztGf^4J82gKuQ75FVjpaoPZ>PCwRmK$o#ESyR+e@?{N~ z?D)wI9$v2ut8c}!%D1~-HHI$=e+W(cqkPJ4jWeuA&7lLt8|Ju7)(S+AYWhHxVGpYt zuG+s(I@m5Um=-ks=`f3+1^o6o5)%mKRWX;v1bqm-(pueRz#K*Xx4zycR$6;yn{|be zK3TU+eF3&R_#M3LY#vN);2f$U9md?*v;%3knJW)(8X$KD%KjI8Sln9jYmbv>AcoHl zL{-Nn2`epXIQwtuTi`*$jG7QCo%;un?!fra7H1RDFsbHX!~th_=W)hg*x)VR`DS^3 zfoS8o7Io&;l;C#hZZ_X5&-h4QUcO*YU%i9MuC5yM>t_qWaMWUL-QCevMut;;!o=(7s zqY5JR7e|UfHK@{AJ>A)7>oO{+_ht!qM2-3D6mFUZ?bT&D{d(9XfQ`m-%!YqCJXTp@ z!|;3SH?h`lm43A^m(kALh&iSA#y(G?HoIg?ebG}fnbxl6`NDsg>N+Jqdb($ex9n#r zem&HNAQp(nh)6B9b?!UfAMr$r_Ezv|^cm{>-1pl}led4F^Gw?xy(d(pjDNm^^)roFKUbN@=ghw)T61Sp zsA2Fe@6*Pv5{cIbC_*okhhDRV{*CWVUz%(6RBZS#I8?nW|B%jc-NQj3Kbt0YnW8Ovy_gtlzTOaX zEV%W2kl;C>L%ge|0(M5vTTMKC>Qmt_C_n4aR?Zc~`Pt(S$jL{dJ6^T9&^JF&QG+~@ z#js<9;#*%%j#ojIGr%$;6KXPPXcgG@&hD#WAU9d0;;NAS=N6VZ>b31Q)_2-QEOz~^ z13jgH5vatT&1{A5L0d&IV#E;bXtOt=;Of8J-J0X1KEBPAvFRGZ*3!C+zWp0$!%LkB z7dpdvT{jsco9xm_t@-fnhA+aBDQ#`t*-cx>^xWnY=Mr;g=0Rs>u7N65x@PW%GFbNQ z+3ae=eh~(I`N)U#evv&HSBbx``*j)=;rq^reHgGoc~o{}v_ZJDM8>CC#ita)>c712 zv&n24D5{j>iFQUT2703*)yktyWx1Zlfy;AF>KQ20sn+U_Bst9ulBzd~oMk{{ zN5<8wMysN&S?SC>xXriHFi>&uX9&Dp*!3u>uQXn#v%CPLk;AMr=VI?EhSJ^Xfe)FLAM=q3 z+ShmMw6F2P*DH?&$OKcT92CcriB0o#1H|BZ^NQ(+yG4{I7h#5Go4i@rG*7J7 zHd~I5bQCwfg#q8PHw882j|>5vm7!JmjsF3;`47nHoN=KEvJiEczmZr$^{?tn$bf2i z3yv0OIz*-a*1izk8)Oya?r-+>_(>%V+^9+gr#-b`t$O{JL}lun?fEH=t|$23gfX<{mG zP{s;^{dHwscTZas@)aAf$BdOZJ|*b8zr|mnwUC03v{MDN$L70tUM{_jb-U_RKm1#q zuT9WM505O4;r{ zm)L;PgVn-ivX9hr=lPalw?Ad7N?-5Zi*{A9KO{+yHpVzUE|{|gMJGgBECusOWvTJf zU9M0(S`NHQnw~Gl2-=w&OdVSyP94}bw;?0=xug-@zI=fYrNMY6M$4@nY*m=gLtY~+1gvlx0*V)Smxe$_ORCpZQ4)WH6J;@ z_s_JvB^JO`(>mg&Wz(EzcK*`hq=y|TLQ%BsA~F7R#Hfiw1eR%xM3_;n3RxISP`1kvYk=~r$~ zT48{Du(_z&EV_vN8@iD4qwdxvcDj2;>!ynabv+;P5Gprg48%N+f&VsheTJ~<4vFA-WPmY`U#{e#N6$euF~7WGE% ziAZSXsP&xONxG2Rgb8vBS1;B%We_4qOMWgn{&tycdqrENNj8@YM0Ds4FIRQ#9O_1X?KZQ_Dhu=icbPLYI_rI-F8#P<1 z!TncCo0dxh+mxz0^}us3QP`KY0Uyz7yG~$3=X+afwxHT7aZM{#xS{q+3{T0v;@w%? z&f2X*F*iu1LK#SS1pOzZwRye4#WwIqY5{Vjf;|vqG~$rQQ4{q4^<(P12iAV{ zsqbIE*JO?=59_o|ug&@`juaCveX=|TK4l#z037%Zo)nF%JnLAR8PuQSQESTxqS#B?B6x>Dm|zVwyvpa98lmv5?;+L+ZI35+`nc3V9C z5>hZ-18=Ov+!pk}Z0OjnI~rfAOmRzGeUxsbSaG*EKZY=G0ZpfCE)EiM&jJIRM(_>s zg1_xF_fIOmHpE>Gv=#-%gup-t{; z!vV#Hjwt*qToQ<|8-=~}-Asnu5XZOR_@n)GqEdVyM+iodXcG+VV7e3fAXIGzZ%KkY zz{Nz~i{d>(v1E}}ADm!d%g_Uas_0`IQ|>hnHbs+=kpoXpxJblD*~hWT4?aXAk1)Fq z^?=j(=#t%jo=(~)#Qx}lHTj7pqrw)GDjgziq#ZTXfI$539W7zEMT@l5Yp-7dJUbu# z^w$N-%OVjdZdDz>g$aZ7;gKfYi&vp^!BLMEG9}Bid0zC00$yK())7NWrUl8oqqW2= zUmb)wz74Rgx28}D$$)|f#{L{I>B6IVO78N!zndEPVjd8-B>y$lE8>nvpD&;N8zOjy z6nMdRYQN%3lqLQU23TCJ6ZNlWcuMTA;lA~Bv!jt2(Z4;x|A8w$hW@NQnekm>(g~J> zZS5{)!E;wf1_M*52mxW|R9WgHlNSf&L<8N%7_2-UT!P{`c%5>TI%QiP__e@A_vH3P zj{noZqRv^C04?=B+){~3fwEh_^t`aYLT+X}1et%LtH9$;m@~EGfW&;mdSq5c@-W#e zC$pt$T}Wr3;)UWXM*Q0u#EiT7q-+9IZA>WP-`8{DJ;@n<&TKxBs>?4Z<~K-J|YhC{2k4hVNm&8JG3-zj>X{AKG0YWY^~!D(T$dw*J6 zYQn#?c;p2p=W7OxviEMn@{}^8SuP#TB-^=y?YJmbCxTBybJXGEs`EunM@s3JjD*{E zl_;Kjf&CZ04z@$+V5-_;#a1F!=bUv|HTdZ23UCKs&um8_75x$V$Me+YvC|lRYFAer zoYkmeqv_Gu={q}T&hjtt(TmrJ&M2A_1CvotlVKA_|19(4MK4PVBPXHSZP``hBUIwu zGrOw-kM%BTqYKrWccawJ&h7F$?VlS<7bBi-}Ko9(ZaHj2P!rtGkN!;cHWF!3k-kv_gV>iG;q|krpUrEZ)*7FcgD|70hniGTi@aS zr_>+OJIyTK{Rb~`UuGgg;N$Ozx^6dZ@sIa0MqvY+1-1s=Lt~URe?Q={>Vd}ndl$m? zpAnX$) zZd-=}y!Y3Q`b+g}oX#7*ZQAmUUK+2&KiWPGM#OUlCcW{~WfW^;uPOiNDYqWd3`jY5 zDO>9|XvNO@@iHx!QMTY#H^YoR-K|;q=L&H@$Vq6=rrM#=+ zXe7R?1gI51LS41#Yz4|cIymjiNB^7k?d!_3tJhH{O`UcvN8!M3v=7QRfTJrG-aZ0G zqaLP>uYGQW`)+O6!92HZ-fVT*jiTSIg7px5#O#$Z$hO?`QO|}n`3sggjmzr})q!Ix zyG;J3dGl^<+UASCqR;2Q^GI0(CBy$CnRJK7fZgzt#9N zJBfYHElvAw9UyCTU;eZvBn94&?6s-H)NXQD54 zEl{4p+q~nUUVoH^vi8IJ1-6dwCB$cgwLjU&UgKY~8}KEUXgG%|*19JdxqEFX+37j| z`X$NWz8{h)FyRiKaHo=4gip7cO|>$+?~5%lC0|h{CwKOa$^29oL~vI=w(Tu`-d()ctWTaHYT}YE~uJ}ZZk4Py=qFA~;=US34 z==Y_>Lq-zXRSrzFN%G;YxFGn(Tn5$8Pwc)`3d@eZR>G>EW4Y^U5!Xu#PB^)OiTGWo z;SEwtil?`G^p!-8w*VnVqlYd}N_|_n|Bw2q8{u*b7PK}Fl2FG9_b2)OFXv4fyxkgk zih30&wKC$iRr-I(T-o+tW!9Zj{=$>h^Twm6A{Kc@!V!kBQsRMA1St^PYaUaGE^@jg^!&r5Vn>0R7mG<8x&dL`IfZYbJ6ZpsUT{GdN_7=N zy6ekRLj0wE;QQoT=}m%4HUfCPZ^RO$b|Lk7ryoOCf*Ys0z)=NxNFV)>)>u0M{fi1c z!4;@q3=Tsl``#PMy^_)c;0cpzo6LC z2e+pw!qw?_E%hNZa?(l#hIkiyibP*V{jAq2g3I=q4_KY$Rq&-D~*>m&EmD=QQi)pejQdbKpPLdpW9 zT*i~_EFqWntD&qT1d{Y_m)gp|xG1{BAp$|>kn}F<4E*)%X7^|H84L#za-;igd4`-N2?{*5AsX)dw1{6A@6v` zNcb(k8oyS$u;>{8q;^mJXs13Cno^W>I$1KqmO8Ayrlg&W*E)+=s>TKPtUp`S#P$)@BB zd^94aBF0$k;?W1`6!kusbGCvh)&~Wl)1xdFzttWd(u^=@isc(P>le~)l47E%_9C&1 z(Lc!okDnvRaYLdNSpqLCX?M&?Kh!&Ts2#pW`8*+(u9l>t7okM&tMgq__X`!(YkZVL z3F(-0K20bT6UHq-SG!w9Kn`R2S23kGe*}`e({o!qG=ywbTMwXrzf8wJjMC#LitaTT z=hio83%3H$=Z?2IFK`{-VN@bjf#D>*Xz2)GSoQ>^1lIQ-8P=~%o~~hN6v4XU-k~3z zgD^Uj3nb}@6PR}pW+6x8JK#yBM{<{L?b#wD(PG>uOqMG8w;DPVTYIT+*N!;Mc&?EWWkP$7RyWmKuCAzNu5Ut@4ord4$QvDv57S|zI5WOp2BL8jLW*p8P zqZ~V1scsvY<7ZP=3-x|-k`?d4A(oH$7VjIRbXDAY`y-xGlxOEgUt0jKus^;|ID%{! zNbi3J=C)Y6SV#02Uy1YC-y0_bI(6<(#s_d7B8}XiCcB!L=5>@RW=<`*Tj6fIuSDie z#oND8{O4d3wocsHT6*StrKdVaFdFc`mIr<&$?97VJgu^xrbK|%CMh@(@iz&f>~y4H zauOp@;dmznduQ~JjX+J>h!Rdctc!M6=!TA~()WDP$)lJUdf^@&PJ7O^5mgw92{FF3OEZfd09hNeWi;Iyv$N zm{b^C*ir87*VErc)KV(-)MHeG$N@LwV0wmRewYF$nwq%xb6euLPsnrgMfPvtF81x*UB|i4PI78r|`rEx@ho>x<{+ubWk1A9&B|=|)9ghD|QZV4Ir9uT!Cy#dpVrK-RSXYjc6? zE}ZW<#4f~g;KZ@VZByub!M`}*eqaCPduz{I*r~~HZ?FGfX&=v%HxtJ;1Ma^Hc$t5> zaeVM>|0?I6*)#jW;(2bf#oxm)ng4zF_kkHq)8OxRuuG=tZpQ{o^SUQwB6=HG$gk_% zjZLUzrFlPGZ|>wE=Si|mN{EOx~ko(?ifd1Dj*UG)7!{u-}AEpWJ6|_lA z_@}?Op76!o$-(t75GZD}cmiS1xw_@o-nZem`Et&N!{L%KEg4Qi4;<}^i@(=bm%5tt zG|vIS+aum;!CNIgo7yCJ(}MojVak8=+$m2mF}2&Ecm2)d-;maFIGisT5}XV*NUz?$ zPI&Q`bU}U-px@l&L9DrXkvA@7gxjl%ULxqBayG+>muhcc5kma^cj;|<6Yo~5=naUT ziq1*$$><+0gn5|T+Sj*~^o~9uLms-hW`}RL=~rQY%1?Qa2kuRrGmUrZ!JGglhB(aK z-0p=M+Q%*Ivm^WLSiT%iG&2Z>Z~Zj?$~&L0zjo;($5pN8mj1RR|3)=4mS0Waji+D5 z-~T{Xg0ImdzbAV0{Im!AEzgBq9+Jy3Ok%9j18YBL);VQY|7iY%qK25GXD4d7UWL(%Jm+| zr5_|1Y$&J7oadn|Z$MiIn#_?Z$QwKff;FBIUAC&PpKX>b=S$iz>xZC)Yd>G(PPw*5 zwZPh!yYfyLYOr4CenX~&WJ*}rA8PIiSL0!b{MVS@yW}B$F7dmSD?JT_o(6@U^3Vk5 zq$d+&3-#dqPEX}}LnexsWXHnYQ1e3Yao?O|$1@kMoD%r4dv$VG3h2O@(t?dS?K0@ z*cZAfa5=_6y#AiYUH(XVDfyLoIz<%^@QmoAOXjj|;*Mf&PG!^1?N|o$dV0AhyC>%e z0Mpn!$H{=rD;hfAE1A$8d28J1Q}P6CJ2x>5a;*np>7N7Pz&vd4ukDv7!Qhu+GyY!E-P}jj(rXA&U zOa|;ZugNT82Tl90=vC`zgV(js{hVR?aKL|)H73p(pUZY|51Mad-{HJ+nW?vf-8Fy3 zX2ECVf1c*cZ?`I)ss5LHIk0W;H3t>}dzJZ49o+iYubi!Y5I&9lz}L4W&ILU9BHtrf zdx7aj4qn-StGn0RYv1QA7pjR%OvFpI2J_5$0Z@?k)Qqg%gL zFj^V@b&~vu40y;68D!bE0Y|(akmOO3tWow-_6ao4YV(3dYCQrRj|x1@XimiIwR81@ zHRlm_P{KwfEKIyQY}5fO8Dj-rtZa1ZF@y|9ID#UL4cdD$@&}}wV+u#yH=xo+ryd~v z@%7q*M|O;k@Bm<>2%FSl*%_9#U|AbhJ;SOkShWqiJi{(qu*)`Va)wP>ut^(saE2YU zUKz_Q8cq7Q1w!GKjhT+XTIIo2^(!7V%BmK|_O2VBwtH|T&HbinO8;C3Bw z{SLT(2i&{^Zr%a++yVF80hfY$0au$#&$_>aV;yj62b|ggx9oshHsP!pNHCIC!uKeT zSVx4DW|F@ueNTWLB!rBNOW4`Lk|Z#q6-W)b793`l1X1OK=$8izESO1_A2n#}voWlc zCwQpC>K2SwFnhv$*`SMj_R=_mz4e~0X7Ba={ci2FPaP-sviKw_ zZ80w^ExjGLGtAkT$LsX>phO=MY{8He|KXe~a7mH&5f~p^sja^nPV{@6sHHpO2^jm3 zwwSz>hsNHYj2Zi2Z5afb^8SF%wO0tQVJA8R_wSOjg%87*8aUDKaiZVh7;N^$Xg-pf zK4hS`u_xBKCj&C1Whs%QKGb}d{fo7e{<0PE(NT}`D0e2H(a%7l5BU*Ry3C~gisDTf z=~0!}NnfjMPd0%_(MMD>)$7+%?#zNctRzKw0KMgdN)4}GM^~~dptNzKpAQFPv>I3a zYE8~^ts&z|St;a{XcCN9`x+-;_p~_K^t*TT$hNjSG`=3TM+NXk-Zh&RX ze(qVcuWGBG*38)NBT)|+*FRvUTR$V5@t18HNrT4VlE&_WM*mF1*c*zUw#KYAR$Jp` zYn-&kgPM%e>a)J@Oqcef&xcCKC{r!JG97d4s??pHf+fxLtQxcP__QCTtW$}0^iyAz zYkTY0&y^mPx)8aQ>ecsX`##Df!T)H8xcI~zN4_a~@3I$0zc30P|FA&Wmg^UNHSr=} zus-&Ru|16KQT5wH$v3L}RJ-ugQ~X&9#uirEGyRawTJd+eMz&p{WT@M5xfilO>{qiC zOY%Al%vP+@iEgd%9D$lW_n6_)xt9$Z$t8`!1&v*sM!!y@%2_21U6G_yEE+SgR;AC0 zXI6VwYtPHtb5eUA)Sh>>=YH*ZUVDB%KaW@ubEw!ETd`W~K5h@6(TLu;Tx+q2@$IAW zIf@QGBz-1&rW6nN?dQrIiT5tTMufLQyt$vi4|eXC4%jb2w52|ZT>}&S5!#UYkJT3Q zH}y?Sg{qIr4xjo;QjO)vFXyNPBldTPPJZZzSdDq@lwA*~whE?ZXdsESF^LZO~$-3lK(Qfl| zTpe`LhZ)j#h53qAIS)G%(dVs>`ebx|kM={Z zcqn?dMZV9K|KnVy>+lO!mL5g>Pe&hB@64`2u6O}L(YdilsL`kH!}B?RTDYebd>V?R ze1@x0^=_<;yZSoTUe7G4^1n`PskD7kR?6o{yf<@mzrokCw#t*Fj6PS$^BjYU4iCrU znEE(rt0)RP<>(Ucs633RpHl#Fw+iB(Z5v(U4jQ;o8@FHQn~k> z3pYQ*{msw&djb7mz}^^12EEVo=q+2-i|X*QKY(Ev(&)Kq6I?l9ADl%eJQHlEfoB{* ziZZNm@Vtk#h@A}U1x0~}t%`N3MDs;abIax&qq(Fxr_$V@Ik%*_ zU2`s>xqfqQKy&lv+>Yj+n{$1fV`(`z8?7RrVc|kG3e|pFbfgr^nOzY()f~gQerry} zxp`}DiF41bxdi7@zsX~ObF4MD!#TAz*T=c#X%1r%>o?3fYN5AJYm35TW*LaO%febt zRZr(V)`Qz6)^Ys?F6M1@e#RXj?sJ8^Tb}X);r0=i`O6wE=Fp{j#(f?w*|NfAXyYZt zT{UJn9@NGvj(4^3636}8IKlC}HXh*kxi-eSnCiM}jI}XiwK3Mmr~0!PV~u>0PqCz9 zHhP&=??$h$Jkcv1ide&Xc*n{+5o;f0z>X$>82@L(p=XBjW^H@gG~lvlZXjH1vh5{d z90M=bLd}bL@;}vDoP^a{qIn^YtF?H;UO7hF#$L->5UZ~yvRH>3`G0J!diHG1zxOaG zwQ8KW_hE$>da@HH_b&3t&^_`4rY`CZPy_Fln+Ahbgt$7ySPUYEJ;gm9PUV842y8GwU) zS$GGpxefj_z|K*2?s%BmC}03nUq%^1r=T%?g#dihl@|-tVZo<87czQ9v#d53`v}w4xLQwI>&4h)5TY19 z&tHkYxd>hD)z1Zg*9<*hTic{Bw355_G@tfIIF7qOhX};p5N=^QS`daMJvq3~aZL2n z*0@-=!?;>2o^gKddhx{c)skQAtxeURl(P7Xwd-(sD&+J-ca1X0Nnb&>}~ zbG-1rSo2&{4yNY4=~nCJ)RRp&xc5kh1mn7%T;HqgxthK98+wovXIj^VV<#2=5clXg zc1a%tBCtcRSi5nju_mJ}%Y996WHZo8+CiwH+Fwh31mC+{cJBkY}_UOvYO^AY0 zym~%u3QH7oQjFa^c7mH?O!TncDrAXs%|-o_EOgg26eE78F_^@r?Q%>JXfw^8m@(R0 z;F#LPw&Psrt9+*UK$~p5*g@SFu~i?_I^6-9YyymmK-h}z`2?_;1Q->j&FMFw4Fr?i zKI!)_$A$&^3D}yp$qW0p=UQ-O4slRdBJNRhWsaBtY9-u=+;D!z`CPL%m{W^b&nK8( zHe!u*fI6`~kY6e8wX`+Hb-J93JUsp?@&NsI>Y_R5y+N^O&AcZVTLZt?vzEvA0;bG= zV*j#zk~3|N7Yr8k+i|!6$Gid$v*g_(?U*a!Fs0d71+N7xNT+vnx3kPkuvp{>2v?ZS zme6!RRpe6?9EniP>4aCs{;ZrdE#BuIL4Vt#bw0@t55SmpTmP3ef6;`$7gwEHpW=)G+fa`83 z@+Q_8Yuft)+<;C1)Wte&jqB?{YdvVL%bVb;$nooi3*iPf!R9I`&Px&0Tz})is~2Ni?u-4`$0Ele zY=SHT+n;jBCi;RGKw?k*z@eIP!wP=33O?Q8EOMdXsiK|e-lm|najJWqf>(Wf%EMU7 zV>dLt!Tv`U_IgF%f*_v;H?d6T816?y@4S)YKrVbF3~yi>%O^dC=u0(xs)Q=s?$E|hR`7D#TnjNWYq;2uocU56V8d%L&ChaE&JSMgyiUW5 zL#!R_(pO_FG69P*;j0)kp((1Gs`e8wbX}EZZEsUQFNGc{uFLa|c$Ga&DbEJumz%<- z+DXr%?-8{c+8Zl{Fn9%rYL&EOj^L))x|TLhTjO#aPt1_c`Zz^Frm}P8hJ~E32T4FTc07GM}B+^5$Am zkD~pnKCgThHE-@!y7M4+OTCc|z_TkHvRApbraq&5PK#%%S$!F6Zt%&bCKe<^s+srA{T-zOfqwePLC)q5dltVP!^$}E zDP$1uY(6b|cT2iRNf(yK9}lVcjr?L>Nn!S}g)K)irA(;vbPqqTr%e3$mpims51+k6C`m2F?T=INa|&zkapxWkh*zTRs@IfuRYiG#$L-iOut}!(D!;{KUoU8 z@d2~3zR!A6lV0?(w^S6dvD3Z+*(2}? z;*{|vbYWQ^);@Z~8Uv>%X>HHA0Q<+tg5Hv+;|a4$UKaX(DGTaplBR=-mjR#0Em$A$ zvB&t=iQlrfSXBIup9Xwb+mHQT$fEY<%Zs&fvBr9Rt8Oz)6I;u8fm!c~_Sf(^%Xk7y zvKp~Ydr(07M+Q%s&ZUU#Q^%2{e?Z%>F&3o{v>oLw^^~_noM2j@xU2Hv$&S@Ki_)L# zwp20$6l*Izs8NYq_vypxr!j8xuide0$5r$pDZrx#^a=C19vdHM-;#c9@6nTi+dn9c z{8-p1QuHUtFo~pPE~YeH$sHL_8R#=+Nx!8#(=m%mD(W>O+N>rIZu&{LvYDhW3!Si9 zjMsQ4|BCmZ&YN}dPA&eaP<;Gmor!g_`EO(|@jGR=mhjnAnsHQ@{S(hDAv^1qU9mnp z^%fcXPMsPL#a+aV6MoF9x4AbM5Jl&LiE? zX`da{otz_NB6nP#1wxl3E5`i18Q8sCBn%tuWj|?QNkKQ;j@prpVK`lObUDS*1g1d z?@Lb|oPE?Xe!I4h9zJG=ERsGAQMcj$*Y|8F(m^tK?{sb%6`m12NTiRhwe3NPwp%NE z=e_Z*RLG}e;k1o1I%CaJW~%)TGb#h|Ed6|_ay8YRkuJ?v)>cO|efA#CH3QXbGbvKC z!}ix>q1UudZ#%PA_y=p<1`eGGv*_fT{(a42U@aNF_h!vA z$-pxy+U|{CM%IpXV{dJvqhY<*Yh9r$!y4>`hILY8QWRIPiYMsg6zqdo%F-%@~w`a+mct>;`O;Y z7!N)5T%(_G^h0!!@37e3M$uk*;`^+fqm84r&GwODwa*5mHCsiWQitA-O0*LCOj$i& z(>+lul9KYANgHtxS*v+RE*=~E73T6sX{$eGJ%jq1T79ywZ(ReNmI;UBME3W>}~EV76$^EMwp9 zjiT(~ko|;%!B>rbPd`XeW@SacR>mEDhxYMO)$yghMSj^nSy($#E`Ac{e;Icf9I~!n zPBGxUo|}n2Zmm(5(Hyo~6w`WwF37EIBd=lZ{G?o9B~RNrZ8YVFgpQ5{a1=c?^S}w` zpZxu!i`L;?-G2zbNvoK*^p8)8MThs^$2o3lvL*kt4y31Ld;ZWpZ~gO-h@4;gaw+ct zchWnY>!XtQEE;1!QN?=vjekq&A9$dDjAZyXRkQOoAO3fm=3HBAHqZImrny^w9e*2s zO9_tsZK$fjaN%&-7T-)di$Gr3Jg^;EJYU;_Zt+h7sq+LM=1AM;Nm9Z4Hc$M7C)Y^< z`&`04m#_l?yVi0$;r@XB%TM3y_Lqa%pT5n$UjN9w z+IUoct4-f45RKaR=cC%Qz@y*s7P(FDw?=fY0iQ$9xvJJ0-!+e#n0ssI2 P|NjF3G>^&G&`1FQi6nb- diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult_srs/1.srs b/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult_srs/1.srs deleted file mode 100644 index 77b4f44d89780a5ed3bf95dc82b3c2a4b1e1f76a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5162 zcmV+_6xHh=iwFP!000001H?URk0Uv5-}hG(CRyxLExptS^{_!?k_q+CR zJng^v@1K77&mWWTK3}?DAO8HOpEsR6wU3u>-~NwiPus8iPCR_tcgNG^>AUZfe@Z?b z_n(vFE=j*R-1eu__urh8Km0*d>s7X{^^a(hME8}@eIu3qzeBjc{p6U=dJ2OF*I1%cXquF9?$aDUJexg;j}$J{dwDMpJnpqdVYCsAAi}N&-;^1u6m{~kC#(& zmHTeDm+kXqw^!gxcWZB7+HUtXxqjXrryGOmAjaMdtS*n+)4=QVwl&c>ba|La>-N*KM`z1NuzH|=v&bhZ!Mc*x6tw69EjrY~)uKqV!hn%Fa|3jh+QS^x z){V%@)JLfKR45Ba+Rh+3RC?idV-zczH0vewtbpjqv}w|G7%aAnqUzzuKE%rF%9u%+ zin=0EsxvVR92t&idLF&~h|=C8GZI-(MuH!%TPgRuS6b)VlWlJ|(`1d{H_^)~9 zbU592?WRFkf&BS1?wBT z_F((7wqX8t?ZBcv5TzKbl#Q#CjjNIk(#QrYWP|jvLF(9e+Squ?*butdAXRL9O>BHc zY&<<|JT+{5E%+eY66s(wsbJ%2VB;xZGt9r5sO|aX+REGhnzgs1dSs^$X`x(9-VMBH>Gth5 zdt8ZnyKf$|3Rt8vB4vb~^6|B(fH())K6Mv0Al2vFY(gk8uUqBYOf=IzznpFw^e^H; z{i<${HlP!$e4Xd^vRZd9$5wmu{;E1VCJ){1)av-i#%4VV_E6X!iglTr9(wnv4QltI z1G|KTa=m+mkXLDWmFuD!q=ii8d#AgtY`4|AsQYeD?d~YID#%RGJ>T(20()+3&&?pP z_8a>{`*`{_ac{YPCkoi@%67Y2mtyD^%-dr^zyb^)*~BGFQ_V$Rie}()eeCJps2tr%`jGZ%J+sEhV}y9?#}C@9T_AcVZ^f>0N2!ipF%neUzM)~*b7 zDKcd_mmz$e{-mv8z{0NVY7llc>@L1n@?o5;zE>K#SW_3))GaACY7%e5T?_WmIR#vptFzJLR zA)%~Lag&Zb&eJ)0Ig6HCQ)dUv&@9b%8Bou_K~!QINDD8 zrKo;I`?NoQJpC%(KbW}P1gB>7%bSV&nq|?=viA=|Y#lEmXcQyhC|7%%RiI1ViiPyq zJiSq*N?CM^zwf(C)VNK)w6u{US-zafuxJ(zD8AWA#;Zjw((U=HS&@C(5VN@AdUuHD zbq*I}jD?H~WXKyAEykfyjHP=T%mypJ1hHqXtO^SD)Z64Ayrxs{>WLT@xS zi+7k#vtP3;!zy>GWz?|r+)dhgpU)_dP>vEKW3_v(GIpBVIh zx53{a(6K_oVS~N3<@I_J0PCd7a*S35g?w|3m~4?1t6ZE ze?UAx=YV+8JkKvmKs>(^p;DHqaFGrp78;~pq{WCO1*sS5F=FvR>P4E2c&AZs4-rNoxIpRa%Q>`p=u@B*9(c7HaTlOqEFgMZ?^%f81#lxtl;SN~@XlpGZe%{E$l)x`s1ECJ$0 zG!u9dFiVvh&84W7fS?HUPRR_U@h~31DT3N(>RKCj1S^p;oHPR8`+Ur!Erty@Ac4js z$l-|C(KH;J=7V=nuxS9BLTHoJm%PLVG}X=-qX7i7aLPCewGe7^F=O=m=;oMr4D*g* zo=?n`bII(bEL`T5Q`Y6yj87GZbTO_d65e3jX|AEn9c{OT&P zn$FMqbPSS&pqNMWk5z}cvI>1E3YU2{%RJ+lGl>3qH!;tZNv7t4nHSU!0VxDc8Bc&? zqIucMXxV7Wcmf=D&C6Cr%SKbi6X3{hUbZ?~HkvY?00)nQY(-;aQy0?S@HQ52Zysel z0gg}SWhS)<$%6I}C$PcougnrKGOJPD#u!p1a z<~5Xtz!4y_624AjSyn8=3bU(dW>qY!hGo@YRt?Px1Wt9S5}(psiqd;TvayR#Fbf|% z$5jC4wPjw@%;g+&$uRfegBd~QjS5v(=2ABOB#)cS?^d1(AshiBi@?x?!Yq}!3BfY$ zu_TZ#&8~%rW?ip63-+)ikgk}nCu>%@sQE8bOmE#wuT1mT_+wAom{Y}975JVBO8s z7W8W@3iB5NlV^3+P^XI~#&0b8mmQ1lM_N{D`Iz6v=#v`eu<*t)0)Thoa5{ha8xFJ! zjIx^#lZDq#HW^ltSEgW~E~elIo*+%zpBPha&Q8JhymOvC4#g~dI<)4)fQP0f61Ly| zWDg~gPcaLh4j1{bIEhy6jh`c*VitbGbA;;ftjF_>baZgpEI_=PyQ2-W!&d3LJ8pau z4~GK0()eUxe9{?TUYlrBUrJq&VGqv&o8oDB%=qHaSb7FV-PmHhKGlqib<`no(z(x597gzq{;nKZ-m%jhtXn#B`P7P-vU6@zu z^rfuf1Mh$R&byf<5Z7u6tv7=H8R?9mEOa$jUre^xQ40eAJG?NQB$Tb_I;pW*h+}Gu zv*C$fH}RvBUM@QZyed1kYUCxUvB_y{k{Fxx$Cfc;tL@loz>gT4oW{oG)LimC@@mRV zFByF)oh<)X(k}QV6VW7^kTy88WTuTB=QR`axXQ@=90W(VgcoExJ}@00+>Wv5XFK-X zvFl#R%_z#d@)+0ehBJyhm{H`Bu)t}oS)E@@~Y36sMvNaS8sPa_EkGg6vEBI z_2)6R*5OZUQm6zXGnZUA62dwMBOfN=F%eMeER;&61$iK3dT-Clb?E|+!dArM_yh%( zVyJ|;Zu)a)OfswmT<}Ua{gI2%>#BZ&T<5(=WKKK?6!BIy_5Fw0Y#FS9!gw(q_&Y(( zIMpjchX5Ibg;GcVs85xx?Qh+ix*t?&DLhPk2<4@4HI;nU)ubBt)n!jP2u(xdb4~m$ z4Yw!C4Y2B~xM)!dxo< zuo9K)augh#CSel9VQzE~0j05z0K3~)<7MaJ8L*o*2NK4*p3Ep@=02K+#^;ClJ0!qv zvdSD5F7&~OhHwMJBV{aKBIJ^%3!4I>2sY@K+6)HA9xehD$Xv?}MO zhx)n3HI%KBFT;DHc?29h82kH)8xSo|jcApA)HmeX#%Y(crYNc)GIXycp9HgCFR4mF z3+Cs8lwh=eC}il~6|B+1nM;vV@msJ=?2^nQz#CZvu%du91tiZYugJs8Bqx!R(1L`P zB$O0ZkkX2kf6O{~+8Z4*N9f$WEzr^T4?wrI)opEctF3c5v*h?rgh02>Y7=OtTyRN) zYvR0=3&4lByt8BIK1268x-ZauiS98!EFl(fh2`-C#1aZDqR63U8mpP6e+}jYj{JD4 zff#)6O!xF+D-Fk5o8I-rhmMG2NFKyLC6)Q-rZB`i(dG5xb>j6=V1OsTC%z|r6$m`GalHpVt!P1fGzpBU3;{WGFy5Ho6&2m(f#@!e0*OUI6o|hEo;1laj1yu< zgqgG$1j#atlOdvdGx`*fKL-=ahaeXTm^6k-W0(vlh;mRf)4?i&qg%di20nELLo;|( z37iGUwS&>=`x=z`NGAjFBoHJmjCb)ZbD2{C+(^gb;>Ti=$&^Z)DfyKlHKUm`@jMBS z2^CqrFVIotDXKbnlB*ZW%b*im$D4$^HL*@_dnE3j~)miDVXm2)!KAfOS(N3)4!e)<> zv2#e2s-5k>EMME-8XhvhQ-u-B)i%7F4!o=hRZC&E|9h`S@BS~_f%07KYn%n>Su#ff zCM@&Xsrjh<AjnQgvW$3L+{~M>qNr*4n+j(Abe+z9tBIF6_cq29#i+!7HkKB z;=)M4RO;Ms5pzcr&4z0jFvT*s3d{u7ksgu8{Psb0&yFn!y8*QtY;AsF8P3o7JWPD< zr>s1*(5j}t33K*&a-$NruVahQaWT| zYy<`_6t;jv278kep9e%b1W;O7;tje$kk@%Zp8oe|%s4b;ZLN#cEj7)Z&D&;Q=} zvVqy|nURgivHa1PchmI03{n^ib3V<8%*w+NAZk}y!|i7Q25TwxjOyP8B-7-a0yG8l zOFnUrk?~o${3&8Nv(JzbJs!U-OpL59RE!)xPK!x+3`g(%`v#z@P@7-xxV0DxsErd^ z2517PpjY(&@s{8Cm#l<1<&E0>dUGO&8Wr%h-lQO+5H9S6|KDb0D8~Q?VJaIU_jgx@ z@vMjmp-6ZP;YMEFGN`Q^JQt{-!3Js(%aU8huMw#6`xQ65Ur{xwcGT*(nNIa^Z|EU~SWQXXt zqY6|^5h{prhM1Y;BrArmj9A(!-V99py^6eRA^*JiLnM|Z|1pvgKWf`J^dcQTND`ci zC5q#*uQC;KW-6pV6}dhfqkl)MptHIjHw&;M=Eh5$!GNy^E=uxjS90hhY6F`4uuy2Y-d7|7uvc8I Yp%1DJ=>G!%0RR630AS3oMRSG#0QI{l1^@s6 diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult_srs/fileinfo.srs b/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult_srs/fileinfo.srs deleted file mode 100644 index 1f3fbca4fb74a33edd5ea0765661238429a07ec3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 395 zcmV;60d)Q!iwFP!000001I*P+PQx$|2H<^9VFh(bo%98$OE>@$2Z$Vdk_^O-<#8f< zdmLKY8dj}A7wDoZEc{jbaOe(SjuY=7W-C3#B4M~ud-~tjBxX@;7q5%p^R{gvEVxGaw#n8 zh_@UIPdM~-L|cu8))~UeKzwM|W6{-H3qyB`cz1us6Uw15yh6{tD^?`A2W^8Fc*w`s zkdK@yjmV!LU!Fdf2zEb)xiy^(eK|f-b8Fhbrjh4(iFd0~`riY4jcrGq$xPt#Th z^lv$=##}*p3d#N#(uZ!lA6>VKP9gIvKu(hM2w7YKLK4EKX^Y{|MN?T`4P(&)&8V-B pnALX-^=D(w51oAQhsMKoe6*}DAIt{;009600{|iyO&19Y006x#xcmSB diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult_srs/skeleton.srs b/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_mult_srs/skeleton.srs deleted file mode 100644 index a3a2fba0319bb0c4de08bc061ab80b66891a805d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2633 zcmV-P3byqhiwFP!0000015#C0RVXORFG)=2{uM_Q=oW5WEir3nO`x|@WE)~B9oSIH z!B8dFJ8W~?%j`jaen(Ecv2*lm7fezyX4P+Kk6%5AGsi5wbU-!5opI<(qprE6t7c$$5`7b$6jj{Z=X zSk&`G(ah%2b`GL8=*32q+9>IBNCJj=p%O(|%N?4DeXeG`k6POo`fe?BV%GY)Tcfk8 zuC*87B^jfg0p$gWOkvg;<-r>yDXGBB&!LjGM3eERb+c8CYMHB(cbl=Fu#- zi!EqtoF05(Wov9Zr{_*(0&MNDbsf%?(}F-NDHN8z%0RAlSy{Qq4GKxJVi+vth9`(8 zga@sWqr_TPvdq@!b*pxgG zffh-a2c=Y|b&vU0ZHhUJ7faLZ$&L4X1PdMj%-saD}p0)84F5(c!fD<_M zgt}o)qWuJ)0)CS)e!TB-0=Hux^7!KS%C;t&8W6kog-Uiv}2I)KY8 zc6G~&n(LJk2OUrJ6l^A%2{xfFHxl=RQV5Ctp0R#bavgB4wKK({6BcnZXHEideV-Zd zhq@p3U3}8TC;hk2ppBIlKg=PkBH&%=p~pLGq5prZgERI-1Bdl0&f(na`M!}X@6M2& zN$??`)~##4T?DMs2`4^1b4~@VvUzHu8s|T~s_~Uhr+nyiQrp*KOvQ>jx91z-a<*a5 zn2V7eopHkN_{{0Jo}%JN!P0%`}Qim?(Kb?*Bk^a%r@Q17O4bX zRCLirz#y7%k`DQ2EnCkytaWzmGc(>=17 zvp`F%Zv>gvIfZ=h=%2=KnCPds+SWH^tuiWMGi!Fwx4X{|&v*N~{nvf+klZy^+4#=7 z+s}!0iu>)?i}pmvuaFmNOOII$cm0{?3sLih%o(xk?=XA9`?Qm-<{A1$Bn!&LId_(< zK*dt%_0^kIe}; z3Wc=O=x*NdsNk?kg^--YV{>?1p8V6qfAAG8huC<^yZ)>PcYK6q8O}hy z;K$tv=j0;`KKPv^_^ZS@<}Ab#8V!Hd5yd!Wa@_YrA7YChCmZ5%S*R5}h~s14EF&@y z9w7ZYB%Hm)%yLaL4nICJ6XMQvYcX=U;keDYzYObA6c^i`FUFJRhg?GX`sMxbnQ)#F z2S-doUe5S5#-}m_#fdlgUQzR?t$(X|?}-?dEHe$u3fh zF1tQny;lX^`1L@czkgrO3coG4#CkPtP<9ri6S6Z_y!+vDct7j!3>^Utib}l^fhkXl z(oVPDuFy3?OHUqpyK5DfS~T)O_&yG&O5^@7XIC%XP^3b|ka)YD=6ts4!rBj&$HC)j zI8l>MSk2My%|tUcLAv?o#DsM=NEXBdh5Ex$HreY=PcrW18@SVSYyR|lMUT>{<{T??@uiuK2UwNfn zLb}IR2jHcT*K>+tWm(@tH-mO6866@$>12hlDU|8lPjT}aOHb6Q<%*F|D(+Xxv@D(J zmEk(*l6bx1hL}><9?>Pu$)HrVXCQ+c^!KcWfs;9g*_yK(dsz55zr2@mPMG%f0S1xG zOWpZeM|PO!SYR~|ngTk7Ot?e#MdfS8tz8^$BF?YxDK^zbh=apis zy-q_W1#p&f198WAnl#Ef5n3whWtX+3tnF>)okM6_Y9RCCfH`IGc$Z_*age9j>}x>N z5-4%XGw#wjO6YkAHLOF9b3Jc>VcojW-%&151PYvN%Cu1-;ln2Jr!yZCDNwGh*z|m6 zuvk)-dl3RTP2DD>+`40(bkDeK?Wqn+Ln(Mdk*({2zt5KU8MhM(0e&x} zup`_SUP#mm_nvAAhETTCi64fv$~~uV((X_TpqL2CHdx-uDuK^@^|UZ(!Agf@*XC6{ z+qAlH(&tF{r3Ox=-H3E|dCzf7L!J4S;0Cg~a(}(M)@QEzVDAz|(DvH8jK?uJ#HYpl zrApk4k54^L(-hp*KkZN=Tvkf<-$cp2?=-szqH&cRps0HcJ@y*#gNBR4Q+%6OFK@GP z|KM!=6(;KP{7*Zwqep*N1DBZm<=6h_y*T=-Uo^`)eAbqL+bQHf zYu(TLd8UuODTb-G8C3<`-4#hE&eTVp&{dR4_?4IRoA#L(Q?*5&kG!`}?64RY^IY?1 zzpR7GI*gE4ccyqHQ1m#HW|zLc%2%YbBAwB3ruUdzvp|RkQ~BolpNe r2e?aT#W>rk)@5%=Nyxtd00960;&M>n0ssI2|NjF3WYih3GZX*-eM3sY diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_prem.fse b/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_prem.fse deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_prem.srd b/gbe/cores/sgmii/PCSD/syn_results/synwork/PCSD_prem.srd deleted file mode 100644 index 061b9892139d1e1d4062a19a72afd6062b3c8d2d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 38649 zcmZ6yXE+@18~2?M5hMgb^oSr)qeojw5D_hj-ife8S>0lnh=}Mx^cK;3XZ7C8>Z>mn zi^W>2tyP}<{`d1dFYb9W^S$PK%v^IE=XuOE=jR;G@H;pDw~-D{Yl0RYJtRNy1CSj) zIF_J4oY8M6`Qo=IVLwmZ^5|}~6XV@G6$=pJs${8>-;M+1Ci7~aqBR~UoBkN1af5@y z_kPW9N?x8p7blkX<=;027{9H54gMTCP9owamhFwsSLZ2+1^n3+7&ysJkQrN@dv3SyB{ebTi1$sZ%a{?A@-pF3 z7GwEz|9eRyg)@LL&6(9DmJilr=;DNW3e=aP0Ld_NxQk6uI7u=Z7q^s1ronb=l#B~7vGco&mr%z^o| z;x(N|T!nmZ<_bRL3#QSa+PpPr8%?v$ks5jb+MWAwE`JuCqf}v|_>J-B`o;$h*qxizzG1kfa8VH&_IaZ9>eUMlK@8mN zVJU1Ju>LK$!{t0rP>784UApGg1?Wbab8kLZ;hiUokC~Bc zR_CRz3(X?v=D&+C{z!l4uOn4GynOsbIu7Ei5ndaN0bwt}$uM{s=3!s6^($B}o zXU_cO{NNy98xzuW8jW3E$}LB7A{XcdY zC$i=8Xmh_j8jA%fzSQ5p?Z|;_RmXCIkS#ut3ozCGgr+b40DTUh0KKX+4@v>(yw5>m z0m0kRnIAYaCqfn!S5SSYnSd*r3m1Ib!(Qa}<(|;R!FC^JiI)sk)`kQ$x3#Hr0=}lk z<^>Q4tH-!WKk(_n)ur`0-q|y3?aH=i@XC{TDt7=_x`5)7mRnmwuvb@@map#DtH%iV z4o>);0d8k%Qht4bw0jRs+E(!Ip;`mL7n04(fGdqvepxVd(J|zy=403;B`)&|>)z_9 z{R&rD+5`VRmM!*krldF8+5_enNZWi%D*6XGT1+a>PgrI>sqfxb3#Xs*6sg!=+1m5)&0V5at7^sL4eK-AOlncbiT;l3z{56< zv=@QnS*{rq54&C7Qb%dvEhpI0c;CIcxW%fyS?qVFm+;{V!Jv^5gX)-Ci1DS%_dSun znL(j_@ zT9?KfD9*NDvOn4vI$;jx$|>~y&F$cF8Phu`Xd5;*Y`qdv?0vt(9}CV2u;zolzTqvk zcuEe+S@0)kpY@CN8e7;?$WQd>^yqa8e@`-g^SWbCEA#dZ)Sby2lTQN4Lk@y>Ik%o@ znO4d_cV*)XWD!O_ul)wF^yJbnnJ=}yz$IodQgPPCu? z&;lHi@BjYtb)fZ|=wnZ2EKJ*)l2!iI42`SCYTo@{vIkT_%*1V-2;zs8yaXX$8>$w^ zviK3TxjPwA_abNx#yHJi2u0`L;aJ;r+2YDWQ?Xw^m+cMN9LulBcmH}-mIsPF655Nl z3#t6FLY0RVw^v+^PgCXs(v1vp-Ll+a8g?1sQYN}tQV#{{jhJAr6K%fQ2U8LgG5C;LB9TD?O1VjuW~ zqgCZIFosRPq~)yz+22W9HcuYipdVGcGh)YVNyytIO@Oq=!t2-ZLIg_n*3MXw`t>0p z!fW-`u~-^$``zTd4P?!U@!?H%0P;VZfZ%_&#s6$n|7?<+9MTF2x1NHyI~O=O3=~)h zuH^IwcP*J-d>V~@c_>Kq__~Y`VkCJxZxB8B`_&gWqmH)GBNHimyrUFUZYU9*P zw?{4V39YQmUEp4Nj`cE!a>|)@n>2NT!2RsW`!bp=kN81cTSB>(V!cd^{QGFm(^ zo|@M7iQurx(_GBcgk$=e>CbI|W+om2hho9x; z^lZC|Oh$cZX0kWzrF^WVeb=28!uq_0+t}j1bmiov?2Um1a+oS^U3r4;Zl8>v3}c1L zTu!G4^`WzXB{{_i_v8oqw2812n+H9bbYu$vALqw66@SL=xlc)h@lWO#_}Jq_-pb~M z{t|ku(WQf6BdwygHWC9!GHf}raY2{E8EH0o1X4j$8)d<-4dRrjm}o+qL=LBKR)@EiE5_9zj(fEH4OydRbtUZO!(c*Srcc(pQTMn^C=TX z=ERtTes@%vwe8Wx@M)bp6TfXtxMqg*y{d|&5gEK1o6|{)f?0edA8)WacN*rK5omtZ zk@^e3f+h!XcHF8?O+&Ib>-9RA3nDo0a))M{m(dK$nNyE{O?=Fsx+==m)Ob&nvp~v9=fhr|a&b1^)QV!*`Zs|(H(|A6}&2aZkA)X(It8bn;*UuA;d@AQY*{j$de{4mYC zXZYj3y$Lx_(!1imkr5G_dWf#rH1kq;!9Cn0<% z*SG3l#X4;Re)YdH%!ofs7_;v-o0Mb!p!>W<^_Yaas&DC2V1*JcJcMUpr@fVo_TqLi z41>$i@F`FGxff_HxD(YF5$C&Hwk7$s2wy<|QyOw1$T^fgkQH;dXV5(Tz~=XLZ4u%| z<7l@2G0RJY&y+4_pp9j7_Un6!@YCm^2Z>R;M`tqI9G$JPz;Qo8Dg(nbgVAEWmfK^V z9D+{6R1v2sUBN`^lQp*X!_qhO?6)U8AFeMdPX~c@6#8#iFDg;L)^ht*74Ws*{Eqq+ z`R^C6ulO#5Ea+V8V(wPPz4W;qp<#BTYKx@*Lq(o;yROa=MUgq_L)p6`vmK>*N;t0+ z4rPSH4Lx)qQD6eoWz~9_3&lR&dXc(A{#X?HOYgNruYK4bWmnnA{T{Mot(!^ zuYs03uiccGzWH$lb^){m8Z*V08O&~tdCZKA&rjkP8yN@??Sr&?bir36NkO3+I7Elf&QyNEVL;dp;lBQRF(W77H)bH{GW$|8Ne zzg3U!{$1|He5=&T3)*S~>P3&|!n3KL@Sex~+jRxvfVGYXN17Sq_(Sb2lSgQBmH$n4 zx^l;tT^oVX@MLM9^1E{xT$p&E(efQQuCCEW@lT1r(n+VN+R``z{eJWL>LL2pp4k?F zHH&YpvHYfFtiLkw)kF~g996^jJZ#W7AZVETg8d=3whVEjXf!A%CJvHyeg9hWGI%Q$ zo~{IcQ3(;>iE9wPH56c;!ZvGM8o&*VPoWN)y;_lUQawv3Spu6yRNT$od39fl{e5B@ zOz=xHqJK_BX{WLVr$~&lSHeY(jdGo5@!3*McI#Gmd>+et(Mr}n@dt&gA9pNuAX&PAu;v!Q7} z@oo{*8QW|R{+As8Z&vlPhHjcD-ujsqL!X39+57qpKzAJJd+*PVyxsh>S9&36P!{l| zrrV%EzIssUi&K!+&7!v!F-Li7B*(iJ2>Tm4*bkWhV>i}Gj|z**mCazO-Pe&+miwgC z>G5cwBAnmiw*&4{PtE;vwltbO0qqr^qxZE; z7aESAo>MfGWVJ+ZC&!E~<1SiC-`~n4f%|m+{(BHw_Vq1?w$|9nNZyoHcc1xu#Gk9F zBk(?**tG6{Nua7Q{okBkdD7qFYlgf}=bbduY8`-h2)eNMdTAev)3g65BHtFxO!B?& zEKWM*{kLt)ONr0%ZfHg&tE@bmZH(@*A&ZD0B&5X>UE6oJ8r@S(2l(X}eQt?}n}^%v}i%b)EuaCN1WYoMN$)alwX7m#fn zDXTTYU-Y~l%&J-}&U|>L=7QDs0h8uPLMTb^gL&*xzKO$%ZQ#A)a`73;C%?93{l;|xl2ES&CFR%3vyPoj~ z94(p{O0n+kMzC4i`a-#uru0VRGt~`-+zA~y;hNF1SvM0Xw&bOh7D8KRevqefZbN{% z*`gL&F3*qpCy&k{jfKT^;}c0}Cy$?>X!LImrs+vL1vMtj+P&OWeQ&yv-omL1y~uG^ zNmSGZOC0<6IMH&9sc7hFh&d+EybC7fFd^mL!DV~)XUSWN)2`L(UDDFv_ zO64FITq35U-!CI>lU&-TVWja_*hpakw^5Z)o32Jrb}8i>l`>!|RcVy$AYSiUxhC|v ztD&mXzgLQJG&da3>*TH<+OlNYTU%$+-z9!bnfix$c`F+VshLpkUl%_+p$%)CmX>QZ zt;;No+o!PYg-b-1U>Q@3)Ho`oD@&|BuB%?h)xO&g>Tm6<>!nyIpPqymRfUMzE3dzy z8RAE#Q172l-m05THL@Stn<}}KiObukaN5|MP?l-Vufu(v4bVCdo1Z%lp~mw4jThgz zIqd~<-e)A*vbLfpLKh#O%qBGQ)%}z@8}#R7mtTO~lrVX_aQ-=i+tBjP!eAkrZWp^o z(d=)5dnTF=GM_^HlMWpmXTNF@BYp=5DLK9q5+i-@q~#>m6YTLP<8*e=;w@q{+7WtN z;ftKCs>39;_r#U;2)r?>BHY}viZbs-l2?0zXjXdG+`QF1lgWacysxVN4<-#8(8h&x zUB}_8FpPkY2Z({n!)5v)8Q@J-3_HqcTL|Kz?DmzHAYQ=E{NUXy^Dg(@zIV) zz~*8&ej_xUXlnF{TM^;xTIVPrFq-2;3?fMUnLAn-%+Mx3x(lsMUlV}badiLTtL0=u zI+{E*P_*r``enM|Xa$qn@dc+SmjB^hlHasH(v zgYD=d0A89I))M4&>D357kZF&tYN-Fz7&>0p>8sUysgC~qs>iE~U+x!lb9m0i@vK>E zFmq>oxw}&-r8KlOAXwWO*;VaHPh<=?mBis|+kc%%7BANIaqKyaCJ1c~F(wY4<83b0 zZ8{)EmLkRTyqf2j_gzm4SMFo2Y*3Pu9D|*p6bqOW((XJF-gN-9Dga=lT4gK)>4u2n z2Z5V>pt(#9$6-h4BgZMn4LwDuy3sZYBv3rxYc%*yP8Q>jc2Fc|DsZLPoQLq5)n2C_ zXuglG`^uPRBS>b_QgrXH(`Cb=!PZBM0r34gAEVmrns~`QQ726QhWyKLkX33vJfGAf z>mv9lv}GrUCOu_NVP6dv(OR9MP=Hf(yii?>9*)L{*7chj(S`TaDeCX}IiW3?CIXoS zdS*h3(kn~%!psi8dr{=2pYHx)W_~vhSeU;|(>0WMx%>r~D$r)^sRJp2AJ;~_vW_RW zoqWRzGJ$rtCe1#d-xApEEd^(MDt5-R-Yw#{mD;J35Zntl1jLEki6=zQS>%~DQy^=y z>$gI(N4fPKb&`jEDoJ!WUH_I}l^hi(JWRw`;u(gx=o>!t0>tdqAq62qH3M@KZSB8{ zIVS7NEdZCEnXPRC14Q`IUdSodf3fA-Eudaaow;dS=lYxprw7wC2!KGoVz1FS`U_+< z=hKLVqdiVVj+MQ>CPeh(<;kV|(`Y28e|yt{G!l7s0J!uqK!7mKy=|!}=Px0?kbu)0 z0g$%Kt4->~E@?$f(~;1~*8q}zB02bao4Z*Z*+#y$U_o<$>l1>2&yH44a3h$@rVzQa z1I+&ZBt{`J_!x)PT_Hz81HRKBv6J}>G1!dQ00YwMfPhYAmMcy0MPXPh|CRUo(Jhy2 zzUj7P!LzBmd`BQ&@4@RMzg$S)^|O2XllAp5VdLvPWFZ4KGwIF2@yW^6{z#LiarK#T zeL#E2>FKq5!0GwEuo?6mr*OgC7O7Q#X(Eu$`Ml@qoUknlZ5cVN%ey#j17Vlt7h3>f zvnBy8msfoX&Cu#b^*Ehs1-HyN>_NFAM{7VkSfQN;#Nlkg$$>?FUGTuW;KL)0G~!aN z@B;ppH4kIoX&3j_gyqM$2gtYfCvQKxcEjRxJviw42>Bt_jd@HZ4_DmHM(@~uMJS?FhuK5h!y z&O`J&KCewO^x>29hcI6bL;~L={&SstZbp91`rKFJ6CpWt^w%7y&^F~%Yc9_CQAPV< ze#HevN#}PxL#quPL&7b6yH3i|iuq?HUL-@3Rt@@wa}V^T0`*cV-mwY>y!J!pw19G3 zK!K83Eue|z`U(H<44l!rQcUi&tE_x^hp>c@e$FRXsb|!-t;GZ!z%kYU66Z+{uIf6R z8*wgC{JEOY?+4b-+28>vR&;$@Z`GC}zN<@5dQVA6=^w2Uk_?VNA{KGKc&yqNGHcM) z8qP-EBW)+>-hFIs1ltaA5=6^C$EQzMDy%{8W|YZ^BE!8jT2U8D5p7RYx1Ql2XVa9C z7`UJ!eOkarWV2wo+L59R&*7L@&^edqtTx_d* zYXA7b$CKB-76Mmbe)BJoPF5hbh(t)@OoA{6T*@`;U8(9Zml%NoRP>g8{kL&(-$fbv z)b`LX?&9WhU;zv~Mx~pfl$5bva%wAg2w!K-Z%T$={bE2g<(oxR^ZmCVFW_*-> zmRfA1lmxPI zxx+&?+*h1;GQhH{&^-R3>`e)mD_XyYGBgs}<7x+F8FKyRk{pdp^4F3dZIO=!KXcD^ zBvR@{{>D9ndvrZ3V6zy!?4E&T(J6dO<)?e0hUC-HN3=zXuICXlY9Re2pmV31d&!%A zDO0RnSvrXKV$xngi_&)#OFCw`@3V5Mv(B1k&1NdI8m9WROVB*WpH0fQCg&$@J{y)N zNN786Qsn!*7T1;=nN0CnwC6w!$7$j}+h(|>hu>#j zBBMVTwo(*Vbf%24ZuyB(3P@W8>l@OikU}lqSk>|hA}AeRd;h_XJS`diu4ineX@^a% zIW4U@{g-&pGXHm2-@#%Z&12*%Wu%g_$oQ+8cxNasbuf7|l9fVyrAjfOg+F)yYxjOL z#RD1MuSF01l)Wh zT_P>?H1l*9oT`(%w(jJu%G+igqc^y7Hz2Kw=dg7WoRL2hhzPWVP$35G|Wj)6gu-jz}06bY#Bi^}V%^p5u}=zlIEq#5LI<_xgj zlaD;p1si-vxIJATq7bzD?!qU+_!j!adseZ5C(B~?RfuUcs!Aqk44Qhsf!E#a* z0&z4Fo!T@~E<&@7S|XY}>!UNG_5-|XWl%o5kmdee|9-H(_X$k8GR{Pi&#Z(Z~8QqS`?0Eo^_NpGO2~gf)EdZCxVMfeR_GQceAv= z#B`anOutcW zuADn00=hhT4^;SD?I>F9D5bj&9xB{uw>FwK>2C>{3NYLnf=?IjE3Gy#Ry*#YBlu<> zWxtSBg#~vnB%=hEfmVnESdZ8ZnS%M|ElAzT&5h9e4;+-D#m0+=haIZ?O3#ot%w zV+Dv!1^OpI$4to}al~|i$8D|*_kl?>b;x$Irzz$C6V?@&YSf>1(xBLhH@~VpYJ-z=)mhvCGnqBG=_dNf* zvut^ttmZTUf|&LI4PT>5lQ{H`a2YU%c>SZgq~^ziJYlC{lS39g=cFxQV7c^ip3z@-XRf^Uw;_S#>`PR7{U=6je%=^ z{-yZHTI#N${z)gq%N{0;gY-bET^CV#x4LQDy?H&{G2fZ_V!pj!QS2$}b{ovF_?TG4 z&RB+CGj~E2<88vd9fWv1)TEquV3UY10k#%A3x+Q(*u6`mdnlsZX+u~>gYD(KXwk2G z<~D$zg%!BDB~T|ed6#o+t)ijDEpEMd?ZnKO1{5=M?M;+-1j&aV^B%(oqWL*Jtl zuFNR_sz>vG=AKT#Y8(acv~l`cU>E1D=M~FN;>|5$s(}xUogS;OE%F=(@*G>uB>|Q+ zPCmr%5(~Ip_1K@BhjxG1F3LEltPUM-X#Kb+F?}_7Lr%T_x46kYXBO^p+j}AIPC8DC z(r?+NnPqHpoVYQKY1vT=&UPt#>YVurAoOOSb$#$i9rL-~#ozJgpWR5!7jjC12-|m3 z%b3@MB54!}US*n;0G3NPt~P72UV`tZ>USQW80BW>WC9Q5EA{qRUf5YDdc+OcC#eDM z{t&A6)@-smbefzy_Q|{4wS7-4Sa*20E#RJ7QK+|RZ?7%4z(}oV?wRp7{4s_qV6%#y zbbQ?VfZH1Ls)BD30Y3rqGYU-kAAM_d1KsYz#|My5U3-n!1z zUFN2@g%E;&gj0XidocX~J)gHZdBUDM7PHz|&@5ZY#EDp@@|-(l_9SRTwR>)4*)dhs zQ3*LRrIZQ)fv&JJ{lV-wqFut2%~1Z-2Au-85968rhKj_7N=+J5OmDce*%=x1$LmpX z$3yfk4!FU?VBncH-4JdQmY`r}Q1dF%X6;V$z`v?DLW(Z?`>enoBxhi?CZpsZYk?09 zUxW#kt%?Hkt#G2h@YzEiqcT{f2Bw|1_;Bsw2Yjwg*JQD6|s@O$c&j*MU4LxuOGp=J^p_kJrCBaX#2{ zU{=(Y2o$Wj1YoiaXb6{?uWrU(b@3wTeh#Z_YUzOn=7@*QyGq!<6?ZF_W7@!LEL0{ zA&thhj_|ITD0TC6S#}e;e!J0_-lQl=&Nu&i>(?5=!pk3*sI}n zQ(5*|y;y((jb~*|%(EH?hWT2w9fGBXoA_lAbH$?k zvI9O1sT*BIf3g0t9XC+@26Mz;M=Qi`Tpu&xaU(#29}b-mI#vK_f}R9YZOi7OQ{SSZaX9( z3TVjZ#e`QoXdofRDb!g=c;a(73pCSxC|p z9+l(6#GLE;i1E3rtX2c5b2zyg40sBXX;zujzQs>&R~)7(DDk&NR^L=`05u#MT!O2z-7o4^hUUkXDAo`q*CPi zycF2_n-Ow;##*SIUhVeR+auXIC1N9c2bAnZefiQch&I%^8fkKB-1jp>lvd8V26Vz6 zx+hjA^BJh&ycH)ki67gVqHTyJTEAH;%!~s{T4mdDjwJJc>m!dN|JM3=A72Jz$;rQU7H{-$S}V_xwq?3 zl9SF0uN7Jts&QTysG(w*AL(~bW1N@8)J3RPCk)WZYZs3>>t~>@rdjsHq@>q?4f>EW z$!iS0#$9qg22V>8ora;j=qE(CgZ2g0aaetvJAq??$Io#ZZPHG4urR#HH+d{Gz2WC5 zSd!?lhBl)@x|+xpg6gFh54^g8@c!J5o6l%QHPPAv5&H z=Ta`fUJk^y43ZU3GncYfr|jRi;l4_vXkv~6Rz1ZZM)-3*01g3-eOh^eWce0qgNf+DL}MR-G|)qn z?}C0>V)@3O%DFs<23UOoz0RDfh>Pprdt!F*XWBomTj&OZA#?@BkFR`M)9d*2re)0A zUco`bn~e#C>D0QqI)@>QeX8R5oq4p{iC9lm;JH$eef#KelZ zf%p9CfH@F9$*E&+W{-F5D{+MH6eLW?ATEre_6k1B*&eSMOb4ncoI4JwvE5k$IsXxT zBuqfZoY8axoj)uIm&>tY%1S&9)OX6tikd!q)@O4f@26F~-!~}40Wg!&-3RL)fo(OT zbcpy5ZK5w{-V53OSjBqRwLJFX$fBPkrA_|Y{Qv?vb0K0*u2xskORRKpI5-Vt7x;C= z3`|Ma=;>Cbv2VfU-CB%AL#DgU_$BIApuSYsU@+|R037a0By8>ncE7r4M~B_T8kijp z2Y#aI8%p)APOH>p^sfG8`14eraV=z_Z-JQE#<4#UBKai^w8~AYx*li|jZSi*q z8k%s*Gky}wr_dy<+9YSh2Q%b@)oJZ)Z-_6O5sMU#;x;XO66(G&4%t)v)Fj)6e`ARi zUr^~#>Lrq~cG<|UPaYT9YCCg2`!rEt9oL;LV~xke7)pd=@Fpkg0BU3C3Iw|1y)y(o z(S>jxon)!+DH%*N+3pseDR1qimeX8lLDwNsW!9yP!@=lCEkyaHuk=?0iN958g~s~aKCM~U_`M%Jc9*DmhX>pSHiH^0Nwd#SZ;KuR{|4c$j7y+-*4 zt^FGkdyPl?i~;Np`%gCKlL78br^!Ra;fD@$vp~FmvvqU5igo0vk<50rh7-`M5?-YO zebZ;`%<8Z)TX*QTFsNcJ#AGcb-DfNl>gt_`9JIM)Jgm$+XYd`ymd+qP4>d#&#!21^ z-{HRck-QHom?9URCNC?61Bvp}G%*PBqmwz5RYB!VrfJoaxa9rJ|IZ)-L}?wHtREA6 zi5K`iJkyNo1+(~MW=y>F+Kth(q-Ub^Op)ZP=%h&hsK1)oiZ$K?ob&YlLy4OszoOhT zqbYi>V|qN&dfnxby`Mg?CI^`15mbDqKt?7^cBa+hM3Ob@7P}GatJvgCkpT>;`VJ{# zy6^I-_(*hYPp!nfG`C{Jp2?GPm+L_deEIsZ<|n%h5c2Oi3~7@`F!P<_o3}$YBykIx zqyLICj*2uY@(Prf(e9O8pTD3HiZl71X`6R$?^~o;p9U1}7v8V(d2g!NrJPAoJ<^{M( ze?;kI0q8ZfI<|;aGVq7Gnz=Pxab^43zAwT@tVIKQM-;^2E^W9WOsx7}qXQ|%tmQX< zYH_9-jLUCaDkGT{k;4&qP2)`eQ8!_Is&cr6ekQUi->vl-h7^qdHRcZ9XrNuWOI>j_;|4TpRV{K1cPsd(k4ivqBYXb1h<4zJMY*JT!CcMPb?HR6+ zK`gR_uawOhEwLtsSQf2w*R5(JP;LIhj?NtSum&5>pN!suaShmw}p|@-+AP(=xU27??n+VVfB?R z{rWTOmxc!w-Gz#(;mA(ze^nA8GKF7}99t~De~|6m_-&nTCGpjqNWQJ_5lL6o?m4u5 z*K5tDVr|-P{_j6Zn0nsZdeY{>H1pNDgXO&#F);<9_Y>M}$OL=O1S=~0MyQT$?1XK) z@{pWO&^!-&tQtk&0tbHJl#w34Fc#hyHIz}`g}%-F@gPJ$#^P4QRH(Aay22ea*mR}7 ze>s2s1OPUQuC5#zzrj8wqs5jR2TGh5+BY|Rj);Hq>xs{%WofNRd&SbWOX1!V%6H$> zc%WKPt%2$dSAm}af3bT%udTi`!*Sv`gQK-{pB@j- zuJ~nZZ}j-)w9pXH?g4M2&%~pyr7ugctUcB-&S{-A_7=g5r(EykduS%*up!%`io-)* zTs-xdXR1hjZ5;e)^c?8hsfc&;gTWo1LCqC-}2Z8+e|tAP6SO|b3S zSbrxl#_=Ubt2KN>27XpJ<@V-b*j+wX|JPKy|KzbYU0?d|A?>Fd+L%T4bF1J(vJ(NG z&qRb>2{h2+d#(CpX*S*HP8|^cCmiDl~#keVLW}mHe zDY%9@xg<eaqDb4rdjh#_H60_MCOXi0E6rp{VNTRPA zS<=WEQ}>;B%0D;fgvN3Ndq#5TUoLJPd)8#uoBpD?f)7V(4I@5KyuQ1C^=XkR%8$!M z}Rzr{Dv*qy!}D^i&G;3R-%8(r4B8K!|KCb zy=C^x+L!e9zo+&=Lpd6rgsAMwtYv(-s1k<(hg?81ZS|CGiFDpWOZ0T7?$+^y%U}%4 zcQ8jet}LYg?@?L#QEfwRMnTU{PL@tpONIBzUucp7C*B}i8{8&qLMolsqBO$r&-3(b zjcu0Opv-*NmQ{T@(_w9M%}h}cK2Lt{_DJudsMGcVzeVq{?0+RZZ``5Z z*!t4Xd9%-h3PTsm;uPwO%z4Yz@&u{n$=s!CjXj{le{B1vF9$NA4}pJBCm)=_SHCfE zET1K$U4XWC@-bG3b{InK_=uTgXux&m4}a6M?0D-vb5nX8Kx{w>*VKaw$Ex&4BpVT!4+q1c1QpU5vaue0*(w+v_Zx+NkzhP@U1o07dR^7>vOFr28=V(=GSM|@qMyfeiv z3TnXPr$#ECQ5P0Xi!c$$?uE}Bh_xcPTP1GeZ^%`%&wHz10OJ9VIjR#3B3nx8=6<#b zZcBs;2x86kQQX|6)RI5SzuSbOiffHRLB(q-yYJ6iP_${FG+Gflzwtog)mMXD&GiCs zA?KTx%rdp3lcaJVX z^^zCwlK{!c(2g8=dYFt<9&TYdo|k*R3`Mm(Uv@_+NGzM6mZq0|h84UrJ?V~P`1W%c zEBCt1X5^#NKS&{lepCrY;&}(dUO25$yRuk2uHR!x)YQa$a|Jwc9g@=G!(>$soCVNv zYb+`Wp}wz5i1#wVvwJolAH>fZ)PV#!7(+tb?c{BG!#?)?VHSDUz?s(T_ZanY@tKx2 z$#n1kJrQ~$CP{t{BK*1O3pE; z;FmdIMg!+Vr%t|_lhS|ex8xIv!)xp!r9fsUC0_-v1n9rE3qkLHgQvrk0;&IEvO@5G zl=xpH#+|grpGXE*wz-msdAi`46|+nCsrvVl_G%?RzPllgb@>IU1) zzbW#uVj6>`ytwJ46-a4NZ^MSGg_KMB$uj__d9`~eCRJ;zCtN-{O-M!N&sfd74)|)A zh}Q~#VOogvQE=Uq>WueN9yAr)iS6<_=#ySe6xzb#;#>@DE z-)x!Zu*pSSoNw+QlV4t44Waf%mcZ2SM$HCNF43n)+V9H|H%bt-*X762(&gTs=kRmQ z^wvDZ{kMeQ5MpbC8b(`qnQJuMH zf|c4)71vY5-nm8X?}hdo_H)|XO}gD*x*jvVUk7w5A{)$~4;K_Eh%88+B~}ROqA9=O z`(!U^1JwBJQEY_^oZ+%+YA@bs)QTnn=Yink$&<_~9yUc@jmogI>?5&;!HEd-a+>msr!M zjd-M9N>}TcFH>8=&$T61`5K}^&plJ;Fgq(=*>O_LCj~Pgb;7i1{m7N*q-x_|3NxmY zv=+J=bMtLa+w*G7g6=>6IT| zFyFMye?3$4t2?4z{-k9NA($waCFHl%xz!zEg6UO0zVSJ`EJ z{1j^FDrKGWOBCjDkIv=_cPyjdd030vwGc;#LK|cdq5qallv2zzU0T9G;%Fbig|v(5ZU;Px&o4)tuZ5xSl#K&#whpkn2ohTVV+^s&ww2B&_r* z;ibi`7O&`i^&PXNZ)f-MZDJ8CTBC8-KFNonLajTVkj}NGl#21UA`8TctKXtPzUEH& zjMIOndAVGfSdW#85Qp=)DU0-9KdR4oEprNtHOLbJ#?GPw;+{{<;+W1}9S=-cX5eT{ z=DjTUHyBtnCNwzB_x+$Tyi%F=CJJk&mYWM=fXcH%hl{Gk=*xF3RhJiv@v>#V#W!Iw zM>=qlggUh)q_({@r^#B1>{+Y%VUk@;?dyTGLp)RrnF)!XU!zcSbQf zsnEIvB!+qQU$zWr7g>~TpT2|WmzM-(Sm$d=*vI(_TN1tQwWauhs{@9Qd^oW-P~I62 zvlC{|KVR5*8R^g8!P+UAwp==nU7!M{79mXf9WytA~c+IHi`Fh(c z2jW?_A9VW7%Ksu_J^~4r{9i!O8(Sz+<1+kz%p_}N{-22OC@H;2u9)&^xuA~6EE z?@U;D{KJ;k_?h*lH=Nh!lJ~LOdo?G=ayR-L7UOG9fHNIx8ZZZYg(Vcpu}1r0YZ`BY zLYZx}txp@}=>P|logefjG3DO~xpc5o8$FPaO!giN6ZNs#=SXpI6v0drQVgRL{hb@@howp&YhJ;P1~9LLpYj_EtsbC zF}X{C*`thbS6n{qY|V#3I5z^FRhpqo7vqIIM{*(6ku$up5S!lSxe`oVxpZ}A& zq3X~6NiMI~pE$x>bMiz^|26~@yGi2$ZVHQB)R)^EQ1*JpCNjz2Wh{&AtQ*J?eAiy_w9rX{fP&O0NN)lnBE5y)q=eofKp+7EgdQM3fKZm-?#|Ba&d$8K@7}p@ z-aYT1cg~sf`FxIH^Lr_-fhbbal%GIDa3Ejl+j)vfJtgs4Iw7dGETcG`~ZA0uY*y z3gV}pN*0eM@LfS-Lc9q=7MGm4}Aji z>BhMH^dGS4_*@;oqNCuASG$!J+bkcT-h3h+xIg}R92q9D^6e#5-Aci%W)j2(OOPI} z1H==BIkXiiI7W08f<8;2*1Xt|%BKwdbtUe{f`_DT&9D*SXAzi*_4QgoVAhO5YoX}F zsJcnH?V@>3VTCI9alxqBgvv?|KDVUOnCiG(>MP?H6XT0ValhnLH0ADMcn`TBqjB{> zL>s6{Gxpv6eu|Atgm^rClTv9JpD^(J9=UQ#RZjqTR@=f zJ3NdUGl+ed9x4X5W;jfewc3K@(Sq|wbx%*}$6av-76ZPuc|wK2mLILp6mpn1@kEH6 z>vg&Yz|Gy7`?SCA8m}A(5mPwCuGH-DEp*`TvcFoOXF2(hkd2f(w9VmA@EGWAALvua z8M(mu;NR{So~xTC7D9(Y6>jU&<=la&N1le*DJKz63VQ>`r?Kj2R1TZ&X@=leWkrSV z_MC!B1?K5xb#&)@IyZ`bI(z8Zx%#ZG2>yK?oc6uY@9kT8BzLPWsRx+Lhe}1wS)$%( zT_DZRvc;XG-?~k@4vfL2T8t&}MppFe)=F2AFXLy})^v8?eXTt(Qe6=(rs}cPXw|KQ zMM5?MNXbX*m()knk;InBP3HsOI->V_CqeEB>8zM{bsysYSnK5Lcw(XfovI9kkg)!} z;xF?AYEj235F`)FGD3ed_6M^aZ=>zj}vl>t#ZcowpNLA;Y(EtqkU7vy+$2<}%I`FscA$05{gwHej>cK^U&ct0&y*};g zwNK<$mCoV^r#tPm(g>i1{G|Uc4;{@Bb4lwy>zf@Ij{K8)n&G}|73+kQPdpA^ByoPa zI>0wsNyv-cXD_4YJ^^#5<;MPVs2GC!@}MMtwl_^IKJ-|(eK$->&VHbx>-CLic#9|g zEz4fxy63O`&9dS|!AsgdRKws z4SfuG0=x;X#x=Z}h{O@oiQ=xh?Np$k(Frm&=UUlqT=&>G-AkA2&-Y;}{}6I7M}G|8 zj$%P{PIL!6SFq7D25V&*PC_O=I_`(IdTip{cf)`g`1Me3ISM1?I82UhGvKbs){v2q zREct9$ocXwy)H>-Ef}9-b?2kgW=o$rkWBoLWrd6?RhxnkDH%xOKC(OBxnyfuKG}6U z?_K#@AJIbLmZi8GMPFe3rw-;46g$$@;ewSCVcfpci8JQ82x;krjg~79={FOdRR)4p zNPHLnPF}CDk9cj040TycWDs0+kDayh1FnLIU)%l#8WKs_N4JN)uOV^Km1;d%JUjClzt*yTW4-NNNn{6zV+h`}7W`q}4MVtX26;$|*Aut9A#+@v( z^-ai=GZ-9g#o-^rx1F@Or$M~3IX`Y$5Hi&bod2;ENWc)PbFz>k$svIJWPGF}1QgL) zxpYL@JCL8O>~xHalWHsf^qYA^ZR0W&h+sIz7M$HLp;|Z75|X!IQI7v3?U7O<6V@6& z&3N0n-xu?R^ul?Zm(>Fi!mzuvkT0#dFE$sgI`_uB@sD7S`LZ#~Uaia3eISOLFH#(W+VHE5Y<$_`W`La)K=AbD)wkOn1Im`KbZqLvgLByF`mhxPdiFP3{RFe3H0L$(*}gxkYu4mJsO$e6Ne ziq9PIw;qXs8KVS`QObHDzs@xLyu1o-T2xjlZp>7%=QYmn70#WV^X&fj?-A{56p}N9 zY_*pOb!FK7%KkH{8OD+396_vt9!pD4ztp}xN2kJ_yg=uH?ZAf<3K*%cD3-{kOn>}; z5G7o&*bG~=va^3|pG)<6K-GAUr&F(epIrKV&=Z)d0@W`Wz0=k?jI#wRYA5i|xW{i9 zF7u2LCg#myfUmQe!awM{aC;we^D@!$td-R)Znscxp?to32ajm^Unj&77;!VmPe!%w7#dzuO@NVAJC{#;6N{A3rW2PYnhCChrWWFg)nXKMTl#ColI=5%a@v8eu+ z$6{rrT28_Sv;8&`=pWnqJ!kLRcn+WsB&9?#2P~4+7~Ku-j0?q#Jwtc8_y&cUUS7`- z2Hk$?WU*lrmGzp@>LBS11nKTixz{n*6x`vn*CUg}q(9Xn$PLylfR=A#qO3S5E6G-Y zy;G)eed>41V;|ov4_7#ky?HmleBwf+X37NR4Foz?0}T@c6HlY%@!{urP&f8`NBmcL zdb;mBf)U582Bc{(;#sC3EQN6VYa#Q=yWJ=P(|O}M4FT%`A3CnThtp2@+4NAts6R_zOMqW@Xrc2*5y2U(6k61S$;dUW*_9qC|!X=6v7 zZIO@&XCYmX^&Mxav%GbT+)i1W|Bj13iz+`XfAuUBB(M7V*~#}P90w;Jj^9C^Z6R@9 z!_Sl+WLeE+%Ce4#AY0~zrkUpGz3ntGe#+_gYKabOQ-15D$7JqvrP>kQ{D5Sd;+96M z4J?(k>;6&Z`lEnJ{+W;!NcT~rVtM*@-7c+fD%RCXzAyOnEcJI3VikZaigV6a^oWo= zoo^0&X}L2fJL{R_F)6kGIr)qBSl&dVQI=%&Mom&>9A$`+&(yfl?}`-BEgZdK$qz4} z;|ojp)cWhh$h%DdoVlYM(sTHIR(yeY=QI8o`9v`P0lAFRqzoSfbQv_atv@XMOrhwK z-xobT5f6(bFi9RaZm-*_n(Ypp=x9#NO+m5^IosarC?$5#iS1nIr?*1x(*9da;Q_qr zzf3w;Y3J3RqVVOsHPPr#x&f9m=+{p$zW#X~bps&4sv+`XT;1N*y@R8Obix-v?or!q zb0%;3kbjZvi=9Kigev_u(VTN$i_JfAcTfT2Jw-|0b~=)M#f~|;zE~?`0UTj4$SNh$ z>0q$YD#aNMv&=TdY#pSh$yW~}R~^Lzom%J)J~qX_^KwOA*l?z;K!28w=Nmy-=LFVJ zht8;%y;t@b$ETtj33fSJCttdnexhk4x7Z}l?ll^j&K=QC|4y<#kff0h27ol4=4t!4 zY9|710M%7>u6guDR`OD+JaVD>@R}5fv1olir);fb@`4h#WJUp8f<(W7EyqjjD-J9gV)p=AM9yyr1$YVA4I3ghwzlA^?{rZs?wVOj^wY% zWjCdqweqHb@3ncj$ONYtfpduBj*va!4yV>Wp|#4nvGq-nr$kX0osDwfEbv(I!SKkEFJ(u0=Lv?m z3<%Hhtkfs8=kuobP_zSjfjWE7To0J)IJDtAr@$N)!3N|0^4$U%*OSbb?k0OOH+qZd zpLx=0)U=g?o_X>UyW#YzE#uHRwQ9L`Xi02?c%tu6nt|Wh0$XQX5@&|E!O1BS@w%_+# z)l3rnlTQWaybj26NC$fy31_FI^yF=dN=|t`kM_aa1ZEWXXkb zk1R$$!*8mXi|*`}cAMq%l!RW+&denEyU|Fi_At{vYsGFow~dQEyi3fwNbds~wp%C3g09*&vxwL*b$7A8-PN5x6I75O(FI!PQzl7*7V z-I{lrC&C1&t;8?QDvmmU4YW2oH&w=8nd7xyi4UoJmQUAu&13>dG940wl*d~Y4j{1( z+xtdRG;p%4JK2tNojexIIaj%YgQyptGq22z5474-`s6_<{8MVuoodME8O1q2@GX(v zSe`nz3^4ey>qFG=kKK%+@cEIvjKn8hqqG@*KPEgqUO5tv zuY~?q;BEbmNa;8c`-9AxYwm8v1IOqUe$I)uwm2(m4>a0X6MCu{vgVb}*7uuSYzB%8gr{t8jDS=IiD?x<1R zfgVGXYS?KRek!mcJ@lD$1c2|4DzOvhKBgLbdGJ>h+r>Vy+!O0?b5qr31gmREVPDI! z6$Q#~UNY|$yi#T5WfA$qb9O(~q*L}To8clrduC~%zJ4Eleo%+##`F{A2f#7~a`D9? zUgPXDk1jLwVaQr&WD9=XFcpU8n43)$TEhR5D~V~Hn#&qX!sNT{2U1o3cDgF(?}4aW zOJX1H=e25kMpJo}jw|%NOJ8%2^D*|MS^KdCt+sy^zT?!FUf1ki_(krOJF$($Er_|T z_{1JJP_Zl<-_Qva>b$G#S*m%Qw5Chc8Ph%tOIb8al4-LU8Qpbq?^G4Cdisd7!3Hg$ z=xL1I>d&am=?2;pJXlcLsmjE)O2@jm(ncB>m_PnhMRsF1%AL;`ZL%vVAl~}js8O!S zyuU#zx#_`({K@dbSE**dg-31e=KYopyUGo$l@Z9YrsbFb%?5rX11|Vf6*rTqE=`N4Tte z)cLAW(fW&9vwOC1_JVRw@zxo5KQozB=`h0QYrQz+y|7yAYaJ?XRR#OeD7Y&=$c;iq(qZ7rH9bX6!M=EzXmq?#SUxR`?z!F zSNp^!3=i%2^IIeGWdD&D_rN}~`-eFWMFxcFbOq>UwyHG3$mYq`MBf=?ZagfUC6)K4 zPQ5`u&nSvV${x{wB*c8bz&TtoU^15NfR}s^%G7u3*6JkTQRK0+CUrwn4vUQB7*HP_ z`WsT`K&AOz(J!fV1+8P-mt6-F;PQocGe+#lQIap-F1@O?wd>xM9s=xsbN1?i!jtr9ed-j{iQX*y4l_P4#zZw0%f=e-?X_t;+<)4|)@3QQG1I#5@ zYRL(!p3Mw7gWn=4`?!9SbFYO4v9ty)2?Le**EThpX*fjmgy2ws9X}c_8sgDCw|Uv~ zfVObTOFB$`9bLlE;pH z%^8qRHczlT6ONggtAnA|N6Kg9hJ%Ga>Nd`ILMCHPCR26xL;yJ}EWe|&vY6XCC9oE3 zPfOMJ!(N*5hf9l2G&Y`y@|}zmF~^lR6x)#+#QowkRq~eXY5; zs5rT`TC^idsp~b#-1i|*f_do&i?%s)mz1xZ{zdAD)Gm`2?6>a3GK~Hbi#KAXNXdU| zk;XxgS!(dN=7d>aSK-CCI;5TRIiqe1OQgBUDEe^Lfxpq;j@R$W`s-R5|8C0hRWWrj zw&U5XZcpO!jqvVikRhvRmQ%^k&jsd&2HP3(2y%-|KHVNnLo#79Rw-$28@C2b+>Mo; zmFF1wJ7Ff8C3*fOb}Rw)XB+5p9#}FEk;Am@5g{hI-SvYG<_M^#wECUi3+LH>K9bP^ z=BqcwvZwhCuh)00YiXNjziwqeP?72Rs+@H6tnhcpLQ9rD4eW)35Qnf$G%Ks`uJ@t6 zIBqCuV%VYmE!-8Ca?_9BB}8|E;xa)^B+B{sNt}siTDXp#nSZF1cAw_I6W|#OZ$Fr6 z+CMCY(-H0p5SZD@2W!WjYQzQ5~T!;(cM5{j^R=WH8!8XQ6k zOhzy05}*%ij^Sf}4m^d!(`VsMJ&sXJ9dmyHK<#O(q3U6T!@`uj`S##OdU4>iOj=1@ zx^s-1*ecCOjvU7Li_8%J6%sdR*MZn^b^TYRBjKamw4Lza2D;g9#Sa9?pA9g;tXoj4z)}+bhpzby1c6KV6Q>n|F&ogG7=MA>|T!>sYoK*E7E6;58CGm4k zIK-kv_dY`^&GW8z_o2@rY+pXg3*2w&e`T$qwFvSNg~Z;DjSyvs9k!UJxMeSo9c3~w z$y2~)3CXB3POJu_ZP_pGRw|xAA2A$ci_Krq*5r4wew>u=K~Nz$}x@bj(K&72SeL` z4IV?|t+@pdP|DHJ1A0=PZrBi~?MjkQ!zl=qJf5+u=_$%lC&)m|Yh_*rjf|o! zFy{r3PEFFZz*a^#g}sIc)mcRH@sQ=Tl;-(<%q6EJhHk9x1wbTIJoVa`KdJJ+=ZioV zgy+24gqLkmp7O{^;?PXp1Eum*i=N>h(ffqxK1VZ)j~xh<@ZT$P*K7$)To0ho{THbC zUvt4t|AS&P#p*agx13tIIJRDlE2nazPZ+`@_gRSa-GT8`r~7$REZoXarLvH!l}t30 zl#p=XYW@yan7Vvy(C^k4ol6iouz_TYO-eze1Po&&hNN zKS-bVT3A+jj&>D(IJmn|H5jIfnhI_qegBZH?=jmu0$1fcEh3nvjgLWhyrL+f*)xrw zvKND0v&27qF=S}mMqG`G$yk&kZp%uq03L4(Y$+hwPpXu!blt+a268;TaXeeOwoj?XnDa9xkZW2Z z{JADKD}RRVs8kTAA@yolI$K|5R`0|!#P1p|mH%dYG%S7|yMsMQxJFQLLl1t>&~%)m^tAVLY$!(v&RLLXDi5lJAce z9?mV)iE~IsWw}SoaoK%1^h%5fus@9+>KuusJ)mS?c}1}^q2&Ln{8=mM(OREtqKmS~ zAg)ax&OvwU*cIJ_7Ugy>T%TSasZe=uy$eJQXRB!VPdNOZ5tz^Ed0QNWb{Izb~E$EIoTG^uXsAiEuxbw=pT%16&x^=h=v1!+2;p3#Ov=7-Hibxe|`l zPX%M5S`4QNQO3*{R7XU~XBpL0{^CM2nFHi_WstxhmM<2nx^53LZ{9YSnW^ve|B#ct z6PN&;PezlDS5Vp(upmwqz@wRu6*=y4g`!y>0UJ3hQq*uNX2hM#B1_JSypVp(R%?kJ zdPZOL<_&Q=Z;~P(G!64jEBGUtQrrHOuc+_Cwh#PtlHK8(JE|TlHR9a^p-mL#joH6X z=62Bf7g}#mnVQPOW+3e#rH9Og?1>lj)2pRCg%j>99(T@YU)pO>KrD5_fiF%eTEF`g z4k+ihL|F@nD#Z8>b#fu>p#*X?>xRitbs;+P0iyaKAm;qzktn%Rqf!{4sR_Re4OTc7 zrzr_k`h{h1r*AZ!`S5P0kNQPvO7#5C)eX{5`S;2eV)9Q_wU)E@XY9N)B2ta-VMbU+ z232zYDb_f^q9t$PIqY22;pWW+a7aJG<^>sP0Lt)JQVc@-jCESX&$jL(U80ohXTcKk z3G1HpFpyreXxPBmT3xW*eL+hb1&zhpe&*bLb3UivfRsT8YrWUbj(Y7J!c}7Ddtsd& z&X?v23%DxXi-zoMsb^&rGKqY3iS@_|De9Wj$Qk6u#YuLOs|V{rh)YN~mTW$)THLg? z+!(!o-~SHp0bG1uJY3kqrIC(;^W`@QfG8iAF6^{_1*xvUTAr{=b{DRYadTU_sUrVr zB1A2jn;O5Yy?z1%_=iK$c!m50?P1c5Ce}S>#sJzu{>+P@=67+J=g@s#kM!vvdQ9Nb z)8!PKZYLka(HS(ZfG~f;kn;_b{L9}h`XoL5_%ckw@GuGU21jA;(?%ByFZzBQVp0Bv zi>;Wut%~%??dUQgi~S(O#fg)86&;touO0ZHT(uqa_;Dj3^4>ubxa`S&JjJm5n|*cI zR8h#&(<0Hd>>}$s9jAR4_*6FO8F_9cp6`XEGi`@gV?8(1^fo3qL(%fym^MMlTG5R_ zr8jOua^15<|D3Xm^c_prf9eZNyl%ZS-p=&Y_ldchv~J+Y7G|q)MvYEOfp(VsRjXT~ zps4;TM>Ta6`2wL)ejPLSqCBi*<(*qS#mnX2Jx#=$Dth(OHGRMDtSyJp^7RDya->)y zjRI40usd^dq79k{ubDBtZ1|?)ak_=O!x=AE#As4HXb!Ru;@Q1EKD8P+1Y)T@w4TFj zy~;B%8%;j2qRhg!&>`)P+*!w0iq;uti0yw`6fRXyR)RQAydU>rK4m}sl}Sp-ihKWS zX6gOgC?f{V<41#mUg5!3nVh}ojCm#3^VdgFyN)uNfEAr=5*DpV5H)v@=Eke;{$$w$ z_P#m3B1hXUo_9QaDz$YE<~cVecG{-nss*it=+Qn(bPeuUxx{?DDw%T#Ib%yB&HH22 zAIm28_s5l^z)HW!!Oolt7NJ5 z;e5Pm^HTgA^GdBK!zXzpJMB$vjB)M!=|=P23x*&xqWDN2?TnSD3z%olvTiM`>2sDA z5NoG!h~*A>PW5eMWu_o$^oT5h+|0rtyRaLWxqhK9OLS(LX0(v&AB{Y^O`_FqR!->PHv)FX^Lgkz0J|DERIsv)r6ktf&MxYs^xxp*6ivs#hRe^ZvQkvHy?#HqRb4?~)_d37K0n zLz=jh4PXxD#2=e6fz7NixDRMGl}V!yMfny~v-&)wVla|HX$69Ct9Thi_c^Qk1cg1RkqzEa*MWS$?VS#gewyfcehDbC}y^t2p=Z{!Fi4L}ReXj5ALY^CBIu zf9{+W(r0&xq*OITz5P(cDT79Nm~@|&9IO4GiCuHiutN?ghI4sQvkWg|8V~R0`-Xnq zJa?s>R1BrMYH+8PL{+Dza3-l+)Z0>Po~Z8MM!J%WX3D(V4wbo9T6&x3*UM2T>yr7)y)xU49A$83I~yJF z1^gp(i}eVgA7=nHe*nB)^KOiLfUY&OFCLn3L4Fo{jM4C03Cp4RbFVoX`E<6LjY`Ec ztTdgoP=DA(+{6xbR(izb%4P)R#$A1l`$>+HI=M4>(_?HbY|%8{Pp9{gCx<>BuDiB= zNNi?oowj=1V=I?)bj~TwpUTj8iEy%B6#JBu>f_(xcBtS9mFRgQ3?u~eNiK1I-o?3G zMsBn`IJAm8yxj5qd89^UPQs;p@74E+1WeCzc+N=4m6oE z+%~>$b#f!}8G(2MDX}CfiqIF*AVKyiILo_1dnd^0hMk_n&X)?^gT7vMstf&TquXEA zGqp(&$1~P-26Im}JFP3KlZLP;o7TF+ith$Aen7`BB6U!odgHNT!H0C-``Ew(sB~|_Jzdif-HcH(480qI z)lTuqqsbguHu&F(zC%f5kbF*G(|if^OWE48?LYuqfEz3Nnzf7K=rQ>)W_E!0tDl!c z;%&)f?(WO3(u94Ih?4%?J;pvJ zP*h>LwrI-QTDz)Yul>D8iN3`S=Wp^!w|Bwtv-5{$`PL1@1lC!BE%j znx-HOUUc3)kNy>)5hWU5FV%HlYCnm}Yn(z>T9IdhX#;&?)vP=hpqu|PC=Qt*D1pZm z!sBoMt26`%6qr|3)`u&hF#@7vs}S)e_4O^x9QJq%Njx*%E-*rAk|~+(mD*xx{E;9M z?XvUFFvQ4zFuTx5<73O>?IxpHe|@$kE{-3QmydG>hxog8|7+cCQp9d4A`Nc2>y64F zA}Iy1UsBn(TnFQ4A(#rkhLAMO!x_9t@g6j(3XiDaifHno$m?n{D;BL~yDxrgr4H+g z(dXe)A=%V%)v~GH60LgR_15Q{Z9AN+lsLA0DG&G8pOo+nn<~yC7rVDppKlofPQ(8! z_vdMp8=Vhu_rcT8r=fY7j?v@(w@MB(!`q&wXK41gd(KX7f9PQ={*6sqk%)7QO}eZb zqLt#6@`_`Pl%P-IdRSnG|Bw9yrDLgEWPOG)Vx670vQvGI8Ypqxqkok@EWB-pf!mYP zIl0GnBJTpP(_@-zm4GXia$Q;BlKtW76uU}G1tWy~v6@NFIn|-df|CH9nMi z%y3G)8yL9IH;{-IUT0VgW_0>#Ue8VYuV|Z}s5c^BKp6sh2eld*7%A>-27f&@wS1WN zH<8ABt}c4w-0y4kDN;j#Ztd?M?8awC~w zUUUxD#`;ZR?s3o~Wq|9>#bdtVe`bMKeTvr16ZiT{I$0VOuH26xc@tQNHm3eNO@B|4 z5uKL8Q0IP)@H=*+CyVt~4%ex8Co^Ru%?a;Tplr{>3T?G$=fP>isHi6!r?MUymbkyS z{2##$HQIh)&F=&~sJhn`J$hZ%x#$m+g6L`_3rm~=O8c4^w=L?oieW<#-{ixo%41&@ z28QeDaqW_=wFuogrKAii#~^^04f}OK^5IUFfM7%beA+JBEd<$*k&ieFVnKl|wIK@@ z90rvZtYE1Mhhk>*!p9e&1&}ONfR?CxxVYchj~1F&2!^|IpEApOc7JJT>3;=slu!A; zL*Z&4py+*=O?BBZ|Gbe^yWHoMBJ^OP_@`KGZ#tAs4BFa8^`LR&Qy=fck;{eB*VWT@ z8ddCLaeC2wl$S3xFseDcoaI!YOwG&qzD&g!i*I@Ny*srgoNo_nRVLnP?WivSoo%7Y zv=K}kHz(ft6>-$r1IPVOg9AYjevNhW(Ec)y;eu9~->otr2#5!=wYBJBA@EH(y5jrl zy;FIBk5vJ7bkNzu{)hIoO7P_V_~~YZVcfhx$-L}mrrgJf_Nhl~5@g4ZRty9o2X*SI zcc-0BJ>5CEDkuzXqh?O~4&8=m;~Xyk^gTYg?+PA|C{1z%pYEzm!_NHaZe=^UW1dc% z9@BL(B7QTqo4_-~cQ9w@bO%t@k4N?N@Zr0D>1~O2$k|UGr#8hl(G`7+EJUz6ay9_{ zX&`z0@#UW~scbrWW`{iSI1e$4UwvUi&uuCP&^Ve69M3j0duQoYDT=AfOat=Bqhc@{ zcg}uurBm(}cP8#;`0GR@B}}xX&sk$)xQr5?F~NU>OfP&P>Vn*(=xgwQr!8%L=^9KF z3OuH`$k@_D-=6U7iD;sW)2X_V-EWLT?BX{{*ncy(v+#ZR#ElDjN1p{-d8!S4%do76 z5_g#IRZ?a62rjQAX5;imqW>0k zixBtkD<=0hC4#nMElqHZA#K;_SIG#H$iB+zt(epCZ#VpTRw6)+lIRwT(Thl^=#I^U za!X?MH(xhFOtRu)+a^*c*;KPCc6*>Qd2`)2BM@a4C3{iaSD}9OfJuf7qqi+2oo58L zWTYRH=_jfNm3*h#qSa?)Y z+4CgJ7I5B`eI^+ud*jHR4O0tgeERVLwHzHN2unWOQ_1CX6M^vo_V@TR@22;+QdP=- zS3uO(2B?9u>9WD9+L0nGFm$i~p3MVZT+YI+Tz@Hlr!VqEC;K@!XZK**oxzBXAu?ZL znt$`aza)0R^9@B$Q?ZtYY*Iz;>-nMGg<_$L9E_BI8Ss+Bp_TExY^MdoYc_plNn1Ok z0wLS+mm0n-on6AS2I8}tF{K#a@C~TF;`{{+bHO<*^-J1T&SXH;YON(1l2zc*9#kc8vK@REjwKu5+Dv;)5f=VOSmlBr7J0FJw}_L{{K&V#c0 zxJDqZy3GVsImZK?IZtYoHOz4R1fV#v4v*4`6M7=?& zZm{Wxub!BS^UY^n2ZYRB&R4VFv1GA=jE=^ybJG?m`Te*&7yapFiO5mBXrJDUe5V@( zINxxfof(#IKHJdy7}C4O;c!5gk!L*b+4hQq^SzSi+70Y1<075X#@un|;Djev?H1=h z81#3nZf07xW*;n?W}F~XReN^dKsM*h$S zQcf9ubyaiYRB7hb$wT7NXq@QpPmE29V}DzcyF=Fk>#ZTObjufNvdu?J7ls$3A*e^B zmmn{6>&A{kKt)S1JTkz-9?DrC52CB*w=}mxnLgKbN!bb4qLs{d50QF!nf)3t;PqIX zv#Y2#xQvM_%u{?X^zzA3g&+VQQs?rj4T0Q#oG4xZarYP9H`+U1YS)Q2AKnG(0s zw<4fCZd2!A-f0VF$ekVg#FxNaXF$IV-f={Dns`6<#8({&LpJBwIoX>DLsc=-2Jq2!@8W3&D%Gi1 zouv5ek&XgF@P&nU{FZV3f=pQowyfuUza(NYlnsTcjz#fyHpsuala@uvvbJ@DoKbEP z0KCJ+iHq&I?Av~QE=7oZOxRyy(&Fvh*ZHC< z^(kI{K9cG7&^rDf#igr<`s|7CTJZ6#PHyDR5MUHO=q44Fh-K_ap^v z2l{_Yn7B^N&AeUL4?pTQo9;<=GaY-KPI{0Fec_`G(LWo$$yk!7{bCNPZhq!i{#vfs zCB@GDS?Td7vkkK|_9B~tGH$KXbDdI~g1C;KvC zAo+t>!d;{OKOJ|WZi3iKiJu_n=cqv_zdNG6E$|x|irgAx!||;}%l{)3`j=l)@@Gff z`KK@O3dr{F*Zpw}d=UXRECp|JOt|0F;xmnZiIEE}c`%3HjvyuJh9d2mC@LAqXwq(h zoOK-!<1WiabFWflw=bob3`h!rIK7dvrcaYBpx;`JQopYR(S zm{M)yrWnz8UP#e{W3dv1C52eqpK?>3DaX=CyKR6J6ZIr}gE{pKQ+CK{YDl09>kf}S zqK0uhkTaaXakenb3nQurh2QAkrMd0~7kTPD2&$1xLuYN!VZG;LVHr_g@^yp|nX-hs z6>M^N>z|G){{F2`x#5ofiwn|e4W{xjU~hmCHpBA6X1u*(Oup%*JN6T@NU329x}1#-a}$IKxIaF z9s4tj@v}>x4O`Hn%O5#X9q5bV${6*_$RjWCbSJaF1Z)aqVjZXjPaiO1eBRWn5X?!z zchQYAf|%$?Q+t~~DZf4E{rcNdz|Yq~M;F-CwW}&$o0g{~T2)&D?dJ*u!2&6=|Mvc_ zq<=YwxbIz)2ZM+&?y0$W%d zLW9|zOCo^P%HIhQX&JK%@99Z49mEbh)vE4KI6^qSmX>xe8#HlfpA>xM-zav*(l#3_%$g=~;P;zxz-xzTZ|SNE%3SA0?iT^=fq>IU zv)%}>JZt2!@-V&IolkqOCn;kY%Xh-5-*qw32egDVTt%DZZAHmE1*+lnXWJ(Eo|uW9Mf(Tjm*DdO2icw=tDd%CEB~5x z-aX52UpSxD&%W19k{-BWH1o5RO#655qZJw>=^IAUqi`c%aII6H9o&Y%t)R8pSqt;k zd~N`Ya|*2$uIrY!;x83%%Qg7tqoFp#>f$acSzc*jWna7Ad0k&ZzZcq>#QAOF{QBR} z49;ajMNEe|#A;JrijzwAF7` zK!GB=c$<{?+7waNLH*`1?cem#+(n8XL#-F%zi9uQ{oTY8spoDVOA2paS+A!IJW%`$ z>SPz)DsDO-{JZgkWWUn@#9-5UE`F`kPl7|Uo(?i5o0Y;|ZC!T`+~eQ3qj5TA89ZU> zqD5WRoYVp1o6E<1v-)*3oeB%mi^5fOpHK;(JuAg&FOW}V&(iqSJ13u}8Eg&?XPOEB zx;THa2cTJp>K~KwN;498GAoF}4sQ(7|0*$UV|H&n&F@i4tO2VY(C5A6v6E3;d+NgWZydATfhz*=yM?{YMM~1BOjPTqa|9@|_ zR?8-+fMw8A#2Lp&M-Nea;@ZV~aqQ^}*X2oB_pvT+UyHUV)RdP(D2dj%U^^)O!{NIv z8JaC_$%B}@k?BFMd)6al{phvEZD2A^Xv?u`KdxE=5xiaV5Tfsw{YbhLjdHN>KGnw? zFUx!<-t)qU8~t0G_bLt!t@5~;@M1cPH8t;J2f z=gZJYt?v5lbvGk<=R9NMW1bX4m=D)_;@xS+3Nzu_6}e)Y0uINvyMAxmC$BwVg+@=A z^>NFK8J*Fi7c*MzFS3Fity4aT7`8J!61ZQ{@7I#`$xtjv{6?|Epf_`MV{FZ%cAY~90(exre^5tBZXHAzd!b%vWpvp%MCHRLEtlLAZ?Z;z2%gM|^K zw`SWkx$$pY_G(_9w#Hn-RoQD)v5PY|(A9ZbOVHKui3@+*)729V|8qrLuTUi*(J3Knl4L6ZY22^MR zUBc?{1S7x1qN}V~RzTbMCO9zYiB0D3;``=EVLwDz;i0Remhsy9@YcyCqn$#>VV}v6 zaelks3N2VPZvzYT`ELt_qI@{hTDGP_tOPZ8us$Cz9qVCjSJR`*7WNy5%}4a| ziro{}EtV1ExTnDK^szkhS*n{+km@VyKjkrtrOC}x;^>d8_}jP^X>5xNF6&2gqBvTe z6|aa}P{J;F;9B?t@xuZ5xd5Ux9?gumlEX8b`%ib4P7KevrgXUM`ZzQdbsp$b%-7{F zb0{~g-9-OOadGGZ?TC;ghpWCFeHxigyff>nef;%LlCW{jN4cH6IKMHSjG8f?otg{D zIp{U}Ce9D3gL2E?sl9%wi}Oy+faIJbe`&ha=wI?*J2k)J;E;vM0bhfVTKCP>#vvpi7Kzf}ynoJjm)Q@qv&?p1%o z_mux*@2FtCWyc}2{klwxV#}AL18NxV95%kmK%3wjAfuxyT-=k%O=T2`Ej_$By}v5b zGP?6Fr))7myh>d9p{7Znm9}*}Qvf%ALLL>VWr0HnwX;))?PkN!)>X7DWXC_< zLUqeyMxFNH3WK`Z=IF3^$>3l)z><&aK%JBuRb<0Bo59{caShjAJpt)A&ssFhskQp; zJ0;t?vS2Znb{3Ot&Oal+Im<6v*Xa+iusED)!S_BsA77OXJ2=TxQ&og>2#0*(N4yIW zExXOzqrhr#pkYH5@oBU!@P7nJ6}IZIz_2iTP!-$=kCnd*naaTc#lrck z(ZwD*Fx#!9NO=T3i$=VD7Bzea!jcC%t;F_gr09*fkE$J{Q>!D@r7fF6;l=`ZC@Py(0@Ajnmhab~`HjB!XVx=C_UW%)<3Qt-cz!<5Ah; zFZC0+x^6YQ)&1rGhGI!uMHTD7^0=vPeT|IcQRFr(*ZXQs5fYVgQ#ZgereBY0bQJv1 zJ@x7Fs}YTki%VX~1+V@&uU#vzUY*xbF^sf1?r4k@a!#XO(k#wtl1rL?okn_J9Utp7 z(o{b@9^dOeqhp+H_$V7!i{B}m$3d6sHrI6v&xAu|4+ZT}m!nU=Mz#Fh`ufLFA4RKD zH}1pw9~i2l7wP3t+KjFJI~Yghko$lAUY!_cy&e^1o8x5%&fh;Ud5q1gaz}hS=`K+udY2ws6ZqdXgbzHxR+tqQsCT>>8E$g^+Rpz5Bbb2uH~fCn{1TLw=UyvV^6 zOt|tI&hL|2ZHWE{2mjkBAxo%of93Is$=!|oVjct;upIx1)t&sFXH|Dbf=7?Z6E%g9 zNBq99MNuc@Jje{{H3gT~ANgus=hGwPw96W;@_1r=7iWAi=gaF^h@15+!cjMNue3ft ztgq7Y{&n3RDIT%?8gHejZSdU4Yd2EXZVJn5eKKPAQ_py-<^AnCZ_IZ`y-VJUlK0|_ z_hQs9>{R2Ol=r{uyc6WTyyV?4dH2tF_eZ>K*yOz{?~m7c?~pgUQ+vj{SMu(i@$QY- zz1uV1GkyoA)(^;OXGps^r(HJgxqSIp_d#Qce%bKtydFx~-SP)^r=?M^DtW!yzto@L z+L6KrB`rbPT^p@BV)ua?v?|ih+GrP}-I>oC3#4UthA(K75xYO!piPigZKLgvdWEe@ z+CI`IZM3@)yKmf}-SN4#(Dp{X^SSl-+*)X7qu%-4W_)h5OWNgVc0RWypW6kk>ZhaG z`P@=aM@btks&+m%g0#CfT6HwLTsH)^(Jn^hT;B!KE^D+{E%91$Wo#u<#4F~{dQn?q z0>AR5(XzI;bXJdA5^Q~Tf>d*?!Cwv$9g&NByQu<@WLIZ98RsL}lwMBT~d@J`Ur~+w}JB4GpSb z0yf$;$3_=p`}MI=V{GqCE}oGicE=4&%=lbKE^7@m(rA0Y`OV7tq2azAPhc2^G!l25 zYIZ^F=_nx<*JN2=lau}pSS4>n`8am3>1-Tg579_6_zR#yKUQBT)}y)|DUwf0IBCGu z9G>U=3d{LZejmOG!_aa8Q~mx0Y{B0jHDL+AGv9>u{n-T!SLFiM#8^Dv@HweH6aL<-dRFmyS9@OYcVN|Xg3q(sbDzHttDbj0 zBx&ur$KQ=r&og{hYtKvmo{T-S_Yu>>w^8k0LQxm#C$`si`FnS9cXW+462vkDn=Ft` z+J$wvkteq1d4Wtr6E^l*)?zPA%$RbVXKUeq;-tidUJ}=E$$!GQ#O-6U0cwWwW^Jol z9MJv7+(5Y2Wcxq0&z|Oc4>Z)u=P-G2#W-2>!Urb_O_u^>dzsprxrYp>?VAqfm+Vf5 z4YG#x+QS&a(1j}x%m;jCckZrS*qEkG*>~6D%yB|S=Zs_L9%7UFlMwC*rYU4PM5hWo$9xd_pzTfSJ#d^Ex}GUd0`I{!1ztA2$%7fV)H8)_Jcz5gx~?bt+S;z$ z!LbuLoK&_*e7ls7^9b$0@dW=sMlZu{!f=z&y$)jBhh{$Z+MUFj9GHT)iLOECdv1>a z?J+mQeGO+)=eoo>rg<%JPN?lePw0d4_G~gJJNHM;_BMP!#3qB9)_V`}p zaYA-|?G8f9_C=<7@wLk?7T=j{zq($?et#mM>oI?hJ$60onm;pssEy2Y$sl$^_KjxT zS8)oN>*9P|zSf#OHre-@`I?#9*p_2gV`IjknVB8){ZsqQ;DNJ=Ju|m`diG4%9kBp5 z;{Y;^tyP%fHq0Q%#=yQ8jb)Gq<4u4&B(U>+?|hzvJIB}po|bJG&lXsNPQ;MU7`52G z2fN%WnLoz;8*X@Ps6La-9&1^+flaP+rfuv@;Mkom7Q#J#r|m91d**l-&CBlfbGhuj zG5an!`@VNRXDy2#IE@|p^iIP$L-xRFMEqm(2hA3H{Op;`wK``)!J=lTkqe0Qyt zdSochmG89c%7$!Ah}wjo`T4->2^+%CbsmoMP#^EmhukkXuF%cR*tlG;fcpZjooQpe z&H1u*+1RcLl;zZHZt=a(;tJwo|K6Mw`v4wHkH{oHuW-%B{LCaotf}^3X1H^zGcVK3 zhX8k(kj?x?e!z9}!u}ff4YO?vtWKA-&?PN&ix#>?3!U0Rr?$|M7CO>Gm$uNQEp+|D z25AedG2a%tq=jzLLbqt4Q(NfN7CO>GM_TC87P_=fhjAfe7nKA}?V0-!V9r;>1T7q6 z&R2}pkPNboR`_Hdue97B?LgzX4UW(A5{#uRM?=RNv#~K~_Y>3SxO=V4(Hxdn*lwn> z`}u{;78s|)y`Z|NLY?jmMq=NrVzC{Y{+aGsL-%4Yf&H1sEtcPjJPL_FKNLA=9$y;I zc$Q|EC}2WS#D<3~gb+_s5f{u_&RWEGmVcmauSAZq2F!>y?k1qXY>}Ql*YUv_K4{?a zY?H$)dbh?HAL4-&Y&IZof~#E55GNai$sOb|D8vIMz$rk&^E^Clf;&u6!v#MVL*&H* z_o6;ly%?)D$CAca!p3^A@ni7zKBgIL{%6mmpv8j8W_PM{pUL%v!1gE9;W;Ch2cX&$ z9}_`5yEkbr(^kP}-+y7eWNAm}C`?5MmGawtTZ^oag}IcdVT3;HtP*(%w{ubVkYc^jm-fNl;kioM0%Q5%gq_7= zP0yY=p8ZA&4cS?r#&R|R_8pLXt@h|de(5uN+}A!Hp*6qa^f*@xjhF@dc@7E_86gg4 z%()Iecn?|`<5JJ|A2LnL<5`KzDqL3J*!ov>UilgK1g5!_?Yxa!+AYS4z8u5Wi=F=k zZjJk__?(K*<~7JZuAa%(6j$#W{Ll$7n`X}w6Ff%?uQJR;{Y_3IU?%vy#`a*Mv3v?` z7T690nq{w!)#7V3hBuq=yZ~``cOF*f7*p^w(%f&Zf{cCnVqfTKP5|}CoWz_^JU)WV zbmPg8vfqI74s>gE-wi8ezh`B6^obr<-PC$wzY&JXup!>-(n&WZjK=UN!1R{i7f5M$ zG9;Xj@dA$$yGzoQXxg3R-@`r_uzmdsN2+}5CaEcSK0QreKzTiW!|`U9sGRoJfcIN{ zHq|4Lx`&E~Wq@%|V5=Vx9?>+Nq=L__HH{93Z?HXBN1)QGOVUXLxB3Rg3M8g`Ds+!- zrxqN(y}c@1fR!P2SCuT?fInbm9gbV;P>d_*%zDQLthk)Ckn=>@`}%kOUYFY<<+fmb zOx`1Jfh#cGvU@D0PR!VCU~qaBXY?V+Ksl$Q6nV0t{fkqV|e$*RtRZ`q6@N&FW;1B(D)X(N~0R7cCPW4-QkQ)4s@QN&- z)Y_U5!SfY;=qa*5O7gWiJ!j0 zYJ&e)wy>;M>li&zSRlXg?a(S^AtKkMo6xjBuIBxof?>VE_W1gWQ{?-9JYUR9c^CrT zwqU)&>+#5N3w73pV{vC}kzQlXwuXf|eKY9vnc0jq_MG)>puUa0&gSq>MS{cO86rK8 z5sfj@Kc#cOmT)gBukgs@ma10pld)p^t`-j)?=nCs{kb-u14bZAi6r&099#2gc89Bb z+ms(EM;>D%iR;kVSIFq~5h7}$PYjn8AL|i!Q^CK!eoACKD{zwP@sX`jglh!Kvu+)x zlAh+Vm`u`|-jSj|!$F!_i7y|VJI_g5$gtW>|{!(_ol1(mT zrzl&o-ueD$!;=c1{z`W-{ujDi^j&G}qq^=^0`Fag=}ua8f5&xivl~XtsLiJA&N;iQ z+2SY4U-^mB;wSoHE7pb7;}L_RdYpfnlE&U*WBzGL=k`zzkFb5x@yyhti@gQP;{i|Y zi#^2ORkzOtqyIry*gYQH$Y;3n{tcepm$It+J)j%YhCi2gbe_=m-!9IM;!e&Rmofy3X5)h?MY>?sq(mb z1?$}Qm<|1NtG~TT=lK$kYX=G5wr~72eO0CnKWF!(Fy7;z5@8-d`ZsFLM=j-7IMn@k zcs)&x=$)M{W=cq7&L=}sa11}U3_Q1LC~J^isgpz`naSdAyI9&M-A3jaCM_s244;JKbIO}EPFfQRr?eNQodRy4)M z(}7~HTOY&D1PQayd>@p#=85S=rKmy6&K%4=r_pVD&SK!%8euL;U#910l7VS;bTEuR z&i6Xc{Ef;tVsUC_{Q*CASAXkA*eALOIiD ztH09~&oLU~3~M_z^xNa~d25{cse!^!mhsHsByH-qUA@1RveKq3@pUwZjT0wuuqb{#R3OWW;yO1ju9p)N3bq)hv@jeMZ^JXrwbhOA?p^t zwbcNaNOPH(HodVt#cbx0((Mbo!)_G5XV&t4<;B44T(^q&U^aOkr-pT28?o=>oeuEz z)>t)aAC!Ai4hM_JKqB@XMr7+-na7LuX7R;>=j}Jl9iNL>jEl~3CroC_E7?9<4d1}x>{WW#qvvU2b+--Pmj3!Kt)l7Fik_qTdWtcx z|9WZVbv&sr-B-@5w9&ius-L8Y(3jWxzA1G(CDy;Vofa4HQyUz?|KfXzH1);!bfU?r z%#VcZTL~vp)Dwkl{@qsg`$FP-r3*TnrL)~E9(HK@>C=Fa!9XR0e`x9;qidUgUzGiJ zVMevJX47oAwmY9<`iZ22oL2qEfZhB3Uz?_Mkl#mUzi~)qn}5!V-)HG9`s_z-`h@-H z&p%Z5LWOiZ(!AzXYMr2M}0-2RQ-`~Ho6*6iOJ-zo7j6N=xR85RE)^0HDF z@q2wtM&>nt(_s;QAB@tE`I`JTcYZ6@Wc*uppFJ%cDcBjupS?6nHO z&(|>jp3TKNnwooQr{xNMo8ey%|IUB2nA_H6^Ubbdv?5n0ssI2|NjF3V7j6|O=bcBhNN%V diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/_mh_info b/gbe/cores/sgmii/PCSD/syn_results/synwork/_mh_info deleted file mode 100644 index 206dc98..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synwork/_mh_info +++ /dev/null @@ -1,2 +0,0 @@ -|/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/layer1.info| -|2| diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/_verilog_hintfile b/gbe/cores/sgmii/PCSD/syn_results/synwork/_verilog_hintfile deleted file mode 100644 index fe902b6..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synwork/_verilog_hintfile +++ /dev/null @@ -1,54 +0,0 @@ -%%% protect protected_file -#OPTIONS:"|-bldtbl|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_vhdl":1542167766 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/location.map":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/snps_haps_pkg.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std1164.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/numeric.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/umr_capim.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/arith.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/unsigned.vhd":1542167610 -#CUR:"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":1556618983 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":1542167599 -@E8lFkRDCu7B1 -LDHs$NsRsIF k -F00bkRFE8kR0b4k -F00bkRFE8kR0M4M -HbRk0EM8Hb -R4HkMb08REHRMM4M -HbRk0sCGsV ODRF4 -kk0b0GR0_DbO -R4HkMb0GR0HD_O -R4HkMb0GR08NN0RHU -M0bkR_0G -R4HkMb0lRGH40R -bHMk00RGH_8#Ob_FCssO40R -0FkbRk0sNG80UNR -0FkbRk0s G_RF4 -kk0b0GRs_#8Hbs_Cs -R4Fbk0ks0RGP_O_sCsRH4 -M0bkRo#HM_ND8CC0OO0_RF4 -kk0b0GRs_#DF_IDF_4#R -0FkbRk0D_#l#00Nk##_RF4 -kk0b00ROOs_kk#M_RF4 -kk0b00ROOs_Fk#M_RF4 -kk0b0GRs_sO8_DDF_4#R -0FkbRk0O_0OH_M## -R4Fbk0kO0R08O_C#D_RH4 -M0bkR_0GbkIsbR_O4M -HbRk0sbG_Ibsk_4OR -bHMk#0RCCs8#8_bL -R4HkMb0DRbDC_sV ODH -R4HkMb0#RsDH_8#DNLC -R4HkMb0#RsD#_s0 -R4HkMb0CR#s#8C_0s#_N8kDR_O4M -HbRk0s_#08DkN_4OR -bHMk00RGC_#s#8C_0s#_4OR -bHMk00RGO_b##_s0R_O4M -HbRk0b_DDDRFD4M -HbRk0s#G_CCs8##_s0R_O4M -HbRk0sbG_Os#_#O0_RC4 -MF8l8CkD - -@ diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.fdep b/gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.fdep deleted file mode 100644 index b533443..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.fdep +++ /dev/null @@ -1,32 +0,0 @@ -#defaultlanguage:vhdl -#OPTIONS:"|-mixedhdl|-top|PCSD|-layerid|0|-orig_srs|/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/PCSD_comp.srs|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_vhdl":1542167766 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/location.map":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/snps_haps_pkg.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std1164.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/numeric.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/umr_capim.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/arith.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/unsigned.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/hyperents.vhd":1542167610 -#CUR:"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":1556618983 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":1542167599 -0 "/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd" vhdl - -# Dependency Lists (Uses list) -0 -1 - -# Dependency Lists (Users Of) -0 -1 - -# Design Unit to File Association -arch work pcsd v1 0 -module work pcsd 0 - -# Unbound Instances to File Association -inst work pcsd pcsdrsl_core 0 -inst work pcsd dcua 0 - - -# Configuration files used diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.fdeporig b/gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.fdeporig deleted file mode 100644 index 41b0e30..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.fdeporig +++ /dev/null @@ -1,28 +0,0 @@ -#defaultlanguage:vhdl -#OPTIONS:"|-mixedhdl|-top|PCSD|-layerid|0|-orig_srs|/home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/PCSD_comp.srs|-prodtype|synplify_pro|-dspmac|-fixsmult|-infer_seqShift|-nram|-sdff_counter|-divnmod|-nostructver|-encrypt|-pro|-lite|-ui|-fid2|-ram|-sharing|on|-ll|2000|-autosm|-ignore_undefined_lib|-lib|work" -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/linux_a_64/c_vhdl":1542167766 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/location.map":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/snps_haps_pkg.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std1164.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/numeric.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/umr_capim.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/arith.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/unsigned.vhd":1542167610 -#CUR:"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/hyperents.vhd":1542167610 -#CUR:"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd":1556618983 -0 "/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd" vhdl - -# Dependency Lists (Uses list) -0 -1 - -# Dependency Lists (Users Of) -0 -1 - -# Design Unit to File Association -arch work pcsd v1 0 -module work pcsd 0 - -# Unbound Instances to File Association -inst work pcsd pcsdrsl_core 0 -inst work pcsd dcua 0 diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.srs b/gbe/cores/sgmii/PCSD/syn_results/synwork/layer0.srs deleted file mode 100644 index 6422805218b7e6bf28f6a7cf669f759013ee5c8e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 47561 zcmbq+cRbeb_kS7TCM#sG5M|3O<0fU^cE}#t*)p=XtVl#MDyz&&Ml!PZZA7xyEtxmj z>-V~mRCo3Me1H8_kMum}I@j|$=UnHU>lIBX=864ZsLE<;YRt?{9MbaaJ{?&saPxS< zEN$Fe#9!|1joB-XpzQxH`=Pu|ml%HF!VdAXRTO2ae)DL)60m@`|B1qYmxZel<0ls| zwmNz`cU?@*WTIg*$PhIaIGZNLyPEch_~l3tD2iXMYJEN@wS$(dTj7SS^^7(A%Lwk1 z^UqSp16O?<@Hu*aCl}S% z#%)mxYp!YDH7Uq2^>Ze@hP$e9`rY6)|Fb<+lISb$Dmnb2jJBpd=S?@(cB|(euPs>f zWn?LS^R~Zlbiub^x_T9+cHtUV>bdwAs-*MQ<4+VO^@(D|g$PRrl$iZ2je#Dlx#5$t zlFwUWmsKe_{cN8*H=6O0c9p#-b|mnFmq}l~P06PuJ1hOn>Y4>lhI~m%*Mrw{^)s#0 z;N*dx=t;~g3TX_fE<;VBnmW9AFC}{_-+nL>jE=wyH*?9coY&atl7Fw=GV^hA(qiS3 zz7}@|WvjsnQ<+cKVJ~ubgQoow;d>pnpEphL-3#>YTt~;y?0s3w6_tQTpZwm4{+Ye} zdDbi=aaXr$+iupvse<}ve4Zml^XuKJllfXoi@t9ZE9EMSaZY^UUOgpNJrZ#xTaCx-op+2ieJmz$FMZ=v?U%5< zI>7mOPp{rwCiN~M&$7$%P}jiSQqi((Jg=UYE1R~({o72k_6{$o<32Q@<%{bQhbb^! z8O(1jJ=L6aLWr&I+^v@-LrfP*as2pCv4G332;aCyB%6PKlBcflMV@+Kf{282+IXpr zyu{0}e2ym%x#sX8F``Ycl+VPqqMOXR!unWVx^7hn#y*IKGl0%)T$z^`>v@L3ec?0n z=g;~?k|(krPO4r{$;{!Lfn>5j?N6E4aG>MuBbB`5%qv@v8a(?(s@_Ru;x!~BSkf&N z?Lu#xUsyi$xv}GJ{Y;~|w^Fz7o3s3-=o@xlFWHYSow_baXZSgdXINyj+g@yOs75-U z#8N&VO)2Ds&x|ph@*CEh$-K3`eB^_1cp-O|=w9Q6Q8=&IwTZ4KYs42URCBiUd8}zE z?QZ8^@ti37$k-bq;njA^jpmgBY$HjhJUc0}2#@CDRD^W8n6gz?V4~-HYIF7Xym_yO z^D5S5HzXYHPBT`R;^gpCcdwA3$XLF`C3lOTXzGYxQbKndLfv6Z@Ox9FL0j z^moSCRr-m=;OWeTPx8eE%t51H`(sY7etBksPN`0={q*8UnS_$&nQENx!G$eM@pw5ZX{M(Hb~PzdPTXY^ccXuN}z!`P)1O0`+k1 zozT~awL_s7+pzc7{?;~RZBc2}f#uG%Ne4l#Ewa04#Pt-##gk|6t_y8VqTRYke3!ZY z>^qklE#`OY8v+h2nhwAbJFcf@{LhfLh_5(NXwei!!MKkc8ASTXCQUT1+ zl|g`D2R6n$UC7;mP#whEaj^&cmDEzOvz=Xt^Tj*h{1WZ#oiJhFd*i3I2bMyG-Jk5( z`?AK|6V@JkpgrI%Qyi0BazL{K3gDwv-b{|dp!D%4`iv=4#stFnJdcX={L`Lsn~V<)`e`llvUQIVM5=f~FLC{WVVG zj1W{*Eia86ZMu;P(MRePeTM9kMPR)bwJB%izrqG_pOA}d}O6!`3qQ&3@It944e~+IrCR+vKg4y7w}*!HgJubT@KJl zi^~}0;$N73n{ZxJm?wY3%s9bHEH3f(`I@I=S6Mi9P5+YQUT#0f^Nh3j*-OJ@4qx9L zmb!R4sOD$O7 zOw~Bb40HZhobv{lg3R`s_c&iAwNe&z_iCN>2;`M_kx_;*gV@cVY+R~uz_)V z+>>^7zJ*oP;=yH=eB>4iu#I4n`_{?j{o^NNPu#L@R;-U5*i8NAmj%79v*KaD!n3m< zbkV9(K_}>NPC(~PGdD=h@Y#Ip-?VUYa_A~@&hCv4y=-vG*%lUrm6cyVXCpQ(-EDJY z7G;e0p#hTwR2{v(%+bo|VR(v;GQ4Mz(kC%a`b#dw)eP{cndiP+K(M!Zf8Xnag)qQ8 zq^4Mz%(}*YDa(2&C(ksbY)PbA_SE-x7cFbmX~!zJ+0`tz+|v;QYgU4Tci(jPuI5sY zL=Gv*f1Y#&4OU@B_S+^|ENQgTV*<-X|QtU%;%@Ar;Spz>PW1-iGqy=>wm4%;l?^vUGzT32qw3 z3ZMy@I_8foF5r3OMZMjKC6&E!t1kFCwy`k92W3Tm4YAzWz@)R(r0`EmR7D?1P7d0i z4(h6kTi2IpuxY0s_P8N`;Q5W43P66G_z1c!3dMQj!nOS`n#MBNLO1kK#PQJnMO-;TG=HS#QXBshoWenOuhLa)Ng8M5-QyXy3rZY&Cu+PeF#tzMTRtK6==*#&ix z>jl8c-yJe~n%%%ipcCDG1w$(+4>&@=8BL4^Th`Fx2Q2K~Q(n7YO)oB745KG)q2|NI zVd(WSPE85;(6$$p@MbXfZNE64Z`kHGtaX`SXZ#DvWSB!_=-4T?>tB6LSVC3;M%-=5 z&S}xlo1!!_@D?`Z$OFsse5d_ zfeWZq^4xaVknxn<&drO)tY8dwjY~l##E;$&x5e0fl9z^@RQWRT_H61C;mxAnYbS4B z#lBH_gXU~+_fltO?c|Epq$wF|K=|+-#;B8}YBowOLmLHLp;|ZCR5$!@8NPzMMH(#2 z+>{Sc#vlUziZSgB;deQDm3dSlVy&KmDolGPJ;x7sfC3}nsLHFaxGZT3CpD5-EmOJLua#dVU~ zavszpTXDX&gE9VOaSTX%_x65Cf@ih$Z2AQVy~;g7ANtOsU_ZMWoL^j)_{EAJFI)>W zUB{x2pKX-=G*F!|%D6IlH!y}-g^+mRJwFGRAkW0&u&qEv!Jb3h9&o#>n69YUjbm?K z-TTV2w>`e~5xcz){sC6uQTl*?@1|F*m*=L-&g7ub-m?A5uJuZ3I)4d=SK0Q=3hWk} zjYyug?tIV1SdR5EGvT?hrPbzE8k!~NZ*CqNj1@cfbbAe=1JIR~cN{d!&Anr*Q$k*v zY!PAo&Y?3a^&A^7%XVD1cIU-mG<#oXz^kyS&0O6)Jw1}Mg0EkV^7e$?Dv6Dv$N2a0;&K1%LDp$s3nOZaOf2Kc& zonorZta3&6hE$BH9O&6PPb`McrQ1{nOG+dI8m;oG?D!9A`KB1-t~Zj0kMM+xNO-W3 zGdQ*3$7$U>$L^MJU&FGGGh&G?UHOvG;zPXfxm!=$)5L12jTrfJlg0177uSHfbaiz< zO23lBB=8`RmZ7+_tf7eaA!$0M1x~NbIv1^`v^jPRagV^03m25=a^7S%*!ykX(H~0E zq0#7xr%R#mnm0eMD|8`F=iMvr&U5UOs(!piZ(g9M*HonZLOvjBZt6Dbi+<3k z**qWA|F*5DLg7TdxD*QmIluKVZdQpZPUAJKiB(Ar8fMI*^oZ--lvrOxuA}O3Z)u!? zEaiONY|3|MC}hk%eSb2N`(+4Y`DxtOH%ue>&es%zUu93F#WQf7eh}Vbs2Re~|V_j6Urk@U_3)p*t|@xxtP5`RUK>`)pr|+4e2O z2g^;Jp7;Rko`7%7O zlv&2TooVoR+?-=o|DmLpEv`9rwo7c4C3aBW*cn2CyX?bXT0kqY?ockQ{Ak^;`>naY zQ1)eP#s~F&v1L(}upU>{i0QuIq<|HuemaAfNy(>oaHD+tM9U2v5~Ja-AwHEZpI+X@ zN$`^^QZKl=c5VKB`0`TqtBiBbtD|MKj>DhX7`dX0tK7$D)Er)&cRIPnl1Qccnm&GUa&xJNU#5oS-@ma9k$#GBu3RE7INuDA86!!qW`R9D3 zPbQ(S@|MekWHE2_R9e0U`I>qTyCy#`bkHbyr^`*$ z_TXXD7R#udfuZAYUfp8W!Wmfwo-O^*Dm^-;;i8@R@a{x!k+nIGDXpk&kyyt5>%>+P z=PT4ApBLffp1!(Wk^yFdR`y_)X1uqiZO{DFm6E*GJ~Hp?mPFw^tOBjWZ((q(d4Kv! zgWoe7os!;h+sgj%n6}}sn?fUg{t2jYb$vo!d5mA1{Fa=w)Ticpe46~UD9n0Nrg}U# zL_WesHvM^*oUNX=wMV_CIscfG*)K=Ac&Pd_!Q_@Nuc^MZW!lz;%1T|AG~J7lI2Sj` zn|qDZuvsbf^k=WSQVW&l`B1jP_(1O{Ql4RP&dO(Kt>Y|P&yT(UvZ`0LYo~ln-Mlx{ z4MfTF=MpG!N8nP4q3Q2m2067%zdmW&8UBunr`|T>_CUFlGygy+jyp4U-~g;?xDk|D z$UXLHo~OxsjsYczVdmqcYzH#6SbwV7;rEweR@ zbi-4QBKHFO5*uo=m|)I@(Ql?CWro$CjAq(-TC;uY>x>jGbLvW7N}{=-&|K{KhF2-E z@V3a;>>D+&pvjC-40{j7YsIhzasspKsUXzV{=SDdXzT`v8zyRogXC;;BCir#=TPcc zg7t4G-ajvAeAmQ@{gF+w9UEz5_9x3bJz2Df!-@mb-!e=~qsg!71yq{QmACw41=noH$vF24);aK385^b`klYF{nKe zFsv1!poWg?MxB4*MSe22egMm?dpLOG>YmWkqFedHnyHTl2*ciTs+8~0`xW|~c`bRh z+Nf*AW`)2CS~Y}0kuj^Jm9JBk;p_3@gXfGp4hO0Ohhoo?hKpp?-B|wioy(Efmaj|~ zC95xEtlCdH`p%4d$G=@xt-s8|S9=h8 zVG3tkM1Vni)?zhh!AO0%G~**w;_A55`x$e-GZQyihbCt@;_`-jXNGmV*W8^7T;98Q zZEX#KdS$Y8aMN_>X0~3>S&o<5>?3{Xk@s*0E>;DQ~}X@x`LxmK#Gn(Js}OBte0tqATeJmHem`T^p&w^Cvy& z3?N>WNT3^Ar57#|$CV2XdW5l_B)(SQ9ZP4X;_4Ym9`pU)`giyILkSwNg0B0nqY_>^hM;ly=Q1OVPk4dd->wGtH{0 zF`_)`G4e}wSFW_yDheG0wh>hIx7@cWX_cmo(76Ir(@9SyjnKLT`&C}4{`81YnRoG| zlZ{22wy~JB%k0yUy{(lfsTAC;(Z#FRq#AtKQCY?0^G`e~Jd@;|$!d7TAlMRmGH}$8 zjnKk3J5(>+_C9HEibTsZ$GimH*pedoZjQ2=KvGO~RQFq9!y{;(63@-G6PdH8GbtBC zCrK+bA{IkQu>0f-?hl%KRX!yuBzkz)xwQP+Bz0)Y8CG9hyRgX<^%`}r6wdTzq|RE? zzM~jD)9Sz<7j*8*y<%(fiv}Xe7#dRSIYlR{?d969ePgX5Gm`Uz*x?Bnx-Zc4oqRcB z#o@Zf?zGUoTIFC`fZWDqFy)xV-=MbsvdevKftc*GY*sG)qA_MmAV%pT)cr? z!>m<{feu&CI3-1%3y-rkF)UZo$r5kTNHeOlAmp(w2!l9(oXHg$3@Sbq&J~H@UV~Y< zu%Xn~g(XK>_O|&9A5T{3hM8|9(|Rmc`7K1qSc@6yXI#GngbcJ{HD5eFmilq{9Vb6H z)4G|jP5g)!(|QsiA_D37+Qf~#lUdZMb+@%?<3Gb& zinN!#4|3KFvxJf^FTNq|ty0l84Ks(b%o8kzaAHm*BVAiA2uKC zd<}YwOQk3zSz%rBQ{Jf_so^57HkGg?{{YN@$9Fseo!D<+)p6HxYR@a2o2#4aztb|( zQ73>I)|Ze@k{zDbrPSA9VAJbEBC_QcUYVyjcjY6_bfQc4`x_d5!-JbCrFGQs6N8l0 z2KMsqIE5r_Iv9GB#qe8miZo9*?XOSaSlgaG%O*g1im`}25VSm55S}1SvWV*(SxWl4 z=~Q`g=<-E_7x)}g4}}bH&m&II^@HQ&LcXry@3f3{+hn33aAOq?-(O4hI3|cHRL7qO zGsxinlSVg6374d#+)L9&d*=`&i{!53{er*DOx7~m@kJuCfD*`C=DVHQBCIs6Hp}}8 z*q2(l!(=BjaX-ngmE@?CEI3%Yea_OZD?UYKNWJ5Z1Ec?l zCp)1FMc?wyZDwhkw2;_dD3W@RV<(_?P54F%l%yJLSwJ6T;4NXsXyb5=_2G3anSp+h zVdMRcREpp_EmSLfg>Tf!{t=AD`IffxSSS}xP?aqXq&={q-Cn(y(5FeiRY3p zt{JdYMB1Af`?mf{<>wpOf}`Za^xD-%)GJuM>m;M()XQJY$dpk`%t!5cB;M&?s;oa7 zit_e6jHErFE&it%%-XjdEz2;vJu;NV^&#Hj77>T<)F=#qjt* zxmdOvJ9`F}^ntRaBQ`t-_HBdjJjOWlsyI9#;bVPHW1>}M7L((rJJxe5D`rY^oA=h5 zRj=J1DSRe)H`cHqx#7dJvBg>U9P|X}=8jNOoQqy$FH0TD69vv_s^oZ|^6ln{F!||8 zvQ7M#a!nP|qK$X=49ecJQ1bF(v_-(>n5OuAdLiGw%swk}=2;fVk7J=Sq;!?zXosHB zSh-rCP5F64m79w_Q#))$ta7U+PYO zW1mFw9xLO`(&?KQgH+ZOUA)qJI$p@1vp`+Iiv5ggH?P}y=X!~kikqOq!glO#xbWQF z=uiRk;h2my5tlZ@4!Jr=eaZb0F5EFptp0(i8|ka1m5-ms&%Xrcnk?zoQN#tcsR-2z zrm$$nNqjYIWY4(Nu|PX@sW9E9YnpcGLA2LnkzkrMcb??SU-L7%wIi2pAB>AqcBh6q zMNLpiV7OKqc?l6q$QE)naU_LJD&FBL^Sd;(#>6vTWwXK)cACkNs{YJ*H+%t%8Y!5g zkDzp}G-Z+e#*9su0$0a`+Z(Rl=kw!NmQ{!C!d7$mw8NYsLz5*O^HaBUBDNE=L)kJ` z4TGgKwhQ^qF11b543nMPW|GXH3ry0^*i6+hHh)Yo*3IJ44mGFTQrH@`={)ZuZjoGE z%$2BNS6lTBKAt@nQvNKe6qSkzTuczWFaoKw`MTr?iOcYM-n>-C-u${0>QD;xdM@j# z8@H6ruFf)SS$2)*t^W4=RDLJAcdxf5rxV!~_N3w_U3Fqjol>V9Q-MDK|8la7{iMT_ zF4Si7)&Wi7q|4*{ww=$nP7jC5_PyjcE69;;N=ofo6fTcR<}#hB8^(}pJ2UWT{%*kI zv;?g@F81Ul4j&2YZ_(p|UW^kc&u_z6*;<^JJYD>*N#u$P!S0BTizY5nDLYKsQ;&GY zgcPY!EX>}q zGTrRDk8b9HMO1~-g|>Cq-6@(KZnF-Xo!f15YhuUmk&22k-g4X)-ssj0cW6;w2o$)^ zMy4`Xo{=0KUNN40Z^nP1IL0dGIzQQ(1k0TJJIBR$Xjxnp@}iS_jB{7xupe~_?y?13 zt? zUF$;lHp?cu2j(PC_7=-*Dk{w{8xK5)rWV-=@worvPqHWKTYec9_ zOu`@=+J3`yhX-Px>f z)hl{Avu&fdySN0e*W-WLziqPOygtWtcWb$DeLHAxC;eUM@Wf_U>y*M?G)>-~Rllv% zOPaHJ8yO0_Ye^zgas19NS0Y0fmUiq{bjr&rbaY^h+jC9MX?x)r?K6)*KG}xvjBRZZ zzkj%(*QDlIf*LXNYPNsd(P75R@~cpW$6zgu<;F(+VEvl&**xc&{*Uc=_A72hrD@6i z!$ql6lK5izolKlZQvTs44WceG_+N~1vK-wVeJ<89ApR~tKMcJ2534$%00 zI^7`T;DQ1sI4sSF49o;6fcq4{$h6abZ+RBTK$u|g0v{Q&v>t7-gKpF@D20w@UnnFM zz|w@n$N((O?^t9=u`~~`$dF?7@c2L>(+7GXu#WUXj&-OPQY`+iF4Qh^7!w#C5klYt z34x=-)H*$a?dsxSO!iO7zF`VAfw%*eb|*grYnCzQzukbf$udp zPY1TlrN~HTX0~7s=7#Wgwq91A$o5JmlewzNv&Q<`v&xhUu+g}M$Im7xjj0KeH9)GW z_{my|y6N#0c`*jot>|dKY=2WTcSkcymm9J*fFLsH@xODSskyU@2epd@LDB@KAHWDg`~#TjAHXs_fW{^e7I49&CVUe3 zVIOojB)$L)(VT=&!hI45;J^xykpTiP(40(|gcCq~;OIT^GQXM{Fh&=!bFK&iPcr=k z&Gc_*roTgXLIFCbLQKAE9rCl*A%E98~~lqoR=4y*IJeAaqWQ!eL;aW z65|D*!syY|$&qtz;^8Xb2270r=j^~fB=Qe%{*Zrw^RoN}ybCxYhrao{ts8%}b>qKm z-H622U0uKN83Fv0t&syCvo%s++28nV@~g%s|I*k5nZ`W7@fiX9lg7w_k7%TO1MW!+KZ+u1o|D-W;;A0vi1?EBUxl0xapF1&+_}t6+FFyB<9Pt^aDHRyN z0mcc+>p9t|e1rTnjqha_+nV3n#_^Lh(J1cisWhQT?nCN{7awoY zYOpjx!$33nS05NBZVuVid`oK2mliX~x#xA+GO*Tte{$f*VUhjaKd1g`>(qbQIu(hn zWq$|0RBm1nXZmAygh(FXa2QjM=I_~&EY?RLJDUEH9UVa*>GWslgS_NtXq@^2kT)$6 zVtDThD#Mp(eOV2ESzH^7s8Av_Lf%Vd!+Ecta5b4JRBUZ|=k-u;&D|BVXn1a6N5LYd zpL;WAj}j-aThg_!t$Df5NhWTBNi{9{mIEz059#v$?9ws#MO6APzWSjEcsyT{5-D-n zZs?+CMcW_&s5DztNiSz)k;ba<}6qcl$3UcSB&E}0bt4k3A-zDf1=47iqcj>pS4iVet7!aN8VwVpl41H07mpDT!SB>Ve%nWd3ZN14 z3GDNmZ86kk$>GSuHcyTViwy&Q?qzHm&l*`iVMHX6^Yie$O#UVevaJ{QvXTtAA$0K;D-#P{~`rtWc|Px^jLf1Iyo+b zm059bp3PQI!wmDhy$4{vi0`Nro?q#Uup?f?Gty1UH09#fiQTUeS?)z~c%BR8FeCynG@(RE$eR>Wq` zR)e=kn~TUR>4x?1mccc;*luA0F5IMF%n$yX3-99m=_(0=z2JVN2UkgicLE}P8UzmL41&QyAs`6Z zBg4OG3xTKkz(8;iCKM%EAE?Unjr?O<^SI}rOa0DTN&5LSGG{USh@g&=H@aTz z?z>fl9K>w8{&2mRl0?s}FLNL@b{3hm!pBP$`mGl*F>Bt74D(-)52_g6>3gsjE>V%3 zWJWZGasypk%Iu?k>{B$SFz9`Yz$Z`pCRWNt`Fo0WhM#HqD@mo!DvP})?sI(Lx-W+k zF#|6KJWUwP!}RpV6q+7OOB+xgMTxk6Dj-neGaBmJ7J5ce8DnRsN4m$RwUN3t9wkff z2jcsZY~DNxmeFz=7u1PP`K4HWxzITEDZ3l5cX>=*+iT&q2flszMKmr+eT8%p+5YYQ zyTmWTh%t1^-ZZd*&e~q#W`30V2J6)P8bTOLXLn+?$oAW3eKdDxS>htFX+v+GFul~v z5TAchTagsU_f_gk1{$M6<*ibYv+Z^}9K)OP4I5ebHAFtb@te#}PW#UlelQiA_aMI=+m7z-bOZhXIU-Xovjm7zZ+XWQbq?hqPXmQfg>`K!^I)Am(*8fd?`!`rcv=2~i15QxO_umf!V?ag zKM3dTlQuaCDS@MFC;XXGlYp-kdjd2M5{~HfgTAu8ew~f`H%y?L0=U^D3E#uRKP2qC zTqF{HN#A4@dVu@pL9rVM6$ubHKylD5Pzg{`Ng&wo`T%}20Td7dhutax0UaY`sT}fF zVUuj{L4oivSo{vYujKy~T%i{T7l3z1v~CdXtK(XR9G&lwgaA+p{1z+(1Sde2y?pAn zJ9@%-%=-KV+|oU=3j6fmZ?Yihuh7k^+7Qmcqo5ib8GPEFn*}&-7s7hwSXF}C!}-;v zChq0`_6GBw+6Prrs??f;_tuCvmxw?DINtkKn&PREBAl}Msl}I49)u3i5rbb)p_C6V z2tLN{S8g$Pqg$Sq6?`}S4f7+D27&SFCCt;=rW6vs?sOq04btekV%4Vfwh=bNyP;Rb|>2nUv=ELZ5^hvzWn}_*sN5j*mxU_1`*rX)jDnVNS!%ivx!GJj_hXy<*q4zc50Qa}3qier?uOjWFb$Fj^yj1n!1f{N!a z!VT@)3PLUZI(!P2l8jVY0QtSz|k{!>k52EW#nOD?4 zzYsT6tt@7HSTKDud$1Dz&_S=~^?q`dqRTn54`B6&CNLi%njQDrp zsH6wKYV{NSFt_PGqOnsRu;B3~n)b!Wd}SwdLReJOmF=D1R(Q}u?#VwM=G&Q>Rf7?o zx9&?de-HC1ijN3rl1Wpuj31f(9w-3=BEo#LOi%vtF#liR55oMv;ejx}4}UDoAHeti zSMBr2{-Qk)<`MA6!~B1NKc@Yk;W-a`E{Iy}L2OI_2ZkI)n~;P+WK3#ULNMP6lhz`WUL$HGw_&(1`$+HcAGG$aH7F{>Q6QM(}z~XPB+SbtG4% zPR_%w%3-YjH{|&T!0qerkR1ne8;5BUu;l-yrAzCdwZsYlE_8=wW<jqkaKo`0vzlr-GkM3pVjO=x6QVWL4Uj5^c z264?}OCgpCi?1w<*ED_`(m##d-fNX0`2OO zJ=w*A7~uL217pJEiwh}CF1W5}SLPF{I1}k=+zZm){88Sm-BZiBnVW~f0V6K2Zf3pu4Qu_dHYi_`Q0Dg{( z3yb?wq%$`j4o9~X>s1qELqzeHYbj2V1U^20f!G2GH>Ci#<_kSEcR&IRXleo@b^#C{ zm?jKqb`OKV1ASltVvVf7A0Md>gw0tHqlN&P5NJ%_(U|^@CVd78nsf)?BpVN@i9mDv zj^_3cG+|_DJpeyV4!043#_}DFXL`cxM5cLFC82Qmk8^5D9{sYYz2^!FA{%I3T zzJr~CoRh)k`A;Cq(y!zD^d_X4}H zKZJ?cdGtU~09cK{_N|i|n)?0ZpaR(fa9NO+oo7T|@@v=o%I+zt4-8Vp!4IAM34ir9 zQb2$AU_v%f6AmHsIVhU~O!;HU6d@65xfJ+7QP<&wY#`GC7UC-W7cAgn_(v>A2SD3K zM4J_%7|16O9g!>^E*F`_5Me(s5aI*sK}TXSNDpAK{kcknZijID@&W7p0gmaDn3>B_HmqN)@>Y6>|%i?BJp5SZHUq``F9^r78n8! zgux+!KBFPXs=sgZrhgKY~F<vrAvK{ShcURs3m*k7_AX1f84sEUZi_P3Z*IInT$^e znz+cHUtV_!z`0&sS%Z>Rscgwk3nVbW=RXid5pP<2MD<%BF_B)H&`~MWHfz*#BoM_0 zb7^rebxpbUQlTxz>(Y_WZ-$rz)>2kfrAWDD*Ss0ta-PAQpnh3ut6L}XC9~JL+)YBS z0mNw+ha+=+<>vx4z`_C<=^h>+YRm8eB~&14-{WY(Y-tL<{>P|Ia>}H}l)2Uv_@>w| zW51mZKa#(IKnNLNMPR_<&skfbih;YkejpZ-w4~uj{&$4*m$dB=i5eNwj|A`t=`Wev z0n#-PQl!HS@CfHGiQ6F#H!_?bDc}*331Fpo;g zPzWM32uJ{`Bq7KH*B`F8P-vHI;C@m`2Jt~8ln_lHq_0X~K0xe7((Uhr0;!}Q6p>y5 z2#6#S!t^(U-`nE=LJuOR0kwSs(II^WH0>Slf2)|DNbz<`F zUlI;%Nsd4u{~N;Z(>MqOz?N=@woFApr2Y-!_o7Tqu%63RAvE*5^B~nP5ynCRXz&fa)KY66i%T5X>^V53 zCbc!s<_nt*UNOh5cW;-=W4DHh89J@(DIP-2tGmB8@ZIyb@Q93)kfTa!d}h>nNv`=V z1Vu#~+?Gh&z<#cB{GlY9$bRfc)N&6RFzvxl81F07v2(GEJst7$?Vs$RlMV^T-`*2% zw`aQk;AZ{W`sS6G&ApEotLz~>&kSwKh|TW9V%XGMF7p$Gx4IBy(d15{PmPmBSkpbJ zA__CIz`=Ac`q>AL^04rnNUxyyWYWA;%6ZZqkKVPwy7L=q|NaQp&z+ouo~9vu1iw|(bh>rvHe zgn*}gz8^D5FK(6AZf&|UU!1zU1zS%bMf;KX|DYVAl-!Gu^u0y%UnC{O{8vfGU6YPB z!+kkBEDlL+M;ArgsLO8@W5oPv7uK+aoBMSAr>iGa<3jy|-hTXFQd-1ez{f zm|wZP8gJ8Bw%%UW{@81CXD_yVKrQ7oE@5S~P|8xGgTf^PZcW* zImHaQ9@0EW!+BBr*&)qO_uTbmhyD94Ywe*pugH#;niz3BlyH`5Os=zbiosYFk4XK+ zC2QaBwumgxX|8R&^LXnZviBMn^2KTh>djfo#78=!6-@fPFnhri+}Q3REgTIQB<&gD zLP;mj64243Z^$jRAGFV8m5cgv^aW+$%c+QOG}m0>BW&?EkWX6Rrb*>^`<9PgI=@&YzW1S9BJl=OpejPE1;;*8Z%M zXYeq9>TAp%m40A%I(=;N&D+**W4FkAFPv&DJ4#0OKSe7XX`uaz(pS8am5|Z61P3F4 zb(H2NGg?*EsBT5A&Bj{>3Xk5k%}v(sWR~blb5Ib*(tT=*l&|jSI04+IC0ta~r92Ch zF?=<-ij``j-r{_cb$#|IaaMDM%Z<$pRfkPw%I`aeAPTty5jnY;ob>hJl3+iu+Mz8-!zA3W@vBj(wN8OQhy#1J2|F;p{ir%ovJZK&!28uKL6paa2j6Y zMIu`^oD>bYhxJy1ewGERpEry}r7s5rcSgkMplW3M8zbH&92vD*h>qGK61EP9GE znhIJ@eB6!o*myg*I^~?NohAXoNkCmwJV`JPN=ax}8N(hwpQQejjYc~NijMMV?9`=X zRaozH7GL|$&4Di|uEu%0*2ioKOx6e|8wgzr#XN;-62(l{+`O1_pK+kdJG8rMbjLH) zR8V#zO%&#_`z9+nsbaKMjrDnDieUa}VtlUL6E8NdFqLyz!AsBNY)pJczldK+)SJ5T zoV((hJVDHZo?e;reLn9uw+@CHkL8hMU+H~_{EN|4Y9&ZOyXpA zl=_y|=T}~OZ$%fX>>uK?aGK!`NT6R0yXb8p(jLMgm+Yb&^48s!O8hLQ{yhq)yK;QJ zrV85R^Oceg@IuJ@&38xQ0%(a4A1!VOoFN29-pDMWOV)m;k1(bX!kC_&L|{R4kK2yXRDCad zzaIl^gf!@2augdoAp@w?U${mx2pb&gE&-+mgzap-=SgPlc*^0mK6k0y*@OCVZ=GV~ zY5g147*EscsLCX&g#1}A$S-f*jk5P>63!;-5V~0Bb@^hT;*=br5ev7P=8}VgC7prq z`u^VG0NLaB)m@&T2Vw0ZBlB%5n=cYcpRRAcl$QUQlt zL4-WzFN7`k0cy&$+xOJG++p8Z8p`q5-K&XuEFcvCUeiBIL2Kxau8Mc7&E3E)ZMo^q zL%)9fCt@d5cD$)yUD$ra%c$6RGns;Ff(iHQ)T!@_`+mita*Ps_IWCS8MA&dB?rqKE ztz3_tZ}W9~>W%}(Vi#D$Fd{#lAegzBBF8KX!(P7pL_PgI_uIWV0v)dJobIJ9Ld@ZSgk)GV*J<+qYV3qn;l)~##O6tFe8wOyr8a5`{#vuUTP zh(UMLV+c0Px6-x8LvB4rOH5Mdr(@+Eyh5zV6vMR~KKeNKa@S27d$SH~>x{2I&Y0F>MSEW*&NZ4K62iflc)m!Et~ z5KK6lY&srXRY0e)FQq>}SEF511^7%!N%_>LW4aYa$s0W_GcK%K^zXuw_pZA+sN>zX zy?ZoTP!E31Uz&$tfw;^d-x;G2lXtyTG|i7D!0`E!^F< zV!#JOnI1`6y&=VX9;K&&DM2fFuVi=r<#3XD5l@&c?%79kq^9|81R1!&q+Tb@mCpuh zb3URj$hZv!;ndHWS!RSbGZrw)oBVqpysd1H;ZSuWK12am}C7B1Q3gYc@EyAnJ z8?{iFL{;IQd4uCj5E_W;N4()z#jT-%ICpUs!f@-Lh27Z+^Vjc_J(-$`07ed=*Hp=4 z+E?id_-9|>nA!5!Kj&1G9a3Q<6@!W@nU*Hu3M@iuI!g{5*9|1W#}C56<^drAKMOxj z|6XxoHMj~m=K&uG0A}inLVEhYiCK&bf1-eAzY(?A%XkA8Pmbocn(rn-uZv6eRW)wOV_qY zw;&-QDc#+rbV^GjAR*n|4YDbbPALVYyG6P?1p(>qhVR~>9?y9^-+SKoIsfkcyRVs9 zv(~INGwWJYkEU3)$7F`zFa9d+F361#BbL>OFXLM-L$VEjc?Ziof$x^U1UzJL8?6A- z7a>H<-bAbSwneZ})FYCF$Qy%d=?w8ap@M8?qby~8PS*6gW~H*ri}gVStUc%nk(Wt| zAaP#j{+@?Ure>;*9FN!mv1tDtIdAe7HU<&Tr&5FAy;;-e_;JI(#C-rKA+-;P`xFuC zSo`CmK=-19VEyB)T0Tnys(I=0ye)~YgZ*lB+l98v>B3VCh*9t!&IQw>Ns?*p6Fp{E z&OW`GmafuX#CHhZc$#CDDb}c)xl}cdZ7Ok8T=m*E)u)m7KUPehZ#HmIz>;~hL<0^e zoj4*beXjP(|D0|X%lWM--V>CYWTKt8zqfr_YdRtn;|N;5-1{BYhFNT;7>e2E zUWgB&XiAN0a=!CXOZftnTx()EN!#vwKZ4q>BJisNA_M%X&&wt5L%~93M^^f=M(YU{ z!WWD~3qc`VhU4m@&Q0vYn1$DOS1L*#q;5zWapSno8k*Vt`N-TDf9H zWE|-!4)^HgjT5SHm-_mGbMtvcyje})>G+1k=N@c9;lzi!?+LPku`Z0nm1A?-8Cor! zX5vQOtXJLc!=*VY3TZh|AMv^?JYKOSETH(1B&r|_KEDI}5K`M;G)5><7prJMk0?Ua z1MPXDUy;{OdO^fR6WYWod zq`6*>>2e`b+TsmQDOWX&GE7q;d2VmReqFA?K_KK!5Xcqi>l1q6>rYFJ!#ur?eMUF? z=EZ&+_h{eZ<>7TA%Bo~P3@pL}Ep#eyeEF0%yR(*e8@6gVvCkydPj(Fh84jb(AoGp? zCSpuUo%wVAV=jdFTY3I!@!-+P{}`PQkY4@dc65Z`(K(mQ9zGLjXr8?0civ(qLWZGl zbd&{~U{iBT26bQ8WqqVn-Aa;!?8=i@X%I~!0#qMg5MMsd%4g6D>U9Tg4Bx~_e~zdX z5%|!5MAVM~@6aNx2Hp$lrkl7746pKCmr%@4LJ9 zJtCy)PH`P1Rx)J=yaWeOMPI6o*FWW&n!;K#O??}2`g87nL@hku(1m<{2?EpSeEg7+ zmk)h!Y%tMdy^6p+srOp!L6xL0#)D^p+FY#Ocd{!{)}uRl8TyWeq))R=$GOtjnSAbY zuAirI=J+4L#%I*qlT;r~lqlaGui^j62uXb)A%?_XM;Iy~(T@A6Zuk(P|6zm%TgR&` zbyG8ho)RESVKVy=;7oVD1yB-qy9r$9Rf zhb<0X9m1vbDm$s9FCHheG{n`fI@_wPx0nZM5qW({hCpV^&3C?f?UR|;JEo{YfB#+D z?K%p!`yUno4wncp?clR-_UmfjP^j!~y@X3Si&4rKhK=WZRGiDb?#PyB%vmTw&l(`4 z$7I4mPKD)4%w(PH%4ldrTZDc&El05?5VGWdGnjrW%J9D34xKOhNwLji3Kj zO~C;n++$52s`)*90yto6gz-H>1I{E|=f8MV*yfd#EHs1>-l%EsTZbD@QUA$5AAw;V z&>Neo)dUpKjhfE*b5qeflU+Ve&$LVq{-_VX@xxQx9L|C_4>vIrKAbf$!Y(d+I3V1m zGl1^_+-?Kd?b0R+j2=&>%y?dV4iZ0spPUnfFc&8Q0;E8gkQSx**mHZ*SeIR{%_v6k z?Ux!v-+Faa9`v{Q8M``l^Xn@5*Mi(R)$ zj{wI^UQo;il?Ai?C2m7%#k3x&0{iDx=U1_rp7(LzDVhoHypvM&v9Q{Ks1sGBk8i#B z)UudwlMk5%lUr>?=(`Pg!tjn8Pgu3r#45?^_#d9I{boD%XCtfxuQN4ZBgA$+Yqlgk zy((EKI*kr;1%i2Np2jyM>e!?weQF}b;AcLG{-Ht5LUSFT-Tc zfC)n11w4iHeoaGr7QY*6;v>5Pu&0QGAua1R6I1FvD)K*_$)=pS_>X7}EA~l08S(d6 z#_A@Px!F+90mlWNWv{iYi?FPa0C%?CcJxB{*-yvxa|s1+aDQ0*JHZhb$Z5PdkGg(;K&3*Zok5d{j`5IZ9nM^N?iTRH;cm zNc){BywM4gaZ4YN*9e>%wBMNiP2P~+as)Vbs>HCC-ywQC-S4A7g5z^??k|lxV`zf6 zf2I~r8a^u~((o!tX_=p+v0X$}+)s|EBG)^Qiiomu(Oqt1!#$aQL;T5Fh-)GamrsCt zfUaM3X>F|$jj!GEqT^mPx@gd?IVu6CBj3}Ng3OGgNGP=Ck*vAWA;qfFiGwqUKh0Wh z^;jOU=6x|ECo?ZqFptu{`Lc2IUySRk1+s&N5 z3a9&!_JI8LL$QOsHxRpazGUBTNWsndff=oJI>bkY@<<$|p~6&J3XJ_l1}oko7_gC7 z_4{?FGN2p_mWj`BOBIexV*CExUJ?Q7FWd{cpr!QusO<%vRm{FAwFC_8(_PMgoEpMM zk=?v5%2LL^5I-aJPO2}Z;9iwAUjVaR_e3HtLoMP}^JJdB@>fb-4%yGDMw z1Qq8F#HV@)UaGMlqA+u|TbHK!3BE@1(V2}}rL!EUM6$l>*0?~K+LRJOvd>BIZoL2K z>E{oOP)e>@2!iqGPAyQ-l@g@*prONhaXiP#w;J;Dm8MrlauN`>Cg|MWz0&2L5hc|E zuKJrrAoSGv+oB=?=Xqr zpm12TwC@WK7t2GYJ;w2og~C)&edCOuv+f>QbY<68n2~)lyw~Sl9Ps+3DkI~8gUyA= z433A*`g9Qpf$a8#@|S5u1=qR)rw{*_I$34eU7Q3Ub5KYb=Yig+GR}V#a+MX5yqR;^ z03bVPR~bn9OHc*~Si1KABr8GYdzDv|GH{hwFx!`d7N9~q+Pqh2XB_M9&;MI0G?)ft zv7)qyk(zGuG3}jF41`LKUjD{9*7o{xdVbROM&g30-aUh##HmNO2bJIzAi^y)6r%&r zELa1G6|wObQAi{nAtOK5xejn#DpA)Qd0reG$WHwpxHlQWkrQV(-G+((EUH6E9B^qM4BVy@#JZB{iNd@TsBs)mSv76S8SMxIJ-x z)Jcr^8NBLk6+~=?yfBldH^#9He8$Sl*ojDjyF^C|cXft%ytvKiL@Ppu8KOi*O9p-T zM(6cCll5e{wBT-N5CX=FoO&V1PM^@G_eV7?furG7gzK`N&y&8U!Ua{(zJCxs*4nGr1krq)~lPnv7lqa<2^dZV3Hj5K>{FaB3b<#%C-=Z1aKgHnYr3H zXQdKo>e=0JN?FaoaIK=h^cabBvzr`ERSkmZEuA#)7E}^aN&c{zR&5Zc^zkwI@&abB z#S^JhnWoYwGSWmEaLJ7;IcfYDzKXGX#7R?yX;?tS1zvYw-T2Ns=d~nprsZfYy6IuT zV&c()<;CzCPDDXXpY$3$n_lWZFtn~LZ7Y>)J2gFyefyB9gghXH-7q>Rl=e=Lz`NZ62Co-b?dSIzr2}0C4RALY#qCeH;m~i6k;sOctfybhu+93;A=mrq9#$vF zyCV8N>OpUi;^#R_&4%%$IaD)LS74cYHtrJE5<=`d&hzLlQpo z!%UrMA4?FS$`b`DaGc!V>RgU`tv}PUj`^_Q`b|O0l5X#fiAC5)p+^g?VUx71nv!nn zB=xKh=bTA5RzK%oA7@}@S9+~d3NeNU-&y8&E?v9XJP3FEaCUv|SlCq660y1WVr?t6 zEEw-)uB0{1T5f!>L@u3N3&}%HGB1x=yCdsEw?EA@EBa^%Ci$G!%z})cE>b%Mv{Q?A zY$s1}b<9jDX)VNO^C^w+AzFd^9@K3!U_-vmS1E`X=MpCQzUQmxLdJR|MkIdEQ^3Fe z)SRHaDnXB$YM+iJ=B0R@x27l;jDvHUMFJ19^F0)*UE_ykqth^Nbk(-|!uT_3Qif1a zSi-K?!mhCr&D0^`+*&Bz(3v!si^X(1i*HuwzqD#|AP7GHT(PQ|j_`Tp2LnI9UD40c z&@#k~RT6LGOda$(d0 z(q)5sOamEA;*wwpArp0~64Dsghe)VryEno0&!t(C<9nLSkD3?(yYWj|K&mG+0)p%k zP#9+EZ;BNNzKGuq$O1}d2r36JX#&e~=PuxEJ1smqfojNHFunCcnn1x@Kv@B6{iQXD z0U&w2<43KSfPdB+`QrbvH6}0<$r)Te1{%msOGwy7t8$SA_`Coo68g6(K(zZOcYA`I zWcXxqG@<`#3Xmj6hworIxPmq`P(S{EZiV9h|E-nVf|1|3bqQdu-;x@@9eeZJq~Y{z zFiDRoR0^K90KZ^sZ zOII7F9hUn4($!uj0CcrA??vTTG45aH-7k4x$LuG&+q%gh`Q%r5{fBA+NijfKTM5~U zn2iEOWb*u>Z$3HJcU=ynGFJ}LeC48;G_85sK`3I8Yh-zTcyq7S_K z$LN0~=Knwkn4-M@X$1gN^oh(3QdZQE zybyR1p5&&pOr!&O{})owAN>Z(ZGl-#KyEiU-CcRNDp&}paSl#*uL43&wcy?Ves>`` zhImuw6`aNXM55)H1{?AY6PO2WMBv-iABoHKt(;( zitq@gYVeo(`!Q>AYUgMyTbrK~;kl2d+(=UykWN{J-TObxxn$6;K4rajefcVzGsryh z(Ri0=r2cAc@%PGpKLrN7umdik{evHHD=?~`W1;#l#`4EXBzH@T2Pf_SA+V00jdc7w zjoi@XJHUGNM- zY09!y!AolQ{w`^rim8Gc>~nJdiO-P(sQatndA5@SGG z=?zmAsPCaH6zrlq$t@pF)85xSo;6N1)BJ%`plz&gf>)fXzLSb$KwS==b~!H}eS3L5 zJE>q}Zas0qO!uL|r~kmcp{@Ru02LsCmfvRmgC*eqyUza3>&meMFVFmxKnDOi@wcF0 zNAl0aI(n0m4<={c2-Xl_D0KfFY$w=*{4-d9APXcqgSGhv)*0w+-`~MfhyQcfTS_)S z(Z7Mky_X=>{CBXDV88Ltddq%O;vT$I-N2>;y&e8LSnAjR7`7LDR)h;CeBQvW0~`^GZRb6U>7q} zkz_C`^O&6iB?DY1602&^7%h<04|njJhsFk3!&NCM9Xm@=l)gVSmZTRC8;b%ws?<<-1&t97Xa{yy6hvKa5_lHJ;4H0ZwQcXYmVaHp7EoceP3<$Nk^ zx_0A}*32cSBZ6Ox@2b-EwVc4W5iQRvo07;?3v3ux*=8%bAx=0lnk&rfjs+&zk9HbT zx_3dRMT_c1xG>$N4Y6CzoJa~sc@dWL5(J6(xO2Wv(3@p`24YluviWx)Qas3TOkU7~ z(!y(@l}i{#ugPXps@cxpeeil^72eK%zLvmJF$jB&bJ2ZI!943CGIio^`-M?@V}YCh z(lb{QAL$hj?Fu7#I$q?AvA((7b(N+7--W2%l?y=zjul9wM z-ohktRUOig?4-2VEJk}dP#OLLW1o==d$zI)HhUM`1Dgj6ouV63TDf3}WbCF`Meh+C zEDc8ZR^gi5HN0jO+Dx6uRaAyto=)6(c@0%NpYoN{gM#rKruKlhrJ*`tH&33>&OT!8 zsGpVlrI%Cd6Q6lFK@`o$Gy0J*D0g_*7BxW6hT`m&GWv{8HUf zxb>MzZ9$~=8%Dt%NgNmSdM%}yEc9gPW)}7ooAyR*(otTmxRLBCH$zti)F>vr z=()?ZMta6wwwEUqQr!lP!mj8Vad~S>#f_~b z`TFw)1leQP)bQ(GZ{$lfxb%+o@sg7I@UgqvGCITbrI%s%@%EuCOPKWC9FW^S9Juc$ zd7E6tm|aGuRw49d7jdZOVGUfPxUGphrSX^}C%QNjvyqfA@<6_Fi{*l7ez(2Q#X`@N zF1Ci=vdC++7kKid)hcgcKdH;ZsgX_9lGL~~M@GGIYnB<(&I$OSsjoY~YB@&Im^*9&aJJgBxm<1Bp!q8V=yG^?xHb_W`UYbNg#>Jp%9-GHQips*jhken7-$>7V zw=?wXAmXuh=meLS8V)^f|tQmL40q_)f4jDAs7n;uqxLEc(49TU#`Z3EkI zak_40Hfl9J{p#aq_peWUDNeZAE_}%h3rC|rebQ9Ui!wKtK1Sl%o%P&-(kTe=to|%L z=KS~^zbjjNnyXFyf~tf`l~?gy2Rb`BNn7tlG?}4KCk5%X;fg&&8z;Ttgsp%lljzyt zaraw>LkJZsd7=ceSm#&s87OVUgjyh`!wf^@{5~xGE$hX>4>4u$+jx#ow%ivZlgA!d zBN<7%zixy_gMCl7%a3!N4CxuwkMP0CgWti^mA+cY=K0q1g@F;J)+&3Du2L`@J|Nkui7jA{BO%NNjc%ms|6-j-%9puG(%qb5AY)1XL7c1GF8PCk?n zb=AqWxzZV&O^rK;?S)s+=Qa5}g1FGs&L#Mg)}U7hjlVMC4G z*)ms0CWU-&yk5fnDFV)hF70l3T2%a!m_?zY;e{iVsUuyU)rooDAf?xb>cx}_4>oy8 zls{6h7R7PQHr0}eR+=MU+-hXsx-KU4GI+GPPFw8Y@28|Ffl(yxS!gkr#9_gjm z37XE*_n}SJ9Q&8ez9Gn&2rSBj&E}}{7Z1+ojlWVbntpVuVISn@gDxA-?5W&4n}ZqX zTOC$?JX^-jSHNma>cRK5#)F3=C3o*>EN=IZUF-XvLMSbdR?~`I_QdO(3vx*siJp$4 zB^#^TjfQkY(@TJ|BiuJ&B+hkUK-JFLTJM+ti2Z4Y9&tS@(Np>+v^bJIpuDpNcLiT} zZ9Jb7H|z4%!y)d&e?c>q{DgpB=L&4qBYwqa&Hdurx5k7_{XnMg@h*!Q}GdY z(~&CKhwiBnr8D794TKZE6@SA$6yV(=SS|ZjgxN}a`|)J_7K-8H2P@r_!eJlj7gWm@ zv53$uRYzIGGC!o+z<)b=r_KI3xs=RnIe%(*RwXNCn@VROBMv7tr~8oEGFyspG5uQJ@Gp1$0sPgi0>@V`qd7ih6; zm@?KaiDE6m55IUKOmo~~)3?c?d37D(eslVNb1r~b#@toRTvQA^`Dx9DSj=eEC0h(< zV=vXoUiiCL#(K)FSPEqoe!TMuKE6OK>ntfo7-8uskt_nf-0xwBv#~8}FK6FbMA+MB zbf97nI<}(e?b|)HbwE}Cw{W!h^FgPZ;wOk@gN0y(fx^KOIGaPbJsF$BvK{fg--G~r zR%JV7f3yz{CJ@UI%D`X4mwo^G&>r}D=XWoa-h6%Zr&mf5%OoqnUrSUl+oDp_A337f zQY|6bpwc)TRXEIj`|AkkQ55cWQB_&}@l+rn0&)1V&f;PO8K#b6Ne5KsAV-P4Wxs*n z)xX_^*^)@QqW??HLg0H~27vE@SP1xj$13>vZ@wph+1mZ>_k+L`$S@6*NLr&Z7w+t{ zP<8?$6*fFqvvtOR#lfqr`iy<<^6eaYk&{O4LFG9W&Yc%Oj(GtY0v(XN>3~ELGXN0O zH&0u9I{@%SzeoLU3w4W!1dK%s_~yZ*l|ZOv7lz*Aw1uF)*Mm!FOA=;xH0jrB0ARdw zaEBzynLFTZj@y|j{}*^%l3N6$FhB5+n*PY;8D;)T!ert{+ret6+vq~{ESTtI8?|QJ zJb%jhGg<3G(i=`?vVCt?CU2slGwqj3PmC-h?so2Fo7zu0&0HEA8^72%i6D27F=@qC zspLE7Gst=x*5fE7MBo>7etYc;HVN=--bfQrXlqpJ@*mRlD_&re4x#;GPqe}Ns2m5K z2PZh$=kw?jy+op-5-+pg)y4_ca}*$hOhdZ&$B8JdsxsoqkwY?0o3Bk8ZP)$PM7NGm< z+Hz6u4U2w(3#hh!4KW6Z28RxPdH!U(s&VUOHr~+3x6#xyP!s=MKRC?Dbm z-z^-)Xjk#zKAb|YGi=$Kn##FRybk5AUY}qsV@D-sC~L zi8nUFK2UgrS9Awx5tFr~-BnzDP#e{xhnzrfByhFzipK%)lzL}PIHTQtC7{0$l- z;5Y%zXJhFo9<)MHpjRok0v$^f!%?d^lm|Jg$QGCH%>A`*Dgc@TefiV!Ai2Fizw!y7 zffb((c;^$r9$wPM8pMFIaNxKr_*)-P^{DD|ASTbbYo!(SF9rli48Q@aJsZnF34$^T zwZm>u#Z!mfeQVL*<6zLV(P%iGaGh6D{s#_NG49C;7}yVq}hB7IBeE=)`3 zFFp}W)Mz9^0xvs^u4o8kDm(GMS^ie6;v`8lBUz;*fU2*ElJNUCJ;DGD`U z(iJ=Vj=&iNr3&b{q}`$DH#z~#qCz=iP#@^ncK6RZ0iH!L+E7=?pesJe2C5;9rHV-x+y1y)7-{lYZ2SEOXfJHI~smkt!a{xW#*g>^94wq9<0pwWtn;rsM z3to24|5(Fr!NBUz2E;~^4q&ASb+q>W{Uk`x3*vD6rM@39Hn6_44M|l1V*~X4e;yll z&EJg8Ps1`iqjS)c&jW#E|8dm)yHyXL8|fF{_1zHF)dhWYwIIiA>|mrsq4k|PSxsFN zy%)hJC%Z(eb(y!db~dIQGD~|s_53h`mp32fk+YM=3G_}nqC(S?xiduU#{{XF1z$mK zZD--~;=1uIZ8HuBp9nej^{pDt-p)@oS716569OkMDCN;PZE zc)z1{L+%YGo48n6RFlGR+BLL3HO^DX4NK9{hNH~F?jzxO+0$k>^Kp5hi#vQJgQfvGozksstx>|4#d4!2`o0z3_|`uBW=uF*pWllT8D4 z9F^ZBzLu&TX|IIpxi90smQ}Ya7tN@w_Xk#du~x-;pDjP zoh~X{h?z1om_d^K7o1J-P!=EiOT<`QCGBk`zxpl1C{0SoVD(ydNTf8pVs#?U`3i-1 zhfK(9xHXFA%|T&iIA+1KqCm{^@lDJcfI;PMX4fLhXExj>TQF{d%Co7Ds=ARL$ahc_ zP2(`wEPFPNsW*V-sN+EqMn^PG$?~l1l30)e>OAaJ-?gp+0`JlJ#3#wjS)B*TnyZk{ zisK|2^=sq4Vp*h1xpToqv<8|cIAujbsQXT#d@YBpG`@q4{52c0a`ny@qZbd-S8~Y8 zjyo1?UK+?>XJD)>AuFLi<7tMz(X{srC57a)zC&^Il{t2fb7Rx1(D>~E-i+jXZO%y# z$Lia3$pYI2t6E<CLNdn5>fR*(mwKeA9M?4!RT|k$GiHe0wL0IRc+K`ODzZm@R5`;`9mTiI7@fO$Ce$P;it5SsHTINxReV4dV-s{F)57(hN?KMt(rafC zhIZ$Yo4_A*R$pS-tjjAH;-wj~yGk)l=36Fu>mf@~OVD{WA)8CcnH8_)aOlSEOtthP zJ*Pr(?Rjr2?~JwpEHS4|gwH4Vqoo8IE6J~WcV6pv9v+U(!(VmZKd{TGy-O@c-|~&j zjN8alnb+o#JI;f|ohqT_PUz0DqWg7_`*O14bGhT1ffOe!ayt|R{!RscgODHBpCGv4 zIg|MsDG)W6u@Zm%EYs!K(a^1R5Zhu$h;!K9hoBFkpm@$(6GqQMA8t|$&%$NA$33E& zv^Y$D4{onpkbn}4xRMv^X_Q7+vPr~~Zun0y-%?N!==ilq5FZjctNMsKK=|>??bs?( zmpI9qhHgJEGVN09vp1mi@9C>T@5?o-*%lcGg}ZF2>ucF7uk$~Nc}w(;l9fLoJEHC) z2fcgGZVPO3 zpX7tqOb#s91#?UM!`7iUuQc5(QhB1Q+#F_DxkcmEPh;BSG#1nyZSLYOcc`(DkL@n< zKGw<^Eb~pZ(d=8CEJ!WFAFkG|iW$vI6*}YU;w-FO;fxhomk{?zUp7Or~fW zYBO9}Ea#f*zecj>Dd>B=iR!#T_AIJ`>1#{oKyZGGQ@CJP3YVdi-Ilpg*os5gY7cJU z6>jtqu1xRyu?T2T&F74HZ>%x?wiJnVH8Va-jXwEx=Sdg-(iDmh%gXDgn`gYF%H8RD zeCW|C8}*rsTygQ?YZj0EXN8Sz}K99z+o{tfLR3E=TzQ1WAW|;3wdGx^_vlCf!^ozr2kK|_Z=(6a_Oqr>(WNL4& zzE+>uxi3jP-J=m}4@eUiwzjtnE0k1?F=<{%UbLN!g#LCp$1WKoJjbl9_-xz9LjMrVz!I7bylrI z?BMF_-b{|Hq>VaYCQ_q%|Eo-T)pGy7z5mm5es9D$KF{zdhOq1YLu2XY=aYMc-iMCg zG6Lz}5FPcmg#?Bo*E~Zj%Hwx%tsH+F`el+);%wa5X22X9k62|xKPNi$WA!+d-dp}7 z#;OxLY*)dJo(b~krV^QZuD0bP20Lancq$x5t{TWGo5)39N`l8d3j%t{Grp+E6H2K( zF}gosk@tj<=Hu;eKr2!K-!OsSDqu7^^BEK?Sz9ke67YkP5!TQ3$QEbRcgb|l`18+q z*sD^CWIRno6T#I)Z;CKk-cL+tKB0IP4yGA&G zK8Jf*9^DllaD5~;yg@s)&OC29$nTX&W6m!xNmx5T)bANTg|xaF;%&Ir+Cw#VS)=q}8w z>+XkXKi%GTh7ZZGDyjG=W0n26o)eMYje12iP>#wQkvZRa=PEEWBpGQPR>(_+TJKAz zyo-J8AVInmwuIJfu?t^%sd=m@CEePfuwL*LD*BZVmV+|f4J zdAEmZwb!ZV$;iCAfeV5`*Hh<=Dq0>5Lv$vqC$(8yOHA4P@{f=m+NU10wQ)wH%~rKic%K?W9RlLeXgsbm^S|~Su-0# zz+1PMCtn<5uk?ikEBFPW+eWnT+9WL9l9%1TSj{m}30@a!jzB;jlWmc@VQ`O2!SYDV zI30Wu7K3>BY?8P0EA9wx7phgGii}5{=tGtB0~7jm9UQegTVJ#}lm(i~kjILq$)@8A zRkgl&+QA(aN3E)Zib{oy9&}8^qg28?lOo@TF1ebk{JPE_Gr{DDUTL}vyO_?b=p0$% zH0(UYp%kL_9)#?Fkehh%cJ942!3Z5=mn4k?3dd?#;%P32PMGpt`jF@6EwEZTS~b}R z&#}!s747LXCRdeojLB?`B-r@~7n!=*xc!=Y11ig2ii6fi*LQmrz4fa-2Juw)tMS-v zM_2|OvoYzFH1dxTKO|F6hN@$^*0CU`>M(Pek4>i|@gAafuE%{8a$h4s4KaMSrO0L! zPrXe$LNQ{tG?LYlm%VQw|4`wTiMrc)e(ej%v)-*0@9g2+Tnq?PF0ICTlBnMWxOyhnsD`=pUPd70X%AU3 zY15?+#tTSF6*6+0@a9tcJs_$TUbufih!rNXbc{|gxYXoGXrd{et{kU3T|-G3rrMPq z_z7J^OG&>34tcpAcj&Ni=Dh(tVu$dhq8b96Ol;VNSa2hWlKoH{hBtfkfj@3W9Zonk zogE)-T>YAnq+@2w3S}RB{JC$fdzL&aL7WqrFo$zolkT$xm7ralp4UOg$P3ogK@U z_?BBRc?D~Skaa67lcUu++QW#>d(pFyS5QETZ2P(oa8p^@m@Eu(0gX~_< zjf+~3NS0O57}V%NiGd?>fD0^^VMm)ivtXWe+~7gY%L2Cicd~@Y(oXi;K8MwUMAOf? z7Z{kvaz-lK5I3V1?)ka+irEeFkn@B)W1C9ady}!wzjq7qSs*E0Vx6v8a~q%Ke-1nO z&C#H+{l3W_4+bKnG5xzO*x`3g9D6FZ;e&iST|xqy9E8r5mh1fnJT(F?-wb{iA3tZr;72wG(%(fGqI0f*&{z zS7Bx6x{AlGmAVOiZ&hlF$+)MIV#K2wu6&O6kQ%#~Poy;ZEkj=_nk%U~6%vrG7#^P_`aSAM&bPBG16f;YsT18+m?hv#!*o;II9 zT+A=(9-U1#1f6|CpDH?DrA8BUt~G`hyvWUGXwX5T{C>R=7O^wS73%jtLv zvSrx$jl8}z=@ny#1yv`*kY#(`JSw%LEEo}l9@6njb>*-#gNZowM{gGLp*H3vV%OXp z;%TaFmH9%BEYE7s;zw%zM^<`$KII>Zn%{Xdv-8w-#Fl5$Xt+<}P})=_u`L15?4=?m zB0AoOfWzA6RxDaFb%FSGJstg*xJOy}ZExOSeaJzYgd9i;3>`@wDbh~T*-{C5Uhb(h zz`;+zl!Gy@;8S&t0@9g&M`*mIPOu@bCgXGYsoqL+LtDv{^=i%FO)7{@N1}8;&Vzq< z-t?67sBBkiZv>a?O5U4y#BWe_Nk57f2ga?1W?8Ud>^OG8uiqYHL$R`l!qt&vx2>z7 zBd)&dU1r2(_Z;P`*-L|bQL`RwXx0R@j8@-dt#?ofD=ecnP zAtebZV$5tcDG*B?lZJ@;IA8PNFi055dOvWZ7kwjoRJ8;>v0CR682v=hwLpictx`R~ zgloYxIo{m?smNv`C(7I1$aZwau}TRWe#GDKl;OoEe$s|?M0lq*3$c1#7BG#74Jrs=60va5#g?oUig;x7o-M?zzR$n^_rJeMsGRi}-klqc$)b`f0D{Vm+;6uX@AK z0pe0tQdjc60epKWT5VO5k^aXTZL4lJG?9Gs$K3pOnL6xZ*i&|+ycx6U^OBqQ=dHg| z7)YqQjEq-*gT8>?8{TcPOE(dtL)9*972G2+Nyecd@`Pu7V0md!Po!QK8&I#)<>R9M zsH1d3%6p#*dK0bpaM!Nn= z)4jPCP>&;4nLvd(l>q{rdZ+p4v?cR%JDh8!rOQi=?dih>YEGNGCrvQ!kKIk%TG2K& z3TC-ociw;9l}e8$q``ysxj?`nkId|CVU^*qf$cPfDHdbh3SW0&Hk6kYt~0bVAB=)U zy`(mcZ|j(nq{zfv_)z!ObINscCJ|{f+4xE=ZFe`&`P0(7o@*l49s$ala{v8jE3NVx zM=UdH(hkhDHEGGPhgg)SX|gdghZcIXa(>ria(?{$zDkA;#C2|uj1Jzozi>)2Yr|R` zaNF7j@f*{Np`2pZwrg79X-vQ<$kvCY3nYuQ#znI{&86K|=kOevl^=36sXR`sOE`8X za#eLJNHk^G-l*+&bU1Sq+XwZ+Gr!@n*uI-BMNQLs@qQWcMMNjZ?vt_TF4nZ|d$ZF` zP1#W1(fZr_OWK)L(E2fp5#{ysVX*ZM8!H61h_P456kCsu*U37gpKD7k4h1*k3hmVC zPxY<3D#l^h9E>KBUY!W&Te}>}%bYG~5}1LsXw9*jw4jb+wpubD7i`IcD(2F}21TkZCo(bHn;+6>f`_`h%j#TxAk zjL{;DMl~&uug^#z%t#0&&@{ZxCtR2OnAIdPz&}++KNOSEv#9f83s#cCVU>W(kSukd z+woahpd(0+e*c9^L&c@!ZYe8YmyopSt4xZ-SZW)7RqdGJ^%JV(<^UpB<=RjWagF*D zJK6QRs2T0$N+ovvUG=v*PT!a-I~<>xr_+Lqc34$`7xeDLJ>s;-TnR0UC& z>_=pHCN0jRGjuFk<`uf;O1}D8D6J*WTa#CH?}6lUJXdT&oNKcMj0ye>&8d07w~esQy=3HH z3OQnptZTaS^ufWU$Qcgm<(DUy(x;C?Jy4YMSC8ddX<1kHlTDwERjpq|@vOf}{UQ&+ z6MDI|y9YYiHaOq$uXlZTseDOywRX{(ac3lB`^5v6A>C_`v~MzAhzP{lWHOFpk0t%P zjRV^fpE2eByjeBgiy87G>@(a0osEK^E8M7eCywzU_0osOGUV5ns3+?+mPoTMI;QvJ z8pPOeYn}C3UIx~iuUfKoKQ^DLz6J@T^t?uxo~lnpyC>q~pg>k)n)4}nHwvP{MZO0x z{E~<1SI>Q0EVE`&544o`^}^#_ED7H`W>GR+(yELh##~+C8 zeJDC9?oKa#bU7o^rg41SOnX`knb^PIKYOQH<>GRO?evp}zVw37Y`S}7vvtU2-0_q3 znkNFJe&>%5dl+dE;IXJNj%P3XA*A%U;kGZIG9#YxNzdgS&$Y;n8~KVnbC)B)tw*k@ zGZo-wFTgvnE$=_LHpMLOvs1cGnJBRPG8#V1KNUOMq3VfoHO5ZYa6Lshle1;Zx7~ZV z>2oL4GzlBlX{sUbLFVB>!mD~WWTuKosHlElQ=gp-$_`$Bnq7_3-dH)CC8#H}1D&AG zCpaQ~*&Pe$Ti@Wi;NEMooMoi@@Y(pVP@ONRzR1BzFoKf|x3qP9Q{PWeErs29SN)QRVB@Nr3M`O_Oa4Ns9`HYWS|0#|IY!J%A?%2AktfCr&^msG9 zBHOxN93^OWV});*?+cwEuTN0=SYj#Ce|97nHH8_R6P*VcxCaLJFRh2<#qecj&Pr(8 zWM(Y>S@x~q*>O(Fi*zszie&M-AJxtrQEPK|@b;Ds=Tq7MQJOhFzgqrNyzS%thd$x> z2ouQtot2sivhPoc`?g%xn|3sBjSgTrbnB&o9$Q8=cBn{r48PNiY0Aw~?rpx`d;db!5_>)m zzRb@6!Pno(I`MpQKB^`y>!;dsc)irGs{C|8Zx~zf(kmh6vw*p)vC|QVu~H}5Yk{B! z*jz^v5mu5sTT+fz$W6WCcV%_6^WjnJzbu1bWsKY-w zks@9(^=8`1mq*S^D(?fCQiQFm-2nTCKC2py0lqz58hPnS3l6`1my=sv8tV1&Xcrk@ z<&#+rT~#sC#u@23M(l0N`~p*_=etg^;O`X6GCvzxa&`k{Ai5JnokphSbyd$7Pf?z` zCKHQQeqBLbH&SOZxCbgv9$f+Sm-fOC{<`1{G40LbvWZwP!efcUUsVBwO`rySfkG+z z*X;5w_q6zb(u|TeLi$P#sn*Or3P27~J$&hM?Ao*k(k_dOt0Mn&e&=kTOBf#x`nG=o zgB1|R`8Z;t)CHmHAHv3j=fl7hE8pDx_=&efLVbbB8{U`lcncy$!`Y&*2Vp6FBeF-8 zAHN;zE&9QE>n@IBUOvmNL{S;$z9h>0hBkZkTl=S>)Ox|<3h(jQl+^6_SS1oY(`E!& z!z6|M@2-mVyhku4jY^nz!qR$?Z&t((6`#1PPr|u~k{x-Oekk3R{|bd|!i0YyB2iqb zRO$(vhV4~GZWM3ZExP9ur9Mkac40XxyEm@m+oOIDEV<~XbnG^uf}2=R*G63DX2%cu zF1&y{({1Ro*8fL#RZf+uv4%qrH?)5)0`&gjzEoY1BK@zgU6?pr3aU8B&SJ&Py`>w- zP9$A8>B3*-2zObUkguVtjW`KJrFs}KA5#tF1NkD=zb ztt3)kS@dNJUrl6jkhpvRxiwGNGz~Uy96Hf9kR2wDmA@sx(062E_8qefqe# zKRdHlI&;L3bu1NZHRzIDA3_6(`Y`itkH$uQrS#9398r+mf9b8|L5EI|!;!)-p6T}Z ztK2on^pDDi-~k897`T@KT~6~%lfTBY8j7|!tP2TC7b!8_1f$28 zV?@Et`=F5?1`sho;3E0shwcFIM>G|@i5 zs=iZz$!{dPpuQT4VM)tEXn7l0H2HBkq6O`T0Y5IpdD4N&FECHC^5bm$$7L7&6u=xQ z;I{7B0N)CCJwPf`eRfpL{4zoNsmxOT3vA{4q#o@HMU7qG}wB{L?!dz zK(9uiV5#M}o^?(1=Sv`UwNq_e1$SuNBvp#h-L(>@?md3?PpLnQLc>&gVWMpT*<~i~ zrnWuH0Y*Tv>BpjBd9&LuB{H&0)nOG^?fDLMtfRbw#8y0=#Vmx=CP&cOpSLaE4itwU z0){&-6Mzq6jyLj}K{I2|8LvMv{`P#-#EkXvlu{2=;{NGPn$PP+P%rbTP}(A8*j4#v zcqK1w2h3FW(CvMI#(FU5`}<0uI$8W)`gtBC-m}+2bU+F?cyL*kGy4%%XJu;UgW&o& ztti$rfII@lg2SXTG#>i~UR;ynAG9PD%&0$v&T7lw&P@!obK;FR6=YOX8NBkX#ZGeH zIWFq9f9gUzusaG*3PIZb6fmfPKotUW?cQ?Ezg{ZWof^r^V5L zwh@JJUN=`W{vN>73-C=sp8qL>Rg#=4at)1bHJK<*Y8~_Q-|^u2+2aD!gKw-!RZFEo zRRtt~yqoqaY4_A8%*vL+`K<4N+{VJ>^gRvia3=$nQ?gH^OH*+6?nkMU#S|LqUxoQ= z0JV?*^q6wthnt0Yx?ES+pktdGv4IH;AZipkMAiX!FG3#O1za?R73z zEW0{p<(g;6>-RJtbqVWPye1O|CZg{wSk0t^U^5?OOXH{#Q08I>kD8p6Z(sbklipYV za$SpFZVKqw5UUc{VD|AOkq=LOIf8)^l$I`FX# z4)bxcTB0)vl0_dL;u(Uw&;{Lgi>Xe_4#8LH(8tf=)6+v_NYGM?9j(@i2{A>Lo`H246vvc2T3faw>Pn96YM9Kr+ z7whmz;cd4?VDg6+nrTocE1h3J0n8pTsO>_Ujw&#$yYxQjKf%vVG>xnBH>i#^&cFWK zZhs5i8VywOhyl90%YXdei)`$}gv@N3wqf$4J>=iPqV_es40%O@HoJwcmeNLsJk`;)=W+ACMO#U)|IVffWZnT-5bt&-F2O@&=m z(o}@e8!wi&baE^PnSUa*8Rvf)_J#m$HYjQ5ccTTSxNtveo>D}6FYktf2-9|t%s!Iu zA7jb%r;-*EqpIs=`GOrMBoWF4rO;eTAh&o+q3bI);r{Ti0l!QgvJb5a9T@$r9?<=TP`wU{e3-AIj*!0Tz-uTc&A77^76GCsZ1@;7e&oRK8>y ze@QOac(N+KOd&|3Op1JkQ? z=WZh}MNI;#@fTH`AdLb0f}x@0-@@_i(&1ZMv@AsPLjp2<)znpJ$4 z{wAWPj2~0{xhP-Vp8olX;BFY?e>!2HT+o7VdYI8Yz>ee?Hml8bvWl@xAYN72(0i(I zUY$6#KnRjZU<-Q4FE}O)7d4J}cuoDixy;e3BFksfjL!}>8wKy4{k?~dXb z3Mmz6G=8`r=mxfmF1xM{>kR8J*`-NQk&@_S5~Q?fV)$1GX1-JGl`PugOyu<4$LE~m zdESIs$$lahqCzXCBsTLmO8kxS-`)S;+QK78`-Ml2*~>oMWE=nNH>&++cAwB|XxngNF7u{7nq0;F(S}0FaiU?l4psav+XZ3X8f3W^PcB7k6 z*JO7YniVp{_faUbr{?jVpJox+pC))==cf{OGXd2WS?{9KQH|w}^yR8Nsb7}pNXMUK zCe>mdE2V2&RnR~DW6{G6+v6{X1XNU7|I%n)O}Ie-+k>+;I!VOMpw*4Xo9C})U5iAI zuzdVqmD_daW8o(|$q9El=s?i0M%7oV>uu*UT{ygie66Ajo~wRam2cmKWP{^c(A z-|!=MHVbxY7(ye7W)#~9O#MP%nD#LLEBt4CZqs|snG2qwbofxi*#<+B|2~*hE2J)M za0pBHMQszj)O$a4|J79Sz)YfUu70!+!xxOg;bU=VjSjZROk(5lJ^Xa&X^ZajdH283 z9W+skI}s%_GYA^e?#AZj=_jzHvD2{trmQnvD{eb|e`7(7qq*~@`M5v^I-1Tk=J6hA zkr{bjJDO+)ErLlv*#H>VvXN=6rfc(QUV3ZwVQ)prN3t_Qw`Fy|C$;vRe@H&)n|Uxo zT|O;;SPz8t+4roT#ht}q(H%sAMNJ!bC&l?!;XHeoc_+tkRkso`YsM&Fkwvjlyz?JrjGH+mzY{r&*$9Vtwee z%eiBGq@Y@%TI*G?h*^xt3$i;6@|A%8zHaZ@U^lp`@Xd!ld|j6EJ?*~2Tj%?}RV@R$ zRLS!!KHL;RJ){+3KhDt^<@w_p3E{qFj@`XX7HF)#dgv{=><7G~guO3Dyn-x65iLA%V1SNgUkvi} zOxM{RP4nDBsW+?KN5js$o6L-)tNiSOyJ5|E748<(i(H_{6!a%-B~q|QHTy_+ zErM&ztmWP&j5aJ%4yG`@IE$b@P07__XuOy5U#avdL-97#UXrzrSM6 z$_w$LxG1fY#AmchoqzUpz{yGRr{KB%`bR>lZdRra*QGJrxH}Kp;@{lll=ISdU2rkm zDXhE^*K9)gVzkwsb9T|ILf@9UGsXErw>4C`Rg`YL^-GVw4RvRl^Mz__pIobGewwq6 zukFcsk#g&KXL=*9WaC*cq&K*a)r)OC7wf`KH;?{|C*n2!@9(80GR^=&p%ML=?TrCo z&pPbY_K)Hw*HWj8YcaO=5bWQKJ*zu!pPjzCr zsnO78H1RvuJIPt`Hv?X7(7buKB5G0LHLh-%sV*%Y)g5z&4_%Ku3c~l;q2~8LmgzF6y}i!Q}T-D)r8&RqQz zUA8OH(OHgXU*%K9LNW#iR~D0%|Lo(NwK9TeXWDKbn%0j`T2y)F72||zK+0P!(`SA) zpD=v*LNr#SZTqvEHk9v~>Fz(D>egPh)TFSss&+e854J2yG|aH;>-^Lz`kmTk4>p~f zYk*9F1Fp%nI%oE`BI;fFEnbVZ>;yQJNB3e zist6kV&DPc%YSE+aV0%N0&(m!>pK=9owZ6QA&(=sF8Bnc#8>!gBYT%*TG%`WfJ0LyR? z`V^j%^yx0jg^=1|X5Wq%=_7Q{6P$Bk0Pu||sukGncs{xI@`;{#y7C0+`Hhr_wMN@j zQ1Tkbfh<2TIZF`8QNp5`IqAFg4F9ClB`>yY%q1y%>r5LgoruE^^Q zdH|Fk0;bLx2Kc|65S3#PmDdeP3%AGeN6_c1MDH0AtC@$jSvDr?IyL6E1{90JUSN+`s!<<#*o237q_=Zz;RY&uy}gsQ{;xD?3cG= z=$LxTDZ*t_;bXj~O0$t^>d}_4dKcOP9SX`lIQPvy9JR zs_PKTG-(Ha#XyqxfUwnP)O2a_TO5BFe>#(CI&Bia^_!{cn=PZ$xx^e?Uh85`{x0$p z%pado>z3e5=`Cb-p388KClFCjwsUBBg#&6x+%e#XD0@PMNa7fq5CZOKPV+e+xivZp z-3@y%mN(n)Gb|n6e@@hXCk6ARA(Dh572{!@irwgB!-PH#*{+14VUKI+2jwHyno5RyJWXuz?VoQj2I!6e)#8Fv{kfW&o_ zGob{%uS(#dF_7x+Z1;{kr-8}{#1bFFFEib~EQ6%8m&Dt{Vawq$gF8i5C|+9=$N{;? zo}fN^cR&7Z`+5@TT&hPbMh@Cownn;Ei{Q{C_~U76`03i`ne^93RxVP;iE>iPu_Ipml=M~S`%oulJVEGDTgf~ zGdHP83`Tt0opXC0V2Je8LqpZNyT|yF#Nh3QcmcH*py6tII{+_l=39Bvv@ga%)97a% zV3$o=a-Lm*;|m)qq7_v=p&`EE_Q}purVI;T;g#F><{Alp=;AxBNkDxF8+Oab*FcFe z?H*;;EJGN0$bgQF5T8m4z@kf#@77PbyCSAI7M)xxha?}LbVa|Qe{ zEQCyCri!zgZq9Zrs8Xyi;}E4}g`r z{7|wA0!$ZTj2Q$#`1yl?Py z{G8JXW!078(>0T20{qZg&7Rl_9;Wm~VKMj%b^}Jd$I0__zgeC=@X-B69dFXHq=C?n zkj`XqDnUb4RDtJwc0(rN$oAGm;G@z5<_SR%`l=8ThrvVVA=fBb;8}_0m|I~cA%;Kl zdb3;UR5iMDSldYP_PodzhRlq@x$O-~4dTYBwh8P0_9Jsagt($6d+wtwIK^8fEn`T!(g4;(*9T z>5-zWd4L>i+rf#LT>eeJbocy*YgXO6{!AW+#k6NtKq;_oV!``j8THh1QKmSteWm0h zY#jgAv91|1cT*BoB6!~S*xSwlf72I~hlE7}eL$U!e-3=;Ang{Ww)1r5Zc4;{0amfc z{~_eUw`4sy(VRckVYyT|U*3UWDmUx<5(0=Sd}=5|h=PvQ%kZL7?5e4VaeZUI9mDxt z>@40Ts9}kieveG5=coqAIhh`ajB^3L@N+?F zZ2`_}zqyBR-+mgo)s64}0P6?-up|c3a@sA{Rfv-6$m|3F(Q1U4NmW*b+FydqMh5rh zAu+v|7~i$>W@1LASoI(4>+;>HMjUm1t*Sdy^KVb!OD-RXi9?Z$P`0?8)|-Kz z4x`n47=i~i@h0S(OLMtk0pCo&;N1bWc;z&WP-Bf``k@^o$z+9QKBoZSX9o{NPU zG^XIp3+g5QlbewK%W({jES=7Fq|3f$Ky%#%S}`0k{+e<5V2?`1pdEep58FMvFlg0W z^Aj}Gm0)Y$o;^jL_Jx4dg@jK00*SA6oyfQnQjRk?vwe6~C zZ|OYW`IwVlk+sgyr_a!@dR?nOB|u&WYQ3-)**=kFD5*o-Vx@*4S*Qr<)PERi}n8TRP!q#GhC>5490 z9U(#}Xdtm>ah7%1|8y42kk2uZVVZ=3I>UaktlILwI=L42 zk=|W_ka@IqXTh{|yMx4L0pxRyxczp3TF!T%lf1hEX;1xWe_KZ3F75gKr#g|=-isz~ zU3bhNdi1L(=p0VFlaif(aNmpG}?9Et<&A zHvp@==C{yHzI|@XVO&6uExhM$J!URT@@ECJ(;N$bq~)l8V$s_yztg|_`k4}-ryla0 z8lT~qf7R%9xIj_h+=oUel7BhxoxidM7<$iV5XL{!BAMv-ecHk8a{Cu2Ose--+WFvD znQxH)Wot#eZX)UW3AG+JCO>6*nu=>b+i7_3`x**T@kVLC5O<8&XbnFYFmS z>SjHA&0sUeZD_%2dVhB4JV|BzaF)mV`LL!>%rnDtI#)nMjCob+Xr=}B0WnEE7QlW} zrdU&b3q}b9OS+OIIonM>{RX>830~e8c$K&3j6v9aPkCe>A+qO%)2ZksI24W!OwX7B zEmJi*sg6%%k-?_z;98maK<4EZ3EyGQKRH-kgYPwD?#6rXsj+KL{C{>AKDD)N-fjWz z0nEk)Ft36W2vMIC1E%%G)yL^~rq`czotpxA4ekU&+)6`Y4w)c~Eb2sx|Bundg80^1nc$;P~*%$sP&mzW`bz0>uCT diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/layer1.tlg b/gbe/cores/sgmii/PCSD/syn_results/synwork/layer1.tlg deleted file mode 100644 index 52e3197..0000000 --- a/gbe/cores/sgmii/PCSD/syn_results/synwork/layer1.tlg +++ /dev/null @@ -1,96 +0,0 @@ -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":92:7:92:18|Synthesizing module PCSDrsl_core in library work. - - pnum_channels=32'b00000000000000000000000000000001 - pprotocol=24'b010001110100001001000101 - pserdes_mode=72'b010100100101100000100000010000010100111001000100001000000101010001011000 - pport_tx_rdy=64'b0100010001001001010100110100000101000010010011000100010101000100 - pwait_tx_rdy=32'b00000000000000000000101110111000 - pport_rx_rdy=64'b0100010001001001010100110100000101000010010011000100010101000100 - pwait_rx_rdy=32'b00000000000000000000101110111000 - wa_num_cycles=32'b00000000000000000000010000000000 - dac_num_cycles=32'b00000000000000000000000000000011 - lreset_pwidth=32'b00000000000000000000000000000011 - lwait_b4_trst=32'b00000000000010111110101111000010 - lwait_b4_trst_s=32'b00000000000000000000001100001101 - lplol_cnt_width=32'b00000000000000000000000000010100 - lwait_after_plol0=32'b00000000000000000000000000000100 - lwait_b4_rrst=32'b00000000000000101100000000000000 - lrrst_wait_width=32'b00000000000000000000000000010100 - lwait_after_rrst=32'b00000000000011000011010100000000 - lwait_b4_rrst_s=32'b00000000000000000000000111001100 - lrlol_cnt_width=32'b00000000000000000000000000010011 - lwait_after_lols=32'b00000000000000001100010000000000 - lwait_after_lols_s=32'b00000000000000000000000010010110 - llols_cnt_width=32'b00000000000000000000000000010010 - lrdb_max=32'b00000000000000000000000000001111 - ltxr_wait_width=32'b00000000000000000000000000001100 - lrxr_wait_width=32'b00000000000000000000000000001100 - Generated name = PCSDrsl_core_Z1_layer1 -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":274:33:274:48|Removing wire dual_or_serd_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":275:33:275:46|Removing wire tx_any_pcs_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":276:33:276:42|Removing wire tx_any_rst, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":277:33:277:41|Object txsr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":278:33:278:42|Object txdpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":279:33:279:41|Object txpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":280:33:280:41|Object txr_wt_en is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":281:33:281:42|Object txr_wt_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":282:33:282:41|Removing wire txr_wt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":283:33:283:43|Object ruo_tx_rdyr is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":356:33:356:41|Object rxsr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":357:33:357:41|Object rxpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":358:33:358:42|Object rxsdr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":359:33:359:42|Object rxdpr_appd is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":360:33:360:48|Removing wire rxsdr_or_sr_appd, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":361:33:361:49|Removing wire dual_or_rserd_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":362:33:362:46|Removing wire rx_any_pcs_rst, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":363:33:363:42|Removing wire rx_any_rst, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":364:33:364:41|Object rxr_wt_en is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":365:33:365:42|Object rxr_wt_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":366:33:366:41|Removing wire rxr_wt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":367:33:367:43|Object ruo_rx_rdyr is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":507:10:507:10|Object m is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":880:10:880:10|Object l is declared but not assigned. Either assign a value or remove the declaration. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/layer1.tlg.db b/gbe/cores/sgmii/PCSD/syn_results/synwork/layer1.tlg.db deleted file mode 100644 index 88572b9ddef55c34a5b860adc067e21f3247003b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20480 zcmeHP-EZ8+5x>)iPm(2_lUlah*v;CB9V?dagXDeai~zM9$F_8V9os-241=MLs}p-X zl34O2T_B)10Re(O6lmZ2Qncu6|9~Js{(vA)`4jRSAP)f&ASh6Dc6eX18p)^ZIt8+X z#PLz2G{4#9?9TjV{OG-^6H&6^v!)dhJ#i|LOeTIuNFtFKgYQZB?j1?^$3*V~NdEb# zV=Qs~hmYsru4MX;3HVZnvVgLHvVgLHvVgLHvVgLHvVgLHvVgLHvcNCg0#Pz`_QHi^ z=SF0$yR=Ee(5ln0C&z9*ynXZWZSwf$O7%ABUA^kqWXoc;O^YoN*YO&IPu*Jv7aEQ? zbaMbtI$pHM1N^b{_uTfA>3^rcpZ)8}FJ}HTlb^0leU|zrb?HQV@?R5QO`I9`#{QoC zE?G^q1r1Z@!NSzpPO0<$D`U6rp}a(pkd zZ86XB>MIvJS570OaSll@=7d4Y#gK9pL*ISKm4H7-wjD+Z+X*-#&5UYGpfT4Ct^z*K z?*eF2037+miG~!t`YTj4C0J3Tgo=VN3ePI)`fm6k*L6fy*Iq_d-xWMfjAE>6v0`Yw zX~OzCN}C~G>j`4HZ*`c$ER^?B-c8Ga z68jkg#6Bo8{mbe+LRk|$Dq0yw1%#3Bj|vQlxotV}#^uT!f)VX3wb%!NIOr@nrqMmn zy;)TBo@lGb9!LWZl-p-@nKP(*60zJDi$yD=|KS3R-d%KA%QvGPli6)1&7HnBlY%|O zA2=Fu>#bHsFU1AC5Bt4ow8PBpk(aP(G`ip=Oe>=Y;$pCbb@h`ug;u8!jbJCFm2s29 z#lQ)5(NIs?1X@i=0aIG$9#sP|3?^Wv6$G&FmbCp`J0WGBm2i2`Kn%|_TQ-{2NjXD< z>w{K;^`XDmMLVJ7p}9PPUDjC^THw zDy0gX^5xw}Z4ZLK(D{(ZhE3mYxs>o2nT4)d^LbF{L55(RS*%UAA%>q=gwyx}=jIn6 zs84Use>y)ux1r*H75^VQ_oCweqcTJ){>MCpivN$5UsUn`(fMB${~wm2R`LJQ6oQKX z4<$KN{C}hq;(@=4|9>KxrsDtSm}e^f@2L2H^!VRrjSP_9bmbzC|4%3WnMmj7Kbrew z_UqXTC-2YvWBS|acc%W9`Z6_s;_2j{CO)5-7|)JB=&9zH zMbYZTWk~c?__@4$KZu-WoH}8&?t~HW|Leeg)v34cHna@e2|01}M0?7qbc@t{FN`c8 z)^i#1*e4B211t-0@N$hp$}I@oc6=YRpwNPZZO;rCh1Ag&eJf9VZ{Gt$+#G=Ovy3*K>mDgo}DC9~UL@atBn$kd2UqcXr`B|O6 z{6Nuy*w4>0+5GG!3H+>H#9a>;2l!cbEPnPP8eK3ys~3^<;9|g=b@h|V&k{r@X@Mc{-kHMQQ zT|iya+}n$*n$U}JK@V7F&8`SC`@N2)3gC;IGD-2f@#737|AF=vtL?hZW7UIPf#IR?SULJ8y z4w!gtE#TVPtK^bi9aZ-6MsphU!H$`j?A7vc@Ap-?@WD=YQsf!C<`bHC<`bHC=0wW3v_H97g%1^UDb@D zpaiG}i5$+!fq)JFJuiU1ufS&!&+~>v=XqVo%5D&5J0`?dHHZM99mouy=#XY3Jn0!{ zJTv4bc8U>fIphCv4zXN!=vTo7=*RQwF%9nw{t(pW(V@hst;vBIQ$mnRd>)uQ)9d=% zGGXTBz>F(ObC6s)bTnHyn%yYbtVtWqdXB@~n-o21<6_jDQ7PmPo3t@Z9$r(dqm_u% z&dM23jK{Sc#^Z;)o`4ieo23uvUNQqP^}V-nK!x>k4wFtj8m7;`aK=gFKB!N`^k^r{ z^xxY61_pWuu)7o47~a84J)3v2(oJj2@u3SC`e(c48?o!zhHh5g!it^3(8wAt@m5v~ zS}M>pZ7{!yOjP6t#HCx*9k{5y%fW2-wD(QqT^~`lHceSNDscoBsOqJn?%4_IkvX+RWF(BFHTNp>46?G&0re;e}4 zo88&(oA=q-Aus!K^6{fArh3B01BW03ZMWApuqnNER=KA(J{Hn zJe!_tFuNZ6b(h($Z?_$4(|~$*q#Zs{n?6<3XXj^XPwJaH>Br~wz8&{GIy*DIQ0hs9 zD4qz<>Dw{am$OK`jMlxYYq%zbmqkqIvgQy zj6b7j)zMLT<)NNX<~96oB5$ex5y$V}vz|qpG}METxn{VmFVW=*-C>J*#|*8O8BXXH zb)3zu4x?w2t?{LzR^7ErUKtU6H<&}=DPEICJx!&r_nrs1F(1L!?t_qaV&>8)Hr*iE zW!#aq>d1(^vUj61J_sJje`dCHBZheWKFqk4jAKII+8dvn-!<@>MBXE3$bIF{%FmV` zEL|B6Geo|-yzAUUqu07PX|MMavWu+&TfXY=WY=(SxMGAoIi(;zC%=@0adZ<#Uky-C*$#LJk2tduXKIJ>CF z&(!YA_*fRg3hB_ykj1SeXiiNxLZ@xP@fZQTDQ(&iZ=iiglyoCp&!SdvunpG=F+yWD z17Rsn)N8^(e(y0EqAKfHrX4cVGnylr^SPJeXo#hL_h$$5YCaeRP0hR^>q01BW03ZMWApa2S>01BYMog$zPE0X+=IwUF)wJd5$ z)Im{;q7H~!5H&BVCTdR9tf;D}3a^^1Nb2bifBRUI)*F2OpC#udnD<{G@4!6&{GFnV z<3s@zKmim$0Te(16hHwKKmim$f$b330c#*Cm3z+m;SwxjvO2H=1U~K`hSdy}N`>DW zSdk5%+B*c+BvdNH8+T3Zgp~!A-Qt;ixj^JNb<2nUE$AlfgoOe01BW03ZTG^3#fTT&a0}X$OTo+ zL0eI?ima-lr9jOn86^)pDfz+x#HwUfB7ehw0IZQ!a*_N z01BW03ZMWApa2S>z_tj$Y+qLMqH4S{DJcK| diff --git a/gbe/cores/sgmii/PCSD/syn_results/synwork/modulechange_mixhdl/layer0/modulechange.db b/gbe/cores/sgmii/PCSD/syn_results/synwork/modulechange_mixhdl/layer0/modulechange.db deleted file mode 100644 index e2728388fac837ae3abb8d8f704c0333b62959a0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20480 zcmeI&y-yTD7zXfNc8>$}ZnU~sV3rUAL}Vm<6gG%(8a|8)SV=;L-MPDw{mSm#DRy+m z(%RnmC)n6%j9OVyS=ne}LPbKXbar78f;3wpKAYToGrM=s%>4GQnA|Pin-`K>wIK4C zv_`aZnyzctElblhLp=)WksTeXVP+lmtRH9}*EF;%KcAM=RkM6wBhSmP%J<2o<6eyO z5P$##AOHafKmY;|fB*y_@Q(>BnC+FmKAlUp>T)k|nl5*E$bBb1)G16YR413J*3#sS z`Kop3QvdClX-oKW(7L(X7#>9b8;n#xQw;E+D2>b0KGpAI2*Urb@V0 z&jM!(`IqQ7Jst@=i<&i6RL%_hp<->{go!>&%D7 zA|V@D(43xcR&LW)^P$`h$C}xPi&oWd^ZTC&=0t+|v@Rr-(dt-iQ^~zheGNDbqu?R8 zC5`Kz5cKZU;&fV)IW2#<_$}H0>tshGJ7k+|k?-Uy`9e0yXYz@>BX3U9*Boh=CW|yJqzs%0GcBHkK*7md((rTvFNNZbKb)%^M-ES_x-~O2F z|J9%VaXb{dxESWqOSqN^&@R!wuDQdTH!ky^DC^kt$_HSn#Ns94a2bXUeNnsyQC}jprMcEQ2n&3Wz2nlF{XR%(yl>XmR^^3$@SAN#(4-p z00Izz00bZa0SG_<0uZ=h0$cWId1}h!%BlN2h`hGXJuZ3ZCC3_trLC34ofW#XcyE1$ z9_yOkSY4(fR26!^SDATc70VM7X7|oeP!Tqw-fdaU{zJIz{2&%@@|en41Ww$c`@B=3 zzNpjGiQSeHSE$Q<|92}D{K=p-vtKBdCnwGBcs_PR_*~x-HhD5swT_KG)G^)9;Nd5Wix;i%Rij|x3GTDCSXjvW}} z4QY_8r4Oa&rK)aXg8&2|009U<00Izz00bZafeR#)NAg(zoG^$ra<*80+vTBRQs?UNM*duLtEP@M z_V;f6SlpEh?cNm3Zs`ByyI1<594{75$eKbi71tLMmnj}dJ-?jEILcsH(hP)P50f8B5Iy*+%Nt^f5$|JWb^0SG_<0uX=z1Rwwb o2tWV=5cr1$u>SvtCl{$f00Izz00bZa0SG_<0uX=z1o{F$0Rj|n!Tj^iv~ ztz(^)8a5VZ9kf;dcV)qO`Qyt9M%C87I{4UP30MM_fF)oFSOS)SC143y0+xU!U#owxgYWafRw6Ea{bPMO*w85jM_-ZbRW z(dXiq-~wlIVV+la^QF5>&f59v_tnnIx0T1(vBeUw1S|ndz!IHV+a09IX1Lu7x2u=e9v(n02VC^5$g_8j;m@zB8XY3fp9|- z37QCr4aEmQq)9=7#;&nILoC-XyAH{?rCeA;^IZbG|-Gk zG%I5ehC*C4%Y3r!j^7NuMmRS9@bFBGKmWPf{Y4meD~VCY;(j-hr!H<6Dm9BYuO{%v zYASP$noQ1DbAJKVwAoFv@f)3>k~6WbxpW{s#bnFoYYOV9iR-JH{$>(;e%MBGq2IYG z_C%__JO5C)+Nd%%rGn{y9pb%z^z?lty6yi#lHqBh+9Z?o@_Z!NK}kXAd&5J(EXB++ UJO_fOLT07mQV@fOL{GBnC$bxiU;qFB diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_compiler.srr.rptmap b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_compiler.srr.rptmap deleted file mode 100644 index 1ce0348..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_compiler.srr.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synlog/pll_in125_out125_out33_compiler.srr,pll_in125_out125_out33_compiler.srr,Compile Log diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.srr b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.srr deleted file mode 100644 index 2b5185d..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.srr +++ /dev/null @@ -1,265 +0,0 @@ -# Fri May 10 15:07:28 2019 - -Synopsys Lattice Technology Mapper, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 113MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB) - - - -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Available hyper_sources - for debug and ip models - None Found - - -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished technology mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - - - -@S |Clock Optimization Summary - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -0 non-gated/non-generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - - -Start Writing Netlists (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 106MB peak: 143MB) - -Writing Analyst data base /home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 143MB) - -Writing EDIF Netlist and constraint files -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.edn -M-2017.03L-SP1-1 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 144MB peak: 146MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 146MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:02s; Memory used current: 145MB peak: 146MB) - -@W: MT246 :"/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.vhd":52:4:52:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) - - -##### START OF TIMING REPORT #####[ -# Timing Report written on Fri May 10 15:07:30 2019 -# - - -Top view: pll_in125_out125_out33 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.fdc - -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. - -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. - - - -Performance Summary -******************* - - -Worst slack in design: 10.000 - -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group ----------------------------------------------------------------------------------------------------------------- -System 100.0 MHz NA 10.000 0.000 10.000 system system_clkgroup -================================================================================================================ -Estimated period and frequency reported as NA means no slack depends directly on the clock waveform - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise ---------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------- -System System | 10.000 10.000 | No paths - | No paths - | No paths - -========================================================================================================= - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -PLLInst_0 System EHXPLLL CLKINTFB CLKFB_t 0.000 10.000 -=================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------- -PLLInst_0 System EHXPLLL CLKFB CLKFB_t 10.000 10.000 -================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.000 - + Clock delay at ending point: 0.000 (ideal) - + Estimated clock delay at ending point: 0.000 - = Required time: 10.000 - - - Propagation time: 0.000 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (critical) : 10.000 - - Number of logic level(s): 0 - Starting point: PLLInst_0 / CLKINTFB - Ending point: PLLInst_0 / CLKFB - The start point is clocked by System [rising] - The end point is clocked by System [rising] - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------- -PLLInst_0 EHXPLLL CLKINTFB Out 0.000 0.000 - -CLKFB_t Net - - - - 1 -PLLInst_0 EHXPLLL CLKFB In 0.000 0.000 - -==================================================================================== - - - -##### END OF TIMING REPORT #####] - -Timing exceptions that could not be applied -None - -Finished final timing analysis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 146MB) - - -Finished timing report (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 146MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 0 of 24288 (0%) -PIC Latch: 0 -I/O cells: 0 - - -Details: -EHXPLLL: 1 -GSR: 1 -PUR: 1 -VHI: 1 -VLO: 1 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 33MB peak: 146MB) - -Process took 0h:00m:02s realtime, 0h:00m:02s cputime -# Fri May 10 15:07:30 2019 - -###########################################################] diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.srr.db b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.srr.db deleted file mode 100644 index d0f80ffd1df04cd4594b02884d486c3b76b65fce..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeH~&ube;6vtOe8pkAx+kz3H7#=>^5dE~I1P4NFS859ib!7nQT35C#G->g=4DTF}CEwf0P-M2IE$LD=V?4Ca#bAu2{l`;bd-q&8G z;ynfMJg-`QX()~g{oX9k?O?Azc2vE_Kfl&!R%Poqk3Q~j1RMcJz!7i+905nb5pVkh-TlaVXbEf>5sRxj7 zadCZBPPsN*aPgnX8Li~P?Av$q%{#HT^~ct$dw<M|x79v!r;|PjZAt19&8);v!nlr_-j82A{lFw{4(jHqb%0ds0O3r69kIp7%Am z@{>G3kRn-t!iZBQs$pbfeGVBju?D8K^f@yaz#DF2K<3A^IN)<0Og-0~3IntmG182wB2>Bx3+d=41+(VNDoG1tAyY|7yL?6QdtM zt`SU(FgpF=2<9jPn)!bpt6*hPm-Sn>>&xh}|D9#t8|=1XnPQ6tiZjuQxM>+R>ubM7 zoghaBTbYut(Kjs}rJT32B$;y2>Gr3TUpX8ce8AkC$HDGlzkArTKb`I|Rd+FyZ(;c3 z%hU0AYyqTPYm0>0S`_F!%Mwm_%SuxjVA6yFUdk2_Gip4$)&PbfB}aW*FJOdep$oDB z$jrKVM{=QF!`^dh5jP79`V0$#`|yS-LGhow|K%$yk)7@8@rzEIv?wSK-_Aa{(g}=s zg5a}^(G4c3Q@P-zp1i0az>rZ_=-txFkz#bR5v=65{O0Dy{o3W`c6Ic!)9w#mC~j=g z!|zAb*aQ#Z^Rz)P#8j;+`%p_T5UZT>8@Ar_BBeoZLL#!XEJ#UWryaPKzTF*#$bS0y znD}d36U-0==nQ=xQn#9Lnjj-BYBXSzpk8trhQN$bd`3!cLWyEgwP9b;rWg$dgR7l5 zN2P6W6!txz9PXXSG_@OHmwZmk)EXgwJEh%^!IW-IBNV8LtKvUHLuYrLYpL3TZgYQC g@m}Rtz4q8f?)Dy?<-+#e&L8v|Gj702t=uL50tQ*nKL7v# diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.szr b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.szr deleted file mode 100644 index 353b988509af53fae2da283282395013cd2eeff0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6132 zcmVej?WO1+{|;q4bu*{y?M>VFfC&X6OR`9w^PTT}hopN!h>TrLh3;ve%OZ3pR-w^l zs2J1%GJhY6evQQ#Sg&wPD-0a9GXHD4|=TkNfH4Vnp@tg`qVp|BV z^ivu33(7+oCQLBHRA_lzC?i81PqTJ3zC54%@1=BLxC-&L`MJ3q&%?49bNpnmE4IOb z0Tr(nMgNseQ*ez`1~E%1hd}65jgtT`%7*3Iv0!uGK_gc>c74FM3vN{GOsW0ILPrN3 z%R^R}RIrqXj?14dWnrlb>_IBVk+Hk31YginuI&a47 zW(Q0owPnrl-(l74z35>3rGc0?8gnQTb8b2svH>(iOwL;b+3h=T~@7X@D)vvUP3IN*3IUoC{it$)!p2W(3_a$=*ugBI2 z!)-kF?NL3JA_@S84atR5GA%(UG1i@^Ic74id^pY7v}h0gGku6fIu=4T^=9nb=cdDH zyWc2)7I|S37bp-sEc%kip>bLvL`oG7nCpbpqD|5FhJ`Y9KjSdMf|e>p(;jL!2&u-g zP?iho&r2c06g?6;cCa7GbexV$gQ!gTxoZ~<^vGDIg7cw}<09s{fI~in>9ipeabciH z5i(`r@gW!mM;oz3=q2#bF>nw|?dg<5tQ$OW&ohX!tes^H8a5OU)BZheCJ@`t;xBh0 zYxneJ%u`pmHjQIwa643dAQb$Yd@#sEVHww9%5A5e7sH2mmr9Fq67zOr2omv@8smzG z=82F|$^u=a>0vvHc3MhkPzdyc`{f>gv~rs0Qnw%=$<*&pY`%$dGh8I7{)V_a(oGM z(*6DiP$SKRGD`oU%0AwWxdEG+8aE}d3)RQx0Kd0^%m`h`=iB&3BAj|~HLmWwEcNA=h9$2puK z53_5?OK4sK(#fN2`no&sbf+Zk$)I$qf6Aa2oxtnH>&xb&EP`E|qsO4ZwkNc&Zm&t8 ziD4JcaL^_OVFXZ1r9uqG5($Log=Wkkc(eiMEhimz8_xsQk`Sa?(#HV>JJM|pCPuCe zG)OTBfK$kM8bh8t94@|vN$4|<*Ifaz(V(r2mBOo+H zfGbDkN@HqnW{;-rY_V*#BGQP6_bqVOa!6W`r@d5SoOArbY28c&j<4W66@~(jv#j6E zvZ9*-{jLYgHxsT3K*f;8D1N$GKkH^i_FYDySaFGd$o+L^bB8?F_4x%>XDI^HpGPOF zGxCf)o2|OAeu03=-`2I(s?^wIV}3aR#R@Lt_U@Vad=BDmPlB!4q14rQIJ!!h0p_sw zY0;B~_Z|O+VzQRrzLlmiGQ2e55)trAB4QH=%1hn&QD9ZoqIac?_sdP^uKiVNWQ9vb%{ z>lRtorCIhJhgA`v8bw^jIar6*U{M#cvup(@VQSTRb9F)psqi2%>~yS31bI;+$V8&} zDTO*Ff_vLoLhp@&30epQ#g}F=Y&zG?=;}K}S|I};e-`79I~*qKMyqIg#vwIO+nkdV zvgQikF4HO|`o~p1q)a9u@-pMfhA2&nSn4oBFwe*5mp z-A{ZwAd#Y#vyRC?k+ts&#-EnQ2~@dPhBQIBR@E9YzF(R`PvfvXjVqpiT9s+WjFl4+}$%;!L)6!j8|`XUE_<;#~`MPQz)6g&u3G zf*xbG``qpR9cO>t_Kr(Ef3mAo{o#uO=5veJB&(|a`wX0ZxyR|3XVZ$)uhq~9pDzPU zd1H9@Fl=U^Q=L|(D z)KZo5L5y9n29q)*NE#F?6&v@x`Y|kdDtLjaIcauCZ+(OWQ%hsiz(@Eg1d`O!1tYom4u!nB@b^ZgP>p z8<|@e1I#EwPtQX-Mvm=PsepsE zug~?muP8@*{GYN9@**NtQ_odfo(J^1$E#E+ve-s{Q-H>jA}mj%&k;Y--S|1Dc8uk% z8*lq!u>kh(BI9#QeN3zIR+C$-jCmYcay~YcImX6H7Yd2o3dAgs<~t5>M`+9ds{1Ki z-SXtA{vu=Ya~U(an51~W$|-Yb?rdG=PNv_}3ag8BB3Y{oe*FF(#lhFwkj-hNE3Tc) z77|jnHmG_q_r9c9`U}8X-qtSq3hT7g#aIg~7;;}j3WQ2q&m-z_ynxw+$rO;E42Ukc zmy%-fENaG9^Cg%xoG1*$h4iD%qV`-9`VJ#s*@m~g%AwSXJG-mRa7?$_qJe0oO9U%4 zADnZe1DtygCa3pd^83rm&$oGSvUr31AuIk!;8rU%VBUSv&a&Iw+Dd?1!Pzy`R|y3f z=ekQEyS0gfl%w9LYMDZUIZeNaPktKr7OmEsv&oy$WYRrCL_#)Vni{SxGFI&84v>Rl z=WR2fIc01!-*a!wcHZI5GTs+jN+^S!=K3Oe^d6ti@9XpO5ivhf`s2o?toV`txQ)w} z`O(&XWQ!c}7}gap@x3TIN#m1`^9HeOOwAa3;cHe~`t%@T0xu5o=4<55nyPZ2eluLc><4|v)9y2Hy46wcnIM&asPq8@on)r^MHOVuWb=UKs zqRR=q?fbgX$EpOjnO6r_GUX>WXf<~@;&9bJ1EZ0T2AF!V#-ujoilby)wz2={A`X;c zmoyz?Eu&<@z*KX-ZN}jo4r6%=(O1*5JFVtWn@RMww-4`QL2F$9H25<0!!z=%)q}Uy zI`w|toI|T{h4FRu4IXgan$)i*uwFp#3VOd@jh2(u_2_>lv(XhS>ql2mpZMo)$ZsUW z+^$WdWFe1rL+W?)!C?KoGUJY)0zHX`uEK1#y`G*T7t0f0W6|e2=YG8fI2Q%u`jpU< z%s}#NMC`Dt%qeSup3< z-l1B1CtHIZ-N5YX$w%1h=G%(9xb54#MhibjfH9E5Fdbef-mTVJ+XuD&sh9Adlw6w3vIz0Ik9FtVB*@f&zQ^c%MhvpWR|;JWO1I`!+&#ou$EUw!U#PdC0)nyHe*j8P0N15cPS1H{yq$wgm_=MS~} zka566hNG~WCGB%y$B8fsb#v-`^=mI=tR@3DM>}jQPEq ztrC7Eh7=1W5M)&2$%e~wY`@fdhUp1oXkVKQ%CLfHN0bM9jve$$V; z-A?ne+3j@SM$I$7PH{ev@YB(&hdvqk}X^a$Pd<~ulPfls|#fRYKbb2^G<91?!z`gmByT|Ce63a%{s6IyR(;Hx3#gzx!)V>{NC77u?Ba{$wqbV z-{S4QzxULylNsPv^+69~rA(X)KeyGkH9yU?Ot_QA6&fsW`QKFCC7g zTHo{Q#}pa0TWV-WSw`^R?Af#K1XfLWF@H;20rsV+eusioi>X+G|G@&yfZ<>*?PD%x zQX5_(zYSriw24ujh@r_F92zKJwBP?g%gytcv`T3I*?}BxG3q}aDar~zr}tO)_7B+; z7HZXLW$)c}Z0&X}cK6I00PBqBJkWO2{@VFDK??z(bCJTGx_!S}as_hpu?3qoOMe6~ z!Q8WL`*)Z70NgeC>8mWCb_Aq_xaRNKBa5>3DnniH2TCe{>-~G)*XYR2bNDgap5M7g zS%9JMe{Y1t#Y|R+v8~C{OOT-3lMLymd0@Nq;uM(Q=Zje->GwLVoT`BNZa90}6f z>}P&=yseDp6oM5h#sM_n+s(biZ>IO_8OG~)v3Xh{w}Ag`o7~*L`>elDFpj>|vD;4r zCB~VK{ol8U_S?FcZt?H?xyNU)-3Q>ubX(*4U+j5~IrJ>CwJ$cNz1V1N@%%P|ipDCC z@6I>Ns-vb_?vGeLIl}N;hm)*rf&mzx!v3QsfkX;;;Jl^%;SfRfx#s~GkSpesM zlfm_EzZDjGeU9j-CDsO*sGtvqr4FSF)>0L}W8yE{obJf^IC-KH2W6^`LEBgvpZpB# zV}?xeU6j*v#c~)h-yXWU!i_Dfb&o1GW4~si%j(^zF1XJ%NvsmiowjWAoad5uS}l`| zxMt2K{<%?7NLT5rf5M^A^EnI|ZFIFipW^o=`1gE_`3QT$tNH?SOQj85BDcArTVdpB z@z?LcCrFEs-F}&+mWo}_>bEJkYw(EkL;ZhmEO+$GZLa#*1Bu-Yo!?jU?wTf}?OIm# zvCfewQTJ7!_Vbp4?E3=XIW=?%&r@#M{l94NmHa2Zzzhw;Cy( z1h)c1FIDyTKFoN#d+xsrnmvv7smFsOX4xPMy%^DqjjIN>nzuSXLdYzBS}qVQJB(D< zf9);S>}>P=`&ugU*m-cY5JbyXxBGewl9BdW-_Ob22NJR*#P9J?QYX&u0s-l#C91jt z3SI5(ipaLq+tb)fg>^(V4|m|$)(HL-<$cTlb`5oZaroiw;R6UV0{`0jKSpg82!nvd zuOj}_4gR}#zLF_8jj^~o*+A%DxB73po*x@70yQJjKUE! zs_=H-)>t?^6JV~b_F;lbrQfRo?Z&O$pZdIl<3CjvGljCEdvbVOyL!%_@AmQuM1U<7 z3NkgFv;Nk%-G>Qkh^knuFyT|O*ZDD87Y6UX z+}hSO4u13OTas_dZvP<6!gsv3*H^^Z-p4yR+QBu-sbQ6cQ5&}X9H2L8F*ENMW=NGh zxGffE{`bk$KFOhMcm~lh2Vi@A9BO?#q`~0Qucqxry`b7q`+G z-O*bwem#TnJ7ezWjK=$RX~3T@?>`akPRI^-@UCn5#2&vqV=^K)D6dqdEAeU6aNV+sCO z&;v+KDp0@^J(GOzcZ7-GkGF~Y#zY`*y)T=#) z1Ek$9IzVQSTtc%6FJ7FUWd9(&b*S#dbD=@X87<=#EE8MylF^D$#vKwz0Fj z2hs1{R(&7u(HpX??{hFd^Go;D=)Gqa^E&#e6aed6b}xwSN>i6H+)u2+6NI!)a< zmhK<+LGx35Fg=^T?|;-6j!R3usX*3tYYI(2qrr?&kBfJhgQj1I$rUO1&3$v*3DEQgKL*xDXO@ z%d=&(imfwzIG4G0Jf%J073BwWN1`{~f``Krs^Nta{J wY>_tId!-qqw)j}S$WL3iQGJ`s(T{)t1Rwwb2tWV=5P$##AOHafK;VZ3UKAiP82|tP diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_premap.srr b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_premap.srr deleted file mode 100644 index ea5d1e9..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_premap.srr +++ /dev/null @@ -1,63 +0,0 @@ -# Fri May 10 15:07:27 2019 - -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - -Reading constraint file: /home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.fdc -@L: /home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33_scck.rpt -Printing clock summary report in "/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 103MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 114MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 116MB) - -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist pll_in125_out125_out33 - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - - -Clock Summary -****************** - - Start Requested Requested Clock Clock Clock -Level Clock Frequency Period Type Group Load -------------------------------------------------------------------------------------- -0 - System 100.0 MHz 10.000 system system_clkgroup 0 -===================================================================================== - -Finished Pre Mapping Phase. - -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - - -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - -None -None - -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 56MB peak: 143MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri May 10 15:07:28 2019 - -###########################################################] diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_premap.srr.db b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_premap.srr.db deleted file mode 100644 index e1a8837f299ed545acca0f4cd473ad0ec3834f52..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#F;Buk6bJBYV`L(@7$%YzCP;)tC5;J*5eZH>h-?f^X&>c;-jVh+I5*e z*5_;4c6pUIVJ`#(AOHafKmY;|fB*y_009U<;GYW^uT-toymi+^(F8lq_ zpf?`SxOY7q&~CRO6MBmBcpl{^w3KSGueL4wjfGS{npgHssZ7(&=6+=&Dj#-1KmY;| zfB*y_009U<00Izz00bcLSAiG5R4uP3$Nue25Cr|Dju#Yb^~8B0wIWt-&QIFZ=<6(t z>~LN$*o1mz+-YkjpTjgVoP_o$Oj&Whsbcq?f==spd?zz!TIKqIV?#5!?;BGmM~ra|UN1SJGZ>2U0AUiFKv19>LPC~HvJe$D?eZDEYA?B&JH zaQ*-Q0RR8QSZ#0IHWL24`W3xUK;nb7q$6dkQ<@^)p}2IgB<(4xeh3P|w2BxKZ{>pV zx(WK@ZzLzVyVeGJSEnIho1!Vsa9*ByMkh(4%DB)xzNkS39&M?)H6zzTtm2jl$3X^f zxd8t$C!DQmclc@ zR2r|_CZ$D{IWSSAxBrir4ce5kMv@)C>N48VOgH0^ZC4Js2-ZvCfk#lU9TR-ifi&>4 zEwq{Mv=qf^XqDhy$J*4y0hmO=vgob2!K<(KtM~2Aa)mbYZd_P+i~HsJ`xVI_EtZgF zI}DB8*puvH_r-c7?Xp2AWb&@BSd7ruEWAX~C84B#)U^$s$EO%@oL}l^;=R*_u_c zY=s(TA9jQ2LVh~!sj-y4l~OOw?cG1@>rT78&H}P91lz<^qXZsm1tqu9XbO>0^R0+0 z&K%j4d~XF;KE9@F@W4jx$+UOLO*u1k7bXVDruirp_v8^C#hrTiu8kIg5BWw4%oJ%0 z@|12J)Id7j`A*iM(oi-J9SdBrJLN%_mzhE5l&Sq<($_Q2@S$_;PW{@hbGchY^df^M zzUr~)`@HYTR*uVu(cvz+dDk^qy3Z05@kA}|(WRAP5HV-0q5ML1;wg44GM2f~wcw*? zmQlW_$jgVfKg~}Tbo4!b@F7B8vWSqCr=Xogy`Al&IBV$j8QV(WTG?Q_lILyFZ}{$l z`P6K|GQO1rmvR7Z1gxANPDB0>XZbehGKc0=kqyx7(0511 zv)6vcXS_=X?7EC_?z7lj89CmUE0hl*x1M2)+4i-X^_$kv`b>LYuCRE5Z&t~-$v2h2 z<9djf;r;shS$v8R#b6N1-8UD>w`Ua^t%dzXYK>+TP)F-awAL-g6F`lk-iZsWZG`e2 zOHkiLO!pQEii&KcMat0Y#7$eN`@kAWeINR?qW$4aKF7anM9|>_dByvPp7zah_=Xiboo`lkWy(DmlvhVAv??crid%jl?;y~7?br**S zl@Ul$xA(^gm0e&#^W^wxLNdxx8#F|{EEyS%Xh6&AfjGjQx!Mpfc2K-p+`iDZ+@YJYLF z{QdxcCEjj~9?@LjE)!dg#O>f^e!FLPv)irl*O^O`?@mA90&IcDIZ%i0%hyJIr1Yc5Ve`&f37v?=2*y+r^(kE*my=^BK&}1tq7L^ z4)7TeES!TT=mhCY%C)M$4bkU4L&8i9=Ncr!ME%Md`zrK(#rpm^;69|(o!sJpizb37 zD(sJoJ;5+6=6Sb&nk2XRaTY&e?VN84*MS0GkOl`7DEG>_#`XLAa%lgYd>#~`#y~cp zUojdKRk81jV?qs!i)r2+)BO{BB;o`r+LlI)WTl`-jSY!p51yx8QGCkN3z|=PDsMCp zGyp+X!}0OV6((%5TnZ;N^^D}vpvs(g#inm}?1HCTL%e~3y1^BCqI3W zxZX9{AC~?0Y>nc|x&vY*r$N~xd0Tgqw7T350Vq70HJ-gChD7DArsyKtr%ubh`Ys8V z0}-aE&Kg|Hw`gnOfCz_*Vm=GLE2}i@)h;e*|FZ*oF7M5uHD15Jw5b&nMv>x3+x|r&MG7R^}egSrxcTe}n z$y9fk(e;#vOpzwh8b>T}0gl>Y>g&KO=7<1Jdi@3ZsN~XI0{t=Y34gwnZK!_(n+0#Y z9w%&{>>!)rZ$BrAu(`!?+)L8`0ns7N#6axBYF3aV`{6vhT{X0eNM8g`TOdVY}T7{_Uw|*cjb~r{N*ZW;h=TqjuRSl%^Pj(eS|4V1{P>%lz(~=F zb>tbbXAXK`!X@Z%_wYC@~0gUHj*cXP>B z9EZ|VYlnBvmlkU^`>2t5^uyRcX!@}lNSy?+nr+Wb`<@*>m2YtETxoH6**;p$zJcy5 zqA81y^V5Ed-czwzw@ZtaihWegZ0@&1!W{L2P)=0OP1~IqIStF%+MHjt`Oo}&zQ?bg z!Ji;3g8&GC00@8p2!H?xfB*=900@ALB-fnE%P9z3av>`zrS6(GnYz*isZ9$Mh zihG6JFfd*JJ1nvIFZ>7oQTf;Mi}EV_jXF?300ck)1V8`;KmY_l00ck)1m2dww^tmt z#aZRR4OC)0<;$e0Vv`@pH?m!ySZIDddd8f{@A`DsKcENCqcjo9juCw|N`1&3wn@@Y zC!|M%uc152X_npe*>vU5cDo*VYv%t3=#hov<+5>+j*GSq22zREbCIRq=t?DZDkAbA zqoqu~WDdJQ6FiyH&xVel-~_Gi<-+WO>!*Kwcr&vqR<(SRtahB~N3{G;O?Ri}Il=UQ z(ENn939fUt^w16bZc|I+1&Z#4e0N}c8+>v9fe1b0@5@BcO(SUYARfr}E!sY)Q1*i& zJL^0#xkyac|2F^Kq8ADXfB*=900@8p2!H?xfB*=900@A R$D!vRH0s5<12uV);cq~ol6U|B diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_notes.txt b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_notes.txt deleted file mode 100644 index ac6999c..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_notes.txt +++ /dev/null @@ -1,10 +0,0 @@ -@N|Running in 64-bit mode -@N|Running in 64-bit mode -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.vhd":12:7:12:28|Top entity is set to pll_in125_out125_out33. -@N: CD630 :"/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.vhd":12:7:12:28|Synthesizing work.pll_in125_out125_out33.structure. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":2083:10:2083:16|Synthesizing ecp5um.ehxplll.syn_black_box. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":832:10:832:12|Synthesizing ecp5um.vlo.syn_black_box. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":825:10:825:12|Synthesizing ecp5um.vhi.syn_black_box. -@N|Running in 64-bit mode - diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_runstatus.xml b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_runstatus.xml deleted file mode 100644 index f51b60b..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_runstatus.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - - - /home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_compiler.srr - Synopsys HDL Compiler - - - Completed - - - - 9 - /home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_notes.txt - - - 1 - /home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_warnings.txt - - - 0 - /home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_errors.txt - - - - - - - 00h:00m:01s - - - - - - - 1557493646 - - - \ No newline at end of file diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_warnings.txt b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_warnings.txt deleted file mode 100644 index 7ae12f2..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_compiler_warnings.txt +++ /dev/null @@ -1,2 +0,0 @@ -@W: CL168 :"/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.vhd":46:4:46:17|Removing instance scuba_vhi_inst because it does not drive other instances. To preserve this instance, use the syn_noprune synthesis directive. - diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_area_report.xml b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_area_report.xml deleted file mode 100644 index c6fedcf..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_area_report.xml +++ /dev/null @@ -1,26 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_resourceusage.rpt -Resource Usage - - -0 - - -0 - - -0 - - -0 - - -0 - - diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_errors.txt b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_notes.txt b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_notes.txt deleted file mode 100644 index ad96549..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_notes.txt +++ /dev/null @@ -1,8 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/pll_in125_out125_out33.edn -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_opt_report.xml b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_opt_report.xml deleted file mode 100644 index a39ddc1..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_opt_report.xml +++ /dev/null @@ -1,14 +0,0 @@ - - - - -0 / 0 - -/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_combined_clk.rpt -START OF CLOCK OPTIMIZATION REPORT - - - diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_runstatus.xml b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_runstatus.xml deleted file mode 100644 index f7bd0c8..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.srr -Synopsys Lattice Technology Mapper - - -Completed - - - -8 - -/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_notes.txt - - - -1 - -/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_errors.txt - - - -0h:00m:02s - - -0h:00m:02s - - -146MB - - -1557493650 - - - diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_timing_report.xml b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_timing_report.xml deleted file mode 100644 index b33a877..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_timing_report.xml +++ /dev/null @@ -1,23 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_fpga_mapper.srr -START OF TIMING REPORT - - -Clock Name -Req Freq -Est Freq -Slack - - -System -100.0 MHz -NA -10.000 - - diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_warnings.txt b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_warnings.txt deleted file mode 100644 index 61d4bc9..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_fpga_mapper_warnings.txt +++ /dev/null @@ -1 +0,0 @@ -@W: MT246 :"/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.vhd":52:4:52:12|Blackbox EHXPLLL is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_errors.txt b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_notes.txt b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_notes.txt deleted file mode 100644 index eed8756..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_notes.txt +++ /dev/null @@ -1,2 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_runstatus.xml b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_runstatus.xml deleted file mode 100644 index 503e067..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/pll_in125_out125_out33_premap.srr -Synopsys Lattice Technology Pre-mapping - - -Completed - - - -2 - -/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_notes.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_errors.txt - - - -0h:00m:00s - - -0h:00m:00s - - -143MB - - -1557493648 - - - diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_warnings.txt b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/report/pll_in125_out125_out33_premap_warnings.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/syntax_constraint_check.rpt.rptmap b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/syntax_constraint_check.rpt.rptmap deleted file mode 100644 index dd09adb..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synlog/syntax_constraint_check.rpt.rptmap +++ /dev/null @@ -1 +0,0 @@ -./pll_in125_out125_out33_scck.rpt,syntax_constraint_check.rpt,Syntax Constraint Check Report diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/.cckTransfer b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/.cckTransfer deleted file mode 100644 index 85a95944780910aa4adec3aeadb26065b2389336..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 457 zcmV;)0XF_0iwFP!0000015#C0RVXORFG)= zQit3HY2%P!ke96a_Ha+54HtQHL?5*kW)LoG5a~-&( zl#8U{mABMIZBnHnYb^$dC(_`v?x-Y|_kqy!e-0c1rXC>&$-`@xlx7(gV#}}G0EGwA zZlLHGW!I|~PtCcipm$DIoI&gbuFuG^Z6|zL-Ap%Fuhz$@tpB2^$jLMIYH zdgvsSP-R)!S!%U{2foO^$FX70>4`PidKE?s;G^$ZLQ1O$+x;Cmt=Bc+1) zC+YH~W|S2_empzFc<9U%Ts)N<+;g20BX2n}qF%Qp#7sajR}jv9V&&~t7EixdON?cFA|Gvu}>02;NGTb!wRfw5c@7wLOf3 z-KjP=UQ(0c$xtv+z`|?fH^YZnN;SwQ=$e?&2<^zR<%(sG+QFz}DGTA$C^(j+ zEZJADl&VuMpDhN;WKC&bf={f92#29qvgLA1Bzb)~W>gfUHolwfE59E<#2G8W>?YSyGZqhSFm;W^W!zgM zpL&Fds7r&VD0Ihd7gVp+x}`-(%QhdA6x`(-9{2iHkgH3$d|F#+fH!=6K7Qr5;nes* zfR=F!_^q~<(dFu2d6J{oAleooT(pTdnbcyUUPgP0G32i5fUJi`nm3WW%ZH)@di=?k z?RfH}C`~RivCC$Lq^0l|knG}UI2HKCc1}4`UaOdn2hyevHwCw*n~v23q9Z%~@j2VU zAV1##!2j-T?Hk|$MAeFi+xhqBxw&({FS1jNT2VIFYL$gR1_BldOP5pmMnjZ=y)`k4 z`B)ou#x8Sj^1aK|#CT{9bk*_beWpmD&ua0y)J^I(hXDrvS>Ewkb#mfP7xF;q%cWJd z^MZLn`1E8_UhbWh+qr;Yb*1f7eLx}-D#ubf8mL1%3EsWc<1-j9Bzr=V44M|bj~@jx zIkMwS>NWavbKcyp?Tj|c%VvHZ_W?tGt@P8@%!)2vL1h z;nrc(Z49KZ!rAG!OPexV5k_K`q2@6(fU)8wESjas+?C4y^ncidAC7; zaN+eiqaLWT+d})J*xLB-15w%Ot3eCamxVaTSa;Vl4tpPcsa*^b%`bSV>1?go{e{WX zVYmRu4)G^$?W~o<@N?gE$a_vN60Li8--==$zQn|S39#p9n%ZZ*XoR-!E!94 zL}f^MCoA@A&4zzF==Tkh{GQU@FfuhhGrpaPa2P*@2a5$;oYh!d_A_```rIvv#9nZ) zt^dXP9&2G#m1vIoLw?;$w2*O8lydQi(p6oqQRVWi!NWn)Q9J>L-v=)j!C^dIG#_gd zV5W3XkFQn1?demy8EzPq-I9l?Dt{6GxKnS?9(51=Tz(|2Oo#^-$IEMFE(ldPWdW<-wmpb<@lVIMVLlOP z`<4RVB~)su5BYPOuhT1^Opx2gXxuXzTQ0ZDlO3HP4{$0k=e%{hBNF(m+i0dyVlS4r z9{xea%X^I@hlqBLcsxrQS84l9EnHTrtyr3{h%Ti!id)e1_6;Ys znVQN*KZMi1GBP!-#^P_Q8{TCtNsY-(M@FY$}TziGDD_ADVm1+ zKyz(?*xV~FHD~-#im?3zzUL8gEIpT9&P6;+@z5nbeZUJ(v$yGYrJ2aqMY8Dt;czX{RyN$#R@T#w z4(H9M5#vlBmB_7_!gHzb#$;(r&3hhAs{1?0tomSQvt^8biaZNrswwk0DYASliH-Z4 zzf#yrfIYK!IDNZnnd8Imo=a`ABi@q z4OG0V;xKuPofX)t4T?0krfJVCVn0G1rD}CiN604a(RDwgXWt0;p4?$B7x6r9T{vT? zbzaK19v??>zqv`e-;UNsGQ7%9xTVQ)U(-6~x#~5WiMqMArlyF%)qa!~&$m|Z@z%7i zwQV1QZjHt0%UI8AaK-`kvm6Pfqtd{N7XAmS?pU4}_yUc3_A6hB?Oo<>S-)+X##}0|dFr-1EY7_;zOylQo2ug*Q1sGAK0#}kRT9K+dK2ZQ860BvYG zIz-#-_Z{-@SYjGJe?!3^IM8w|bQzEy8-zAvgM+$>Ttx8jR<`3Hko=(bIrlO;_9uAB zkv>9{e-Q#y1q_41_<#UVSWy)W2!sL5`2H=UO1tQm0T8GS;6t0;`ll8`0t&Hgy{Fgc z%5)qY(u#Db^^LDPzRj~VZhvjNX^*4PXK|g!q*&k>Na018oLcOh#96p~Q{s|o{Vtc0N1%z{8f-Ea{&-i0mbxK&9|<|LcN=pcPm|EGz*Ok+2f`GdL_ZVkN;$8~twr@sU1)^O~7} z0AYZI^aLP`sR&9UlbTeQTcxSv-W(ET8dMctSz`g!3DJ83vh&Tq4YMkwO-+4zm2SN$B>d%KTL@T|)T-1wl;b z-fRTIs5AP8zYa}szm=P9hu>_Q=p3IE=uK*d848UJEHqt2}>_$LDh<_`og zLDT&kVL!{}e|Q7}{o#MaUnGJTB!d4R5;w9x8dwtYqoQ^f9oz^l0s)HB8-J$ZB2(uT z{n6b>yijW^(j^Fa-l6hDgRP+RcKfr^e+)Y_HIPOw9P<4Y~8I$ zA$(A)3-TDr*4ipRNQ4IQ{XxtE{|vlDLw#wuX~=SjHi3|#3SrB#Ld+t|toyyK{_v4` zFWtO*cZjs*8E0#)LGA}Z?=&QXA$Y?xHwE%Y&i9R+j}e<(!9%IYGJ}5Wh!=8+`;RAx zFC6ukViXD$It;xI0>IK6FQ(Fer1ByZwBY|G+2e1_p`(HTe^BH3qywZkLVuQE4tHKv zM-i%J4jX!lIbT^@Rol3%0E<-)3qsnz4T!%eAtMkX6e7d~#MBr8fQF<~XWT&j#Djuj zBc&X&Nf1)u5|&B~whXsYg2N`{+RWpayKJooeqZtbQrh>!4*7nDpydo86a+}mfC85R zX6gT)I6&=;<#Y3E|EMSu)O~IUybCf2$tHi?ItXed;`?iQM8}Iep8tOc^Er*A_CdsV z@?DRt6-XpyvrbidYm{X9ie59Koe>pmVfy52(Y%8n@zMTF%L7FL1u~Jf?g&-=;11bC zx2_Lk#IBi}?(s}Fs9itRmU)}F4^=`!?Ojc@Qq(7!m;LIZA_baeJwugN_z`Zp+HN9U zy&B9u#cI>tMA=Rh5BLRwX!0$t0+Tef`nZJdz2%(%lRo3a@Vw3OE|?;owW{-JuFUzh zvJ&39KYZxxK-6;Dx7fUC3-82fy1eTozAA9!Y`MYK+OWl|VkRM;R9a!iE|J^Q|5oW9< zyN=3x6aMcfUR1eWOqPGF^8q^d9H+&om3vgxzN$U1D%O&-3nU#g7NADhSq36He%Z0I zAx+5}otvxkq{yDKnQe~qBi*+aSv?H+ZuRvrz@C2A`cn>&Os!~Oh;pWPE(M+<7=i6p|iam!lD8QF{A zzJ%3T4hm1&(ha#|_s>zM9njQSJF{}lry^=!BpaU}nIdXp`)MJH_tYp)e0768*2!p<9oc=8Dc8-$d1_m z(JFQ#DxXGERMF}#XU*&QJlp$lm(1Bi`Rb4qI3ZH=WwnWdOlSRO!*l)=mG+LUHq~c^ z*nzo4HPe7pa5;A2AmF64EjM_#vMrbWWbW+pp9h5)X`Oa`HBed{TrVL=;(_!6k_&wp zBwqsV?umH|%Dg&qyD>O~Kk)Ph>Ivx}$!k{#eq3(-IAzY;>>Mmn^8+kiGkW73Opd(y z40*Fx_@HKGSAbGN#?3QA^zfkfhz#j&i1ZTbtrIc8{C+p~2O4>SM*NAE_BuyP?f(~A zM*k0V!1>KuffluLro{*Wdk6fDbgwZ7q&QQoyeqs(3C}}ZcBM+%GIK`bZqJRgUjbJY zBWjw=+*VoTm-rvNcNDd;KM04t!)Aa#8@c(?nw*F4bW^H7C^Qe}63rX7r&ay$2=fFLhVHzP`}7efQvQ0%<}v~kVnGb*>X7OB%N z7vj6$p9^VIuqvrsE9OkRdXlI~)?rW}lT0lXbE*WP5{LEOnkv^{)ZrrElhhDItloKq zHT?Qi?YdC*C-K##Bl?oBLct!t9e?h{*hYR9`ts$zAoIh75y%BvmHAu3#?i%-g5Ew3jplt=?6xg$1tLcT;IZ zInX`%%Jz)#%`@v~WcZ%9^VEzpw|RR}1a?PC(mR$fZ$4J)=mKh3p`9aMe|B(sym;k7 zP8ao#3`^^h8F?i7y*5HQi-9kQ_#ijDwYd@k(enj&YapCEDYf`eyXv(V~^N zjlHaQfKAEe$1`XqbXAO48eWRor!MYxbon8pHBIxcQTM-NLUTJa;_Sp9s{7A*HspsK zVYvXI_EusNXjFdh)10Q~^eHm2iop;2m|&I)x|_3yi^v}MS&B(bm@Npmh8$$ diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/layer0.tlg b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/layer0.tlg deleted file mode 100644 index 27d3bb9..0000000 --- a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/layer0.tlg +++ /dev/null @@ -1,9 +0,0 @@ -@N: CD630 :"/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.vhd":12:7:12:28|Synthesizing work.pll_in125_out125_out33.structure. -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":2083:10:2083:16|Synthesizing ecp5um.ehxplll.syn_black_box. -Post processing for ecp5um.ehxplll.syn_black_box -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":832:10:832:12|Synthesizing ecp5um.vlo.syn_black_box. -Post processing for ecp5um.vlo.syn_black_box -@N: CD630 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd":825:10:825:12|Synthesizing ecp5um.vhi.syn_black_box. -Post processing for ecp5um.vhi.syn_black_box -Post processing for work.pll_in125_out125_out33.structure -@W: CL168 :"/home/adrian/git/trb5sc/template/project/sgmii/pll_in125_out125_out33/pll_in125_out125_out33.vhd":46:4:46:17|Removing instance scuba_vhi_inst because it does not drive other instances. To preserve this instance, use the syn_noprune synthesis directive. diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/layer0.tlg.db b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/layer0.tlg.db deleted file mode 100644 index c899ed01a92f4d32baa9cfb169df2a2822b91acc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeH~&u`N(6vxxDA4sqbgisG?k~J(DNp zI3WH6{t*5*ZoG8u#-vVMwiC}v@}8fcU%vjtIr#8%Usz6#l}?!@zVpN>m7Mp4IF7Rh zcNy+QD}l4J=zuNy|7vT_o8R9zAgi?X!+{GM5@jvZa5K^M2Z_C z6*yXqIZ-y|`f8qW$&n(N=7wt+w6QQ(V|%2i0O`b>$$?av&gIO9kb%5NXjl)_bWfR< zpyu7n>7$kP%GCezyxjfR^s93yMjcxU46Fz_jRZ@TjHvI{s)I?hPN8@fFvDpg0-EF@ zmzMG{Yvk$WvfEyxQ>%7n#ydYele5=v=h&_4oqEOI_w1`4|W|A@4rs-jjQrhnW``ekxv)|`= zK9fB>?0k6bqEOuJ#WG~dT{K=dOw%~yx`tuc`sL_X(OUW-yH+%_IC#dpZ5;XQ)28lF zYkp&p&s$%Uw^|>yEPa9o0SG_<0uX=z1Rwwb2tWV=&lb2kR%=gAn|%@a{7z5!T@`mj z;fJw547kq|9{H*B?)A>u8y$D~^104Ucc+tk<+3}{apt|{bF)_)yApL&mZ(27(y=y@ zxYKEG;w7DUyo36E1>urQ8%x;Ve^cK6Ql zV>I1}k`kl!|`D{ds-z@q;fei67_Ex*KQ7;-7RBI>-Yqe|Y!AoiFN zaWo&YB)^T8&MJ8u2O9~OJW^>fvG*%FtWge)NudTs&;_&Htb(DZ=R>)dEDei)E-DNi zc4>Q7%$I^iv@aA@a%Cy?sN!LwzXqHpGQQ0{MbmyL1WkgVE24#?OWk;=w%-;P_qm+S z`_@nEpJn@hlRPrWBl0Kto%}|AAwQG*XvEM>ti~wl0ES?)xRp=W`gmV{G`(ifwfwgOk~01~i}ufLR`_T@D8sZV`+n^!)h<*WeB*SU^DxLxIG*PZ(n2exEPXQKE7~7{datZL@-hj>7vM1b5<#_6XXxpp5|?f@?^Nb!c4^d$g>XL@j|N z*5Cu;O98vnff6&6(5qV44(P>A45g9-Jd0O66EwK}RS2w4ds4H2W+ z`qv-Zgyzz0XXn*P;)v}lM`;)i9B4ON4h#f|zj zm(#0Yf`6#yfGOP>dBG@e>tYq zgK!3+k=7axsO%B#FObZh$gU8T4ff&;yoo!>mEfqa$9lMoZtn~c@zFi_=mEYpyta%#NWOr`PAnZXYN9yVva5C|CZm`;bL z2M(V{V2p>vdm~GohL{G7FOk8N{*w0k;5vw|aHj^g5>=0W(4;j6Z9#Or`~u7J+tLUqOs=j(f zVKH-hFqW8HC6+aRrfOcOVG^m~kd#9|P|eIJp6Jc|N|l2!oyZ=;bUIPTtD#y(H4V#@ zoMJcsGq9_f3mDq?*yjd+iqFZbfZ$CqJhki7A7R(=P`#>CjOE}gr+oNl>>6Tq7}g{p z>PD+oZ4wP+wbU5GUWjWo^`n1bZKKMiN=QmQk0^mTSW#aam^fk!7HjowY(`!^22kLhQEnUq7b zqhEja-+4yr1(XQf&6TG31G>tz%&28;wTiUb!S7-EgM}UbOcVzFbpY45k9KA%c9Ge) ziej5A1_dUtUR1#1n1&NCn!KZa@5Tv@DPVk~)m{(^yRrGK^y@J4C=NU?gc3{a9FNZ_ zk8Osl=YYwunx5F3o%1&}$#GahB`ww*H-8E>B^#mzG6jG3N+)FDKa0jZXFT$G35M?t zSD5>f1CN3>@#{^rt;b~^Vi}~XNkF}KVMK2xzMvt4akXM*n&5iU$%B~z(qKiI*QA=l z{I0}BVHEtIGiAQ#F)OaZAl0ahX=*Z`Dxv$JqshUJCKQ7w{A79s2Rng(^SZ|TW`b$t z@jS6PtYw+vp+=xr2u!$Nc<)Gnhc$snYJHR8U>w`QxsiI;erq>nZrk(Q%>J&} z(EHA45Qd@Vacl#Qtt5SS$ey21gOJGb1_xzeYCPuCYx}w|ZQC?I+DcK|+UhHY0_;M= z^$J#6jcd?WJ?x~{a9!~4*nh?TSj^ls=KC=D>$~J!0pDsT7c`Y!_hZp zwO5AJi?CM)Ax0pHvrZ)m8&8Sc#qjjF*6_2n;gfKaml6ilJls`MRf%=(OU$gYuhH2# zy}%1MbrUi_m!+j!#WA&5l(; zI^Cjt1QinBBZcPGc7*tM*;+r|YZ~f4psfy!|Jv1Qqd#oLcMALN!ELsnw=~@Bu*Z1q zw#|dy@p{-DzS}8>?>Mjh-p)d3)GX;1k_W-JPm{QLrfau?s$n$Zr2mYlRl)XN&^g}M zPxsf>OA=d)7(Mst3*2qb=A*N@dp5TkT2<9@?NaM`&E#yVHLYB0Nzr|^1xrsx=)T1Z zL4QZ%ypNEc+=HGYw_VR6PWTypNt0#l-Zx-z4C#x$?t_b(epTrxp?SQ8e3b0y^2-+S zoWx=>4a2%jlPfIAKyaXKKJlnv;Z<+&rvQkCF^jfO5Nz0AP=9*!jRtbPA;C|_-=Xn$ z_;hFFPoM=gORR^pGSTV)Ha{KzYaM*Nchot471!UZ0lGeHy_J z*Ln&sabhh{Z1rF0^PMyTYZNa8?{m9zoTSB8@H+Pqe)nN~PI9nRK|hZ5-1#PTdm?{Z z&#f-J-*BggJiew))n`0%J^sdJ+cC^W$Xae`lSmIB&hvGChS#tzCOSH7O}Vhv#YfTN zd8k7jZu_`)->xZd*1B4Er0;7jJmQ&kxq;b0Kk|>0x_a(+$9d%9Uenc=6Q65qdq(5i z#fj`ZPu(7L*3ah6>AdY7%x-SQG&UDqj}k^?Jo6rL*YA`=yz<07rT6&SM{mbCk^l?4EGwHCK32PrBYaV(nW%SE-z8SRqs^3k=4bH@?M%-q?KG8*`eMdfJeVWkxuX z{Xipi7_jbkgV|jONc4~O$9L#~-HSFR*?>BJ}J z9{CUJc(clOm#`a&dc|ZWH5i)j(K;X-apz%lch2bKtf@SSQEBEj_fg$s*^>J->rEcZS7(4^Z@O*PQ_z^7+$SW-na4|xM4jj=U1Rg zBJ6JbczrFzVGX$$2lm|Na>U5$b2^re;$HuJ8SRe1RoJ82&MA#|IY_1>s{h*H$>z?O! zXLSDt9~~rK_l~j2iq>RoRBV>tzo6}s*AqDUTil~GqxNdb?+pRJH#A?WYO62Ny_CDJ zy3LtR?Bc@+&Ih{PPju)fm-JwD^H>IUle-mXZF7#QocSBOAMnvxH*a*{d5Gs4r#ZF_ zJN-e73d-!f&1oRUp8nP@xzzS8#~8Bvc2^I(E84R`wqtfSnU$HDwlH%>l=TIF7qNWet4zZ%G}E? z)6IU9?&F>=OKZ01W1w<-%eORH6X7~bNnj&#Yv9WpnFK+JHU5Ue-ymAQ8}N4sKF;I9 z&euuh#!C?6CAzs3C!DXiUlEbh1KAH*5!jR(4tR|^_`s9!0rq>_chRouF9B6McX`Q& zc75bZ)$$kb9lr3-Ct-hcKi;}=wd8j`Uc2L6p8PDoi@jgSeD+3SiZ>MdYfk;cCO#TKlEFjwV6=pZ4M|qzG zqZrJmk9MaAKQ}30<<6kWmvO>t+Yt_Hv!UHPjyT)qGgi?)nj#jD&$HNT`?IF)C-+!f zlo{5#&~r9f?Rz@Wv;7WF{i`D0rY5kO?eA=D-}xIl^Vm7z+r^Tb{aWVSZhf`WH=e07Kd46qI?$_n3-25H|oZf$unfo$S%U7BAa}fhaJg$`bx8zk<+y7;~}=L?T3DGSV&Eb0dwEN6${qPAZ~vRs_d3EaQzg z_rKo;N7s3|%NJ7BRZmq{JydZQ!JRYfoBjTcW19WhJwOO$D@De*89BWiL#yYQXodNT ztiX!P+@g8uPc#8xcV4nWAd4w0B0*Cy7-ty0+B<0W!c}gqG{YBU0_`-ykP|Yt7!92i z8Vu6q6E^Zdb9~XA0G|*XfyW%-YZC$8avqO3FgmN{0=gmda?0kM`Y_W#Ou9TQck#X) z6>C8W80Y+q+MYnSJ&&*eks9TF*fQYzZ7~X|cPq+>i(6~QZ^u^IyCt!e(t=T8-G*uT zw?*UIg6w$8Z$G^cyG>byypf&UGQ%F`D{IyDCZq3>@x6&1LzhKV%0H1_Uj4!bY1Mc1h@w~ zbI!FXR0J#u;nebc*fei7z)_9B=vK=K0HPyj*%; z=FfCFUiG|q<V_WLu(S@s>-53(Qu>1T<`mv>odVN(OezW@wrWQh-2c-Oz1 zIkR5B-*Y`90Tu&yAmb_Ot(=pZ&}4 zruMsWq|@I*kjZQt_*~V)>Xxij)EB#`Feub|W9Ms81i2%zk|c>N#Eq%?5~;ojoX`lV zHQzBoOb2?}v%kwDh$;tVBonex)=f<#AXH4yiYSUX+W~P*zxS-cF3K@-szl0~Lz~~= zmUnPB`=QFaT=sw5^qoJ5*^fm&#&E)r@W|T0KYhA^I8S?pgr{vANW^d~Fm8<@{7S}g zE*MTi^*xZOrTse|kX#*4d=_eVCEWFz*|Jo$RPR5`OHS9gkDz~(m6Y$WFSda;m4hq^ z5}9do8f1gRFgVC;sG&98SC6)?+mbkgbKctHwA$NBJCK`8ew8+T)c3YKNILIzcD2w4 zIf=&;HOi);R@skxeJ{7}&(0gYJzTgC=lf|aoT{Av()XDiJgl$nIs}#w*U)NkSKhdqObv0H{4Qf80YO%E&thz?Ee>8Z@saO5#o!*h!bUQe4 zROOQ_6l`{Fqv2+H=;*;fW}QGk$Z4k4^g2^u2FX&HmE!I*_DHEVb~Zq+j*R^$|P%wS$pUe-({6g*;$ zX&WOZ#*{&-CJu|e7Sc9Tvngc_Mu>$MQpmaGO(^%u9E-1^_fC%A$>X9yVJT)Wp;bQ}qGjwNU`Ry(wb_113Xsg2v* z(=(CBlau|#H(8rjS{ltgH4qL*a?oEg}w&E=ry{7tkCZ0$<|(U959~i zT^DeWm?bVGPmb0*$;0e`Pi_L*@+7No=OjBP*?yIMr~aql&G+P*m^e?+#HqhIv{wzL znx$N-;f~u%AFlV((Bbv%UTk$6*K$A9bugN@?;00e-8`MSU?fBPt)Gf<#&q|IJ#?jR zT*V9OS+~)D&=36W!`sci`&& zx|{8>S{RRz;Ciw3?aO_u4la`F1-FJ&?b^%4SpU4_u&A(u$&0(!<->IzzxA;{_-x(d zB5|J*{iTCP__jCdxn;<#@mXw-ZjwB?sCQn5$8V4r?vp<>KJg0-#etya(3Zn7S-4Mn z;&zVs^38C;b(5#pUR0`}GI&uP0avegD_?-^;_%_q-c{h%wZfyl?LeR#wwvtrp>*pw zRb5ZnS*%gtzvsguSs(rys{gg@jgR&}00030|Kf5`-~s>u0RR630H|u|VM!|h0D=iR AdjJ3c diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_m.srm b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_m.srm deleted file mode 100644 index 15fc0b09ae565cc350ee65162593427e15082695..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5576 zcmV;(6*uZ1iwFP!0000015#C0RVXORFG)=c)|`TZ2%OSk{Lu*$%4Z>M^+S&50k8&>~tVuok)&bjTvpGqY0k#iFlQ4whwq z;u9;3#F?gBodpSWl4Z-vRF;fZ;^f<}afq@E|191fMhtfZyuoa#U`h ze~Tx}&cb{_KoKl%Nq8xpv`Z(;Dkknv-vb)n_`coS6SHMz|!QxLAbPHR~jf_{@@12 z(GA<{$_HKOit8e`I8j6v56gZGmGUf2-N1!^q`woGgEJp0vCj;${wH!J*a7uYltVHb zLsF}o=6*=3$s^RH8r-M`NNP5~`s z{MCBrf)oL_7v$+X7%I1yzz@u55>*R)&E6H5Q9#yy)Ww9yNQ=YcA@akU5LaCavhbl{ zzN@NPC+zj}z3DLga&;k#?7(tQN?+N(2no-<3EHxS+cclwhBTEdvV)KsDH z<0&HkP24BHp8_FgX!=orBXFmgpie?Yse(n{!m!t4SozDC_D+E({AcL;uH+L&D2;+6Wlrf-s{6b|)8=gV6X5pr=>>FOvi~M+9)o~0mi5?Zd5yP6ysdV`QzA(gxj2dAYB@=NV3`#O9O>&{xB@+l zaJ9z2A_#Si*H!onqBZ*k)vr%DIw;jTjJ|~5q4B@)Y40e%K#!_$eR5X`^(un(m+=3s z!xvE0W*^MBj@I$AigO$<6%S-eibUkbmKME}d^qGwyT@aCW+x)niIE+0EGMW$Ju3E* zam*F%JXZ{q9nTnj?<@ySbLBDzj%O_2wugM%Ugq0r9_{lD!4?&EkEC@u-t$da-6gC+ z3mR!nRFZ6LMrE|G6X)l~@q7oXlY)s*|t207^&C6$pa?u_laBnC3n)y|U<*kxk$Y>Gr2UFI;W z&BC{?Eo$?r%xC=60ib-F5Q9|(IX$PDf?<>1NYvp=ewTG-uFZvvw5Ei)iAB)Ho};Fh zq^ljX`phOs-PpSqNMw7qm+P{&$;>|-dV)RAoGJBYEetZJEt_$Sz7! zr}7xYeZJ4{@E+FYL`#S5X;=2z{FpcPJocg1Zu`9UKJRIt_S#-|qVJ&>p76}t+Q58Z zoW#djU%iaG(>ikXtZw_uS`ZoEr=E{G8yD;PeBI0rR=c(nl325LMv433 z!_a>{%sb02Uid>C`XIfE{uHpTP(DQ9`VD_dY+HK{3ev#iX+wo9Kn* zB;|Tu)4iRGEd?z1?oH6q@oyYgk z8&laU+(bUT_sSoZ=490I3fT5UUt@ZNY?jsopHxw}W-}w^Gvn6no!zyxHvzSJ>hM@L zELK%{?6Vn$3V&VjAImkvVzrD~e7?SS?q3CSN4sla)@gba%eyf&xGv@PW7Bk{6F%Sa zS-EDjE$25!ROassulM&toM$H!u;WY}E=PiFmD8~elt}7zdH9*Dp6NAZggETfZ;f7c ziiBP%GLTe%saGna`dqKh9^TD`UL{@G?`lJfO@k#9@BNysPtRHH3h&`w_$kXAt{;2e z8NJjV@gCszMxX3sfXw^jsco`(<1z*{hsE3N2!%^*x|MS*5ia-wd*y8&6nSz%c4lYy93=u zW+}T)H~S{t*F9ZU)*LbK0QIEeGfg&Zcy1vJ+lX&QQJ}1qFp8E~7xgu0A>PM5b^mY{BP~yvzO3!%pKSB=tNJd^{zc{q zlX=bwvwzL`sp+^{fb(}gXKz~z9gA;8e2>X7VRFnlp7oPy7dt~A6wELk@Q1N7#1La& z5x*rY=r*>{ge|n6&YQ;j5t}zG{vE12hyH&4uv$1dsrxJ$1<>ApI;|f3-qRcw-VkVB zBq{H0Cw5p_HQCGA)aa(3v5xjr#CCmppXFXN$JNc;xwpktnPFuJJ%>+={p=@ZyYKKc z{%Ycz-xDl``**u$KK+KyJa^9cwz=eG|1NXxG_l(1n+)MBzL`eS>9E8-Bd&AhYQk%dcVxP%hqrf3=7|Gvke^gb)Cw0r>{pPP@L zb1`2M@x{OHcl!M^ztiboUkO2lZYUYYtdsb?m87`mcO<5(8JY>4>J=s{JxDDsh!>)S zZKPHla~b0lGZG6YUy)wXlbzgY-w(#X$GkY9BoX)iag97CSBPqn5}yyOaV|W{brBPp z#yn06hlo$@4`z-f_!!A%q7X%ljAAUPs&z2op>RBm2f{Zzo@8ho4?|)31MZClAL=wG zEEd*vy)ITXj2X$}Ya(i&v`3lVY*Rj*8~bR5b*k}-SWeAvZ04%qf;w0FrXd{K9TuE) zL#|oEx*M#!c$D@~x4=ZzClHxok-`Nc|9W;jcj%=fF46cG5huK8LUNswgv2tXB5nMt zap*R&AjPkfZ$#>xrg4fJvMCh#`PaZ246MIuJdM&&>S81Q`t?k!afkr`6tg53jym7) zvbVGMok!Wb-?eMsn*t@Vgd3z+A?Qb%u2MmeLq~DS8@lMB^ZQpAQ%VzX9i?eZ8!L$l zUGSzQesLFKN)Rpv;-_W(RrBXpF_j!{|LL3_&EqQw2V;M%@{o}e_G>;GQ#FG45!y&j zIb!&^R2l=k5I_`h$f->dzTO8cNTRfYJ@JIMS|8>)kfr8CAs-#@H}-Oht|XRJX+%~` zCYxeIL*ged`}q|V3XVyby!9^)2^EDp)q_~lt3q)_{P0C~cqJKRmTsm)i8R54>NmdT zl4D79%;+X>i(@pe95aAm% z5X)LO_pro(jyNQoHf8ccpJsHEXicW1Nv4XBIgSIum(8QkQ_tgQi&kYpsLR746r6Cw zl2aT#nZ!CKP&`vYR64v`>KC~<;;F6xS%Dq{UY1Y3PC1WanpSZ7AQrs&Oh z$WP!Zq+|(!1TwN;v1ULIQw=p8N`SEkVW6?!Jb+!S02O0N;<}$Ga`?2y7UrxmMhA`B zP=@|k9{jpYhle&v$EJ<3gXt%TbZIxoCO=p=C1Jrh?Iz)D>G|^Z^1hp(ra~i5ST`Q6 zx=^P`(p4nwd8=al|Io!$A@h)U?eRe+`d|D|kWc%TGrPHol7H`ST7Bn#v<2nqlHbbv z?xujKC6Y6YQ-|F2Z~b29%)dXo@z0+7o}vY`3!)OrV7^F-zx{SD_*;!*umg1oYb_OZ zln}xEK}OKA+{f)=AnMt{%OOKp946z9DQs8lR9{9OMVKn6LdmHvU8bp|I>D602(Q4t z9+4CFFQJXRu8F4iq5^Z(6MpaK+3oE5{bVm;?(f_er?nN0*?YUUrl_INJk8|-5SaLae_~-fD3I=*9 ze)P+w*v}(-`d!>*Em386k0M$|(mJ2~^KKAD)(F-n~kS{A@?&`Jd!&JCWvJcWd%DR(>-^zZ`;!Cfd#cu)H@cs8$ zm6atFXS_6in|p@=kMvTtvJ3IO{Ie=iDVn3C#)Z5bQBhW92DM#ImR6LNi*tx|7Fm<> zVzD#7clbhz<&W&7%lba4wyta2=cWH(&4bCd`VK3%d{~~(CxKPD-?w%v$j*a@`H6RW zZdcE|YrEXqLF-|D{t&pw)H zOw=;-i5;!Wh5OKX;hU}9Cw;Yy*{{rbjEuq2#I;;ou|E7d0xv}>q!|w7}i#_ zm`t;|!OHp5w`EUSJJ4~yYuS-iE+!^cyZQ9O$jaRXUJC$JzfLx>8Ca?W5Ly}FRtdGt z#XwmbgXNud@s@UqVO>W)qc1b(*-tUB0njDzP5Gb-cBmO+kF|E?%w3-j&wkgPr=W-|#~HGqseg zkd`nJad?zEFhS-ChWEr(LlF@pD3FOHT#0ZVL8Xe1`|8cUdbLkPP8#7zV#SAscSeyM<_aE7B&Bf! z!Yj6L<{x*-~yvn4( zq2r3#Z060(=eInS@V!p&sUMpegYEv&g6eP2zh$zTmlAqf_JJppQ5CiJEnCc|&Y)M* zN?Gk%neDo*=~~=7zIi_~gZ&_rnSUpGr!~~3KxB8f&+Bz%*8a=dt=Hzij);l6E@m>g zJI)fU&d*?0>#X~!Ifq@zdAYr?ZQ<14gTQ&_olARbUzB3*)Zc-L#YyjJFLMI-y_`Ke z>I{UFUAWuQv4dxOQ6=j-_LO%ueu_SO{x|LmC-bw2V%vk$bYlDk^g)Yd28XJfF8} z$!QimO8Z;6edEV}k#XP4teMzn)8Nj|g4SL>{^@K*UB3p4T4o*Wf&VVEA6s%S{=9JR zg6zWh_dzZaL6qIq7}|^V6!@}MV9P%r_kp<&5UlS4<^^eL=2|`mOZ$k2z@1*$-#)*) z??JVduI;wg`^d3Hu+7|yptPT`T9wdKBy|1vc&KabR(@T)mofk0aClcrtd-I*zc`|5 z?IdJDHWlmE>pc08SG(+EKJKbd^SJh%dk9`g(#%u&Cg$kc7qk28>m}rg^&bEL0RR8u Wa!}v`00030{{sN#>~LuID*yoWvLmbj diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_m_srm/fileinfo.srm b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_m_srm/fileinfo.srm deleted file mode 100644 index c1e1fe7c00ee824e29c68bb928f877a82e4d44a8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 318 zcmV-E0m1$siwFP!000001I*OHP6Htj2H<<2f~@J$ou%8Ynm!Dn!0wI$OJLU6x0lA6 zhNu@4BNrz8hshVn%yzqFAuePTeO9Bzh}LXI*1)_t18ErvtRzBZK%;bv*U-1T6K{t- zq_YnvnG9Gw!RxFck#2Ksj_mHzhjkk{GS*@eIkk)*KkjNqtA)ixthwjSH4QQvPhVo= zRXm4GW8LxhFdvebWj?~oR1kSJMADoe_62TN3(l|3L{tT?uLZZdD3lE73f{gJo{UIy zK^tnJtv=!8O*yoOT6FU{m`nFm@E-n*Cv`;WVL}3mry(gI<3jct$oug*??*_JLxf9(c2fB3jjs~doS19%4j Q0RR630A7^lMM4Jv0I2PgUH||9 diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_mult.srs b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_mult.srs deleted file mode 100644 index 1303ca775c633db2ad38acf6a8e87211d46495f3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4807 zcmV;&5;*N2iwFP!0000019VbdYuhjsefO`pBm_1{qBwD4;-{6cK_AwRwR<+Qti*Sf zB_myt8uH_Jm3D=7^hvgK?)j2tvl$HDkyi9~)op!5qqAu?g*#0K1HsuNk+$G0eDet3 z7X+MW1qMur3Tt6&R zEcUW3i~VL-?6NOk{{R30|Nop=-EW()7JqL33JWG}XcHF%3KhIGm{KMM5{}fYd8jNB zvJyTTBSy0JufMhlX_BVf?(IVz`}q8P&hhy&=w+pBZE3gD2{njmzDNVhMy=F*_pdx- z;e{kHi8}PnLR0-ADN-#p8d)ofiPqRylDvgS%peprRWpYL@imgBrB--`EH{!qcv(x- zUJ%a<)UcxuO_gYVk(Mbbh^NW_X=K^E!Z_4?!4nT&GHLf$o*53jzz=7F2Qi+NHONrC zg~?~UD2Ihnt*8;opGY+JCgUsOJ9nR9`T_yq??HYIgL;*1KO?}y$)Z4|@kk$9DpTXr zI3(UxG$D6m|MQCjfTzLtYsR)RJAD81_hxZrc!5X4yAvanvDLyxtalTBy z2N)o@R$&m{*f&r2cB-29xt*G-tuo4_SPV-FtLhWTp-09MnOuz>|84-$)M#dYNg{9J zVK2l{2~=8D1IdYuDFnCj^xE{mnGPb5jeuNFZ#@T0!58?=rpzL|0*N}6#Mk^y6A(EF zwHfh`aiZI1!tDryCowMz|Jn=i%zMHec4PQKv#BT1ZB|McWb!E-PrT^LC*!+4245>0 z8IR3z$Kx3jTtwkQBQhonRl`9Ed2ZzVFLDOc2^d_VLS7Kf#0yet0X9I8^Fe;V^hhw~ zy2HS9B6$kO_i|X{4mueV44!9lY#EGCWk_Vgd@8`&wF9}Z3HO803$6m(Tw{$d7ldGpT8joJHP%t z0T}#tLN0&KWH%XkKR);V_z38Mwv_&hPd-ZB-v7lf5lAA|9Qxij&+xoW6t+>+l#&c? zOxI*(#jtki&Ki-}T>)*^RlinQdbg&pD>Ww8ih{dNEB!!6Ey-v-LVTT6IvQm)by2rJ zBdA6rD)tdQJ>z5sN}S*GGvp@9niyP^_>?q9e%kx!bQNaVB^Y zqQ`T04Ubk^6CLSF_3F0V4pd3_-VEY|>_$IOy_R_VF2IP&CQ9(9g&cqsZZwRc`4+I^ zu=$1?(1H**8~iPVfKiy|e1ms;SbYk5b^BN+Vqek{g~Zzy zD#|fhg(R`HC1_6Tj;^23^<#Qcy;^a+vItVP_3OjQd~`N<&*m1J_pwrut;PL(A4e9m z!aM<;$vGGHQdqoayO`(-LV5yolDT3%W?@7V!K1rLytd{bY{-;R~_%N zWK@$MYX^xudYDTHtUA_57DXbaD6}+Dbbf~rOP0=apkoOhi`!F2q;g*sWPucq^qNY@ z5`J_Z!+|!?a-PPwWO^d zUDmfTeyQi-HEbUf2ORanT3=^!)YaePI<&W&(8WzJtf}wU`WWr?J*tKIv26R?An8_o z@Q=NH4t4d+?@sc_1zOwFj(u*Aney81!2JQoQb~NjdefQr0VnhJWG;FK^KBk?cwLV& ziJDt)7O>|1NzAWHznFPA01rQLoqaHxI@mPXcr2+Xk;5O0DH{S;r5Z&`a*guWKaO&A4ub4_p) z;=r7A`W?$>-o33|!fHyHHSDs+-Ya?EOY{C3hgS_-lNNX~G>Q_nj}O$K^rN>xI4R0o zIci{OngWNZa@S}$gvc`k*2_MzURG7v3y8I-d~ly_Rxo1T-#G!3Pcs^?A$|~TLjLC9 z>(VLTQ#Y8YyQAw7_d{nl5`DJUXhigxVT5@cv3hsH`)r$HT-vJ5V}<1?+M2-qTsIND zbbeREbYwCe1Ku}t1XZ=a@G({G;iKI$Zp5;E-tWyXy#@O7oLm~*1aPB1*&W2a7?8Ncxz1jqQh{IBJd#u+`wZ-^FwR5f))1^{s z3$?!l7PYEcanP@Kwr*KZ-_s@Z%Yi|_-@B@K=%!r1^h;th-9}yic*#DzHaPQR+c552 zjB1!Jy9Gz zd8Y@<IxH zhKKtcexWvN3aa))TL|3t5AR>UxA9QOXAbSO!_0 zG-)^bgUkyib8hmlEMINaY;3LgiN&{&!}k^T+A9XwSui>FUt^epUrq5%g@2Ek_pROzy#HeMS{&k875Pm4%EEddi^u%z{UvzBZ?h$Tl3C|^j&ms7ndq@Pe*N!f z@;t=9LlrQd?c+=Eg0B8mXC6Ce=k~GmH<^X6vaJVw&;0vu{9;cpcLmg?%z6y(k1{K! zEqu9`S($;D^Y;#qf&NT1xEcHx00960N-Igt$+6~ga0LJW0RR7dR$FhQ$P#`wzXH*D zh_xC5GBU_kq?m3qot3*WA;AsVC&DQ z{i2*kG`NtB;PS%R^NX3&3@=FRWVB>dIu}va{Kuj3MM?HN;}@UC!!9x=kax6KICeC| zB6spZFrR)G*7weK3mTR$UzW4=I{1>_)AcML25~-KKEV2y)aZPdL8!K&MB z^-Au3dH*8kKFPVC%DHdk&X?z%oL6tF5W>3Js+f5L11DmrdU`LRq?YEZy6+1CqV=#A zbwYLyqG78#2q09>(Ge77%Jx7!dpx{1U@r<3UYpdl?z`O>J>`!W%!#BFg~KqF-k!j{ zJsb;T4_+a#ZtKJm1iOCzKYFhkxLPkqKSrbV+WRp&AN^*NSzJhl^GMwx@|OcU813jV z&WgJdYEr@3Od#vY7{AUUC`de;s}aK^6b5k?_X)e$8M>~Q+ptbTV^L4GNB#fkOwhPpvl*q_Ofc9{WFclM)_Y6_eIxcVRpSn>`!?4Ynz>}uAg-- zRR8H*R14SWu!Vj3rl%J6FMj@x?-{yBFLf^_%AaaghsE{{#xNKCqtbnO?3eJ=Ezq79Llsh<*r#T`s%*Hrd^W$JpW5eh;EGMf@YF^Y?Z3gAwp zHWTTg)8p~k84y8Z6im)2g(gKV8cNj&1`^=xjLw8)83o1|Mi}=3N!b~LjA!BJ-(@s~KV@9URQ;2(Zq!wqIsf9|rvkcQIm88>=W-qv0ggL}H!8Smhz> zMQ?C4f6Cp-mZV~)_HuV7kV-mZoh`NMo^jgNJm4K4i5;lz-r+e0^Cx>*$4gmncH#vb zxiyDw_L|53ADc%rY;Q0LH>i6Naw{L?>ap_tQ;eFkU|Zhs*E-d^nD^mmntwcQFuZ9o z+336e0TV*fK4RG4^v%@}td7@0eKfJ}t`fJh`rwW4^*-WS9`F1F#@?`_kM(wvpno@p zbw}&F^F(_m+ST+@sn9;_`-HCTLvp8gG1v1yuiQ?j-jyK-9S5qLKY`k`^xIeO6BaAi zoNNxYWx}tw|61?q%s(XV8#!&`q|^12gE^^v{e5tiA<(Y7P;@L{a zdtCkr53Ba5Wp^e#`*w7(n}<*P`zbF+`x9RNhIjN$bJA|rx6A6*S|uB_D)(9Es>MDg z4=q%+RUZ%a&t&r|PwncVpJ45qhuzJKA1n9YWZVxjtLo8Dk~<&G{=?z%8<p_e zs8*_ON#8f|+r#6;2P?7eCLiQNC6`#LC&I3WD*thn_;-o<(8ovk=37cfSBqBFqOP&R zaQk3ZKIq-*a#-E?lTT~sbLeuV3jb*KLv6#Y4-<3jcXrx-UAyKAH`d{8KWrdbsTupH hdDy;@`3C?1|Nr80P~ZXp009600|2DE4`z5K003f&jVAyA diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_mult_srs/fileinfo.srs b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_mult_srs/fileinfo.srs deleted file mode 100644 index 88e21eb3c8d0908db4921211bf247ee70df68245..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 298 zcmV+_0oDE=iwFP!000001I*OHPQx$^2H-nS5f$yoysYa0?O~!Uaa%)@Mv05q+mnfL zh!7V9QZBLbAFNN7?9FB)VpY`3L$Cvku6BX)Os6kow>0X6fxpEV zOuEEE6T8#zVIgKU>+;0csUpf|h-{@i9xB|v6PT_E8d|Mo=(Jb zMH^b7ts&DCuwL3@E4uj-@!CCCyvKjzX`4s~n23SXdB_GBeJoytavxvHeS|!DB8bi@ w3UWWFW#wDx`yb*8|J1^4-D*l-Kof_x-kImW=6wJF0RR630Lt|d4P*uY01dB<761SM diff --git a/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_mult_srs/skeleton.srs b/gbe/cores/sgmii/pll_in125_out125_out33/syn_results/synwork/pll_in125_out125_out33_mult_srs/skeleton.srs deleted file mode 100644 index 2fd70369aec7f64c18670f78eb82a82ffbfb7a88..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 584 zcmV-O0=NAiiwFP!0000015#C0RVXORFG)=#4R53!Bl(bUPd z<3gDjB-&OQsY8nFtdx}LTmGWVEGXaCeVVY@3VW}eP=atHft4#q48uk2+7+?H-ROq_ zQ&t{jM_BW2tSd;xOZysE;b>5B3T^a&KBi;7;Nrg1Hn4GNT&ug3_jy<;ta1}InH(;Z zZy+vm(SpOFR|C0n@f%>92XP@sxg=@zd#|O<7OMBq%iKwAY4S@C6AwM~MYUb+b-JJa zZn=;ejTg>BhRy~cEciTGa;{CWWdRT*kN~7GM2aak)<#xD7ptuRm3IH-?P%YYOZ%z$ zb$Wf^q?~ZOwN%y&=)aLCj#MYt(K=!74!ikFR~`BdR(-qJCFyO}0Wa82 zTzpinvcw-84DZ54VHj5FzxK-ZeE=^DA#R$EJ7Lk_KwB8};`%U48sckx`kFL~uRl-E zH7BZhzMdXbop5t~KDpt3d>XjrPO`u^J}%$t<1%@2eCEe9VD#L4Z^lz6gZ%{n0RR8u Wa!}v`00030{{sM79e~>w0{{Tv;u?AG_(~`BM?Dqsz5ZY zRdkZGg74>38V=T#B4}!$q}y82fGUr1upoEGI;6-%MMw^T$E+c2@%t}pFp3)pNCx+8 zaLPL2wZ%VaZKXfBNF&AK|1j$UuSNX0%{n2n-VjkSHlHTAabIw@YqSTM;3j>+b&cmz zIA{{yv@bm20qcY|>kF;30oGQhk9OV{U43x+SUn`Xhnw+)@*pf-15)(mEJ%vFanY-3 z?2q5EKhm&n0H`yDgJt6xZ#z7N$>d5p!9VulY)vO)U8<#I2Uj+1h})5_sv- z#m%p&Qu4AK%Rb*<-j-x^I;7?6*T-tL$|IDQM#v2>+x;jGCtJ`v0&%nF8N1eR@+)fu z^qs`c>trg+o|iuW00960>{w58qdF2lk3WS9au{l|6e?3D1sC%tejEY`T@_D!aH+6K z0@wsmj6%J9`jv!nu%ELtyXT$5w53+Jx?A19Zo>YCcHsx{&5aN3CN@F{?%FmEC%($k zMuVji`1{$8EcMyHoHu0x@y+z!@Ne&eP+gE-Zelv|^ajFMy)okWPBndSU=q#k`Kz9l zkG5@=l7jgL8~=U=yFFs-Ckm9RlpUF@`Tom zQmTmk78YSxlZdFsLRp^bi>E;p*Q{0JJy69ftb`=qGf=na$g`C|;nB)s{T?o=;~v4f z1nyOEA;;Gqs##4XsmN#(he5sf783@R#gFpZ>%^-j== zHa#Do@bQ?RRv%W9JX-{5@6E^4$=W?zduMBluPLL1U%|b2w-~pRQc@8fejbHY3Ygou zlJU|Ipu9^6))2xetVr(3{RBZyQz?VJG%2hV$QW|xKal1L{Tjh3Kh-2%p1KYBSvW}K z_rsLeL437OH{h#^5k`Jn`_~bKhVR#Hcnwj_e?fa4`jJsz`z@TGQLn*>+OYnL{)vwN z<(`p@8X9c`^`~sB8sT+Re@XvaAHJd!rLWmKj#$hKb)Uz+qJo#uVyKPIazE?gSVQ<& zLwZ82XOZh!g^zs1NKPAfsDW{<=%;m*9eJ__ym1YTYen}?Yv7aDoz`1-T5sbz$|SGj zIp`v*Xb4Nab$@S?YVQ@{5F>T4^j%8`wNT@&dYm}r<0HRX!AFu>&nU695Ayz0HD_!0 zZ0(({nRTp{cT`!&Izq&v(5$eVTB{*morV&PFH+3Q0I$4ZKTUEelMUTxq~+66{(ONf`!~h$s2L zl?EPCu$2fKERjda!)XmGE0AxiAWLHN*ygkQv;=iIA{qy^^h76qfON$Z@PNZ7Joa#k zGbQMyu#p1ek4?RI{!(Bex%UB8%5yW(EJU8(KP(HBM0xJ*I>$C{+q2_IyDIC0W8`y! z-Zk<8Pc9cpTyb~xaQcpYoSv2b{?i;OS0L{>!(at#NuuPxK6yQUOJ5u)#r;PdXYZs^ zC~yg!JHMoDorRFg3c|sAtq6ICDT55|vc4updT^)zb8o1RN$JGi!$}`12VX>8AL{bB zr(f6`dR>V={T+JY_)e7d*dX4(#h>{x?yCwq&ATys*7r?& zE3j1sD&p_QIGi;nPPtptic!|hn7d4 zW+hTqqUJJ6Z{IOHz}Mt;qq15|JEWvwDXEVp5uErah=+Tpmlb> z(6vR3`Hf=K8DMt9X=j%VxH)gV(Hf z%!{w=;k*;S9_{VHznMMc5o5hyEJa`H;lnK(`!&;TNx$oMDg5HTR9a&p_UkMk&tlC$ zIr0_si+`tu{dXFFCp{DXW#3bq`{wqu!ln3FICY)XI5u3mlvHP>O&j{NSRtGf0pL5%c=bYg4nt}tp^e%|zUZGU@7{jf|b^WcUStj|sHw-%Tuj~-K zGymUYqHXQ$;0y0@BRn{Z1p-C#6r6Ee7Sfj4@jYkXsm+JDihUW2&t4x+BlU{J(L$|N z{?Wbn4T0D5#Sr6}w9lN`S+5gI+rHF^|465)&m`47o;xBIhn{*7W5j)q{zrO6?e}`W zOTF1)_V`+F_J?|Vno-^62~yCa@-FEV`=Td>hn}=hV>R5@kq?n!Ea#-CZzl4AqM zW+4X$dP#3W^R!aD9)m@HT#zUZRkik`ePkMN%z?LK4!k`gTkH6nh?(DWXmP2YWRH;F zZ=vkPk-CU^^R4%WABi5l81mEP;C!HuA`e7A@%S{I7fJdRz6+kxxnJ7+|DOE&p1k2X zQ}UeQ!MAnO{$Z4M3p@X%EN$2st@sPU&hUHr`3B}@Y0I;v{=*KIvHIde33e&<#;^=P z_2uu)g_;8}8u-hV_B+Jln`T(~o(^+uDy(%mKNZc2aH!cgw-QAxEHd zW$YYcN;`-CDi!GV4}k+5n@{8i{2IsNE1O@i^z#mOOZgtXJpS#S0((k$vF}RQc}o~$ z(Qkbg_q=4M_j(x|apynTJk0RR6=D@o1CvF37c1poj5|Nq=q?{4C_7XM71B8vGi=xV5=L?j|Y z8e)@mSJ)wkg`Lse$|9w^fdmM0QzStl}Sh$S<(f8)<)$eOdXD?m=s1oDzQoxE{Wx|c%yTfR{|2} zUj5MlC%Kzcg4~5dG0yG|Sl!`iIzV?7COM{psJlE#|4Z=U4pn!I{nVd)eMcFU{Cf6f ze~_$8yq^$I9-i^tf$vKTSh9LxNcFwY5cY zNz{@M!c&=~H2vBEgL82gk*`(z-Q%b$mx`n*O*&nP^S^XmMAqMy_4g$QGMrX$P+xB} zBRAzTVO36ZqLa#aTWf}%b19g@n6m_OXL+c_=ybLRF9X>>IUo0JEQqI;VM3YYhuJ+N z#;3N9yMQ>AI^)TGNTfn4DN`WGNv1Rv9aRm`X#b`r^IQ=j5o+x&C8|^=N-jPpOM0)v$JnpsGGqj<_0-}Ln&eX*Z<2I)1lw1T`D9b z{6=3LM5a51S`kH7q?;E3!3J}))jO^Ps!&NporKJt=qDcX?X}*x=jooJa@|!(ipfOf z_pf~MN{EK0Nuab%Y=&;O3U3wb2iM?41%lA-8~Qmzq|)Zk{AY-1-jn zxWuANc$y%<>gET}sZ1oox#TJ|auZpF+pC=1^AM4tejJB3KZee&18m|tJ46<*A>Ks0 z#)1QnclE|Kv>nv3wTTWT2j2Ly=5>a)SW2s}{o{a$m`Tiu6ia#l>lA4?}-9 ztm9g9M%qje%%ciR(^o4lNDa=;nE8L0D`j%k*I^$#4)wVA|I_%xo82({bGy||DX#`4 ze6}B&x~{?WPoaC%v?G(|7r0@qdLD1$Y@~H$a~-2oJRa5K%}LI&)wmBwyLN5A7(EA# zHGi;=uDiACQSe+?5A)_2xOVp38x`~5g0=c;3dozrFapenA5X1>abdb5ka!_2ob=6L=NFZH`?3o#Z1|Bl2mU5IE79Z zrCmL!2%iEaO7BA4%o`vWO>x*Y{Cd9vsvDP?ExJEZ5M8s!v@*gfAtfYu7BZ&vr23^Q z!*}QO-Ff=%EGVS9TJ(@odMgNtNW0qhP9hmrRU&hGxzE45&)?h=SdvaS)zex`40fF$ zIa%g<;UsB7J80RLIhyEhd(pEL`2?lpBI7MCU4O&%jg0i$T`p4-L+I!rr1>|T(RGbo ziz9tA`KC8rWXc7->3WL`*OH~P)=$05g|jO@1U57G`73QXZE1{lq>dSwnSWx?JKMk6 zUy4{3O(sn(Ixei&Yj16S{uR@J@AU`Ub}`(V9h#kf>)GenF1}>4DQX#Soa_foCgUdV zoqIMED<>MXv|2W&US_*)Z?%KHIDqWw@%6lG%-R2TcH6Vr z$72TOJ@qpgJYD7}Yu{h6Ze>PH&q(8k-N>Roj%^#9_A?5cS8O5eqdl&naN5tn#NsCR zc9%JU`<~BUUt|X0WMlVOJ9hA@FK%SpcP=}?1>eZn^d5-(53jVi|06D5|3GURd1`r0 zf4k#X>{imf`P*oj*{b)llTCdB=cwmL z5k0^9+w|lr3tpuCr9OUV$N%7Q-}CGkoU>K%WM@I|EMGo5M`-(2v}t*E!CB*Fy(EU;BbZ9GIf~|e22h;EE zFF()SL(m+hYrDPOId*Iaj+r|SYWrHNO|9pFJle(kddN=2YJ8NxZ{G9h|1*S~5oUVy zb(Ss`>%~6WF04Ou*urqTM0#Z};5jhAd1SRSQUNZX2*giuJ5#NH00030|Kf5`-~s>u Q0RR630OCx$t_Cdt00QcmaR2}S diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/layer0.tlg.rptmap b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/layer0.tlg.rptmap deleted file mode 100644 index 3910cac..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/layer0.tlg.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synwork/layer0.tlg,layer0.tlg,An incremental, partial HDL compilation log file that may allow early access to errors or other messages. diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/layer1.tlg.rptmap b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/layer1.tlg.rptmap deleted file mode 100644 index af92e0b..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/layer1.tlg.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synwork/layer1.tlg,layer1.tlg,An incremental, partial HDL compilation log file that may allow early access to errors or other messages. diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/linker.rpt.rptmap b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/linker.rpt.rptmap deleted file mode 100644 index 3793ead..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/linker.rpt.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synwork/sgmii_ecp5_comp.linkerlog,linker.rpt,Summary of linker messages for components that did not bind diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/metrics.db b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/metrics.db deleted file mode 100644 index f26fd6af62093a2882e7d380ad36bb0ae93eb77f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20480 zcmeI3&uL&#F;;$SB{+9Kcc<#*ngrI)aU)-AF27(OBDJ>UhmB8d*6BcdG_IX$4?$@3B_64 z3tUUFiuSdp>)I{GG);RA#~C=%HU*vjkv2W;eBk_AEB*b7fWZ{GrP1x|FXS)iU_$~( z00|%gB!C2v01`j~NB{|(KmrGsr>1kWv-)9PSxtv4;R@M_-_P86ys^Hs!FJYfZ*8z* zJXyTvHD7RBv7Qy!yH;?6xm*Rp9*%pK)8)fqR|*vlhcCS5aA13`%jJlc9|+e9UT(*d zP0w?`%b5CX-Lq*fb z?DQv@?7B@JFt5$--Q8kB`d!5$!&=TDMN0BNB;Kyq>VkjueeTKi^=}MP0=Ml9Oo+DN zt;Q#MvP8M1ZC)_Q0%$xRYD6KG-?#RW&<9HW`u{6>R-=E=H}n;4(iIqBLjp(u2_OL^ zfCP{L5u)mipWW{FnV_B&R?wS1ok z*9!*8N2UR{oY=NnU#YB?|7|;eC6b*T$SyCMQA%k&T zCT{#k$9^!Z6^I^}tof2jPnJ5C;;p5q$0ZN?qhu8oa2~EMz|(C?W;Zj!?lhpx^m@Ey z#`WglM%YhxHko57dzXhSv3X`1V_u69f2_OL^fCP{L55z1j%Dvv7tLy= KW}0$zC&NFq`p7*1 diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt deleted file mode 100644 index cf05fac..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt +++ /dev/null @@ -1,16 +0,0 @@ -@N|Running in 64-bit mode -@N|Running in 64-bit mode -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -@N|Running in 64-bit mode -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -@N: CD630 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Synthesizing work.sgmii_ecp5.v1. -@N: CG364 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1968:7:1968:10|Synthesizing module sync in library work. -@N: CG364 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1051:7:1051:24|Synthesizing module sgmii_ecp5sll_core in library work. -@N: CG179 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1287:54:1287:59|Removing redundant assignment. -@N: CG179 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1293:52:1293:55|Removing redundant assignment. -@N: CG364 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":92:7:92:24|Synthesizing module sgmii_ecp5rsl_core in library work. -@N: CL201 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|Trying to extract state machine for register sll_state. -@N|Running in 64-bit mode - diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml deleted file mode 100644 index 797309b..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - - - /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr - Synopsys HDL Compiler - - - Completed - - - - 15 - /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt - - - 76 - /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt - - - 0 - /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_errors.txt - - - - - - - 00h:00m:02s - - - - - - - 1557471731 - - - \ No newline at end of file diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt deleted file mode 100644 index d386b26..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt +++ /dev/null @@ -1,77 +0,0 @@ -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Pruning unused register rcpri_mod_ch_st. Make sure that there are no unused intermediate registers. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 1 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 2 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 16 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 18 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 19 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 20 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 21 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 6 to 4 of genblk5.rdiff_comp_unlock[6:3]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 5 to 3 of genblk5.rdiff_comp_lock[5:2]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rdiff_comp_unlock[3]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rcount_tc[17]. Make sure that there are no unused intermediate registers. - diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml deleted file mode 100644 index 8733c9b..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml +++ /dev/null @@ -1,26 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_resourceusage.rpt -Resource Usage - - -221 - - -0 - - -0 - - -0 - - -154 - - diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt deleted file mode 100644 index d8e3e2c..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt +++ /dev/null @@ -1,22 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: MO225 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rhb_wait_cnt[7:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1304:0:1304:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rcount[15:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1759:0:1759:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance pcount[21:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":412:3:412:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.plol_cnt[19:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":909:3:909:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.genblk3\.rxr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":527:3:527:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.genblk2\.txr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":778:3:778:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlols0_cnt[17:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":680:3:680:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlol1_cnt[18:0] -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.edn -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml deleted file mode 100644 index c6da126..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml +++ /dev/null @@ -1,14 +0,0 @@ - - - - -3 / 0 - -/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_combined_clk.rpt -START OF CLOCK OPTIMIZATION REPORT - - - diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml deleted file mode 100644 index 05527dc..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr -Synopsys Lattice Technology Mapper - - -Completed - - - -22 - -/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt - - - -4 - -/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt - - - -0h:00m:03s - - -0h:00m:03s - - -153MB - - -1557471736 - - - diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml deleted file mode 100644 index 8f866cb..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr -START OF TIMING REPORT - - -Clock Name -Req Freq -Est Freq -Slack - - -sgmii_ecp5|pll_refclki -100.0 MHz -168.9 MHz -4.079 - - -sgmii_ecp5|rxrefclk -100.0 MHz -167.9 MHz -4.043 - - -sgmii_ecp5|tx_pclk_inferred_clock -100.0 MHz -237.5 MHz -5.789 - - -System -100.0 MHz -840.7 MHz -8.810 - - diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt deleted file mode 100644 index 6170ebf..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt +++ /dev/null @@ -1,4 +0,0 @@ -@W: MT246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.vhd":162:4:162:12|Blackbox DCUA is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) -@W: MT420 |Found inferred clock sgmii_ecp5|rxrefclk with period 10.00ns. Please declare a user-defined clock on object "p:rxrefclk" -@W: MT420 |Found inferred clock sgmii_ecp5|pll_refclki with period 10.00ns. Please declare a user-defined clock on object "p:pll_refclki" -@W: MT420 |Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock with period 10.00ns. Please declare a user-defined clock on object "n:tx_pclk" diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt deleted file mode 100644 index bd89dbb..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt +++ /dev/null @@ -1,9 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: BN362 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1408:0:1408:5|Removing sequential instance pcpri_mod_ch (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:PrimLib.dffre(prim) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1244:27:1244:40|Removing instance div2_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_3(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1252:27:1252:41|Removing instance div11_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_2(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1236:27:1236:40|Removing instance gear_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_4(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1268:27:1268:44|Removing instance pcie_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_0(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1260:27:1260:44|Removing instance cpri_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_1(verilog) because it does not drive other instances. -@N: MO225 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml deleted file mode 100644 index 3878c1e..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr -Synopsys Lattice Technology Pre-mapping - - -Completed - - - -9 - -/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt - - - -3 - -/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt - - - -0h:00m:00s - - -0h:00m:00s - - -144MB - - -1557471733 - - - diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt deleted file mode 100644 index 3044eef..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt +++ /dev/null @@ -1,3 +0,0 @@ -@W: MT529 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|pll_refclki which controls 93 sequential elements including sll_inst.phb_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Found inferred clock sgmii_ecp5|rxrefclk which controls 77 sequential elements including rsl_inst.genblk2\.rlos_db_p1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock which controls 53 sequential elements including sll_inst.rtc_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr deleted file mode 100644 index 5d31417..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr +++ /dev/null @@ -1,357 +0,0 @@ -Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -VHDL syntax check successful! -File /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.vhd changed - recompiling - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - - -Process completed successfully. -# Fri May 10 09:02:09 2019 - -###########################################################] -Synopsys Verilog Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps) -@I::"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v" (library work) -Verilog syntax check successful! - -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - - -Process completed successfully. -# Fri May 10 09:02:10 2019 - -###########################################################] -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -File /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.vhd changed - recompiling -File /home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd changed - recompiling -VHDL syntax check successful! -File /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.vhd changed - recompiling -@N: CD630 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Synthesizing work.sgmii_ecp5.v1. -Post processing for work.sgmii_ecp5.v1 - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 74MB peak: 76MB) - - -Process completed successfully. -# Fri May 10 09:02:10 2019 - -###########################################################] -Running on host :lxhadeb07 -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps) -@I::"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v" (library work) -Verilog syntax check successful! -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1968:7:1968:10|Synthesizing module sync in library work. - - PDATA_RST_VAL=32'b00000000000000000000000000000000 - Generated name = sync_0s -@N: CG364 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1051:7:1051:24|Synthesizing module sgmii_ecp5sll_core in library work. - - PPROTOCOL=24'b010001110100001001000101 - PLOL_SETTING=32'b00000000000000000000000000000000 - PDYN_RATE_CTRL=64'b0100010001001001010100110100000101000010010011000100010101000100 - PPCIE_MAX_RATE=24'b001100100010111000110101 - PDIFF_VAL_LOCK=32'b00000000000000000000000000100111 - PDIFF_VAL_UNLOCK=32'b00000000000000000000000001001110 - PPCLK_TC=32'b00000000000000100000000000000000 - PDIFF_DIV11_VAL_LOCK=32'b00000000000000000000000000000000 - PDIFF_DIV11_VAL_UNLOCK=32'b00000000000000000000000000000000 - PPCLK_DIV11_TC=32'b00000000000000000000000000000000 - LPLL_LOSS_ST=2'b00 - LPLL_PRELOSS_ST=2'b01 - LPLL_PRELOCK_ST=2'b10 - LPLL_LOCK_ST=2'b11 - LRCLK_TC=16'b1111111111111111 - LRCLK_TC_PUL_WIDTH=16'b0000000000110010 - LHB_WAIT_CNT=8'b11111111 - LPCLK_TC_0=32'b00000000000000001000000000000000 - LPCLK_TC_1=32'b00000000000000010000000000000000 - LPCLK_TC_2=32'b00000000000000100000000000000000 - LPCLK_TC_3=32'b00000000000000101000000000000000 - LPCLK_TC_4=32'b00000000000000010000000000000000 - LPDIFF_LOCK_00=32'b00000000000000000000000000001001 - LPDIFF_LOCK_10=32'b00000000000000000000000000010011 - LPDIFF_LOCK_20=32'b00000000000000000000000000100111 - LPDIFF_LOCK_30=32'b00000000000000000000000000110001 - LPDIFF_LOCK_40=32'b00000000000000000000000000010011 - LPDIFF_LOCK_01=32'b00000000000000000000000000001001 - LPDIFF_LOCK_11=32'b00000000000000000000000000010011 - LPDIFF_LOCK_21=32'b00000000000000000000000000100111 - LPDIFF_LOCK_31=32'b00000000000000000000000000110001 - LPDIFF_LOCK_41=32'b00000000000000000000000000010011 - LPDIFF_LOCK_02=32'b00000000000000000000000000110001 - LPDIFF_LOCK_12=32'b00000000000000000000000001100010 - LPDIFF_LOCK_22=32'b00000000000000000000000011000100 - LPDIFF_LOCK_32=32'b00000000000000000000000011110101 - LPDIFF_LOCK_42=32'b00000000000000000000000001100010 - LPDIFF_LOCK_03=32'b00000000000000000000000010000011 - LPDIFF_LOCK_13=32'b00000000000000000000000100000110 - LPDIFF_LOCK_23=32'b00000000000000000000001000001100 - LPDIFF_LOCK_33=32'b00000000000000000000001010001111 - LPDIFF_LOCK_43=32'b00000000000000000000000100000110 - LPDIFF_UNLOCK_00=32'b00000000000000000000000000010011 - LPDIFF_UNLOCK_10=32'b00000000000000000000000000100111 - LPDIFF_UNLOCK_20=32'b00000000000000000000000001001110 - LPDIFF_UNLOCK_30=32'b00000000000000000000000001100010 - LPDIFF_UNLOCK_40=32'b00000000000000000000000000100111 - LPDIFF_UNLOCK_01=32'b00000000000000000000000001000001 - LPDIFF_UNLOCK_11=32'b00000000000000000000000010000011 - LPDIFF_UNLOCK_21=32'b00000000000000000000000100000110 - LPDIFF_UNLOCK_31=32'b00000000000000000000000101000111 - LPDIFF_UNLOCK_41=32'b00000000000000000000000010000011 - LPDIFF_UNLOCK_02=32'b00000000000000000000000001001000 - LPDIFF_UNLOCK_12=32'b00000000000000000000000010010000 - LPDIFF_UNLOCK_22=32'b00000000000000000000000100100000 - LPDIFF_UNLOCK_32=32'b00000000000000000000000101101000 - LPDIFF_UNLOCK_42=32'b00000000000000000000000010010000 - LPDIFF_UNLOCK_03=32'b00000000000000000000000011000100 - LPDIFF_UNLOCK_13=32'b00000000000000000000000110001001 - LPDIFF_UNLOCK_23=32'b00000000000000000000001100010010 - LPDIFF_UNLOCK_33=32'b00000000000000000000001111010111 - LPDIFF_UNLOCK_43=32'b00000000000000000000000110001001 - Generated name = sgmii_ecp5sll_core_Z1_layer1 -@N: CG179 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1287:54:1287:59|Removing redundant assignment. -@N: CG179 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1293:52:1293:55|Removing redundant assignment. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Pruning unused register rcpri_mod_ch_st. Make sure that there are no unused intermediate registers. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 1 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 2 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 16 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 18 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 19 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 20 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 21 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":92:7:92:24|Synthesizing module sgmii_ecp5rsl_core in library work. - - pnum_channels=32'b00000000000000000000000000000001 - pprotocol=24'b010001110100001001000101 - pserdes_mode=72'b010100100101100000100000010000010100111001000100001000000101010001011000 - pport_tx_rdy=56'b01000101010011100100000101000010010011000100010101000100 - pwait_tx_rdy=32'b00000000000000000000101110111000 - pport_rx_rdy=56'b01000101010011100100000101000010010011000100010101000100 - pwait_rx_rdy=32'b00000000000000000000101110111000 - wa_num_cycles=32'b00000000000000000000010000000000 - dac_num_cycles=32'b00000000000000000000000000000011 - lreset_pwidth=32'b00000000000000000000000000000011 - lwait_b4_trst=32'b00000000000010111110101111000010 - lwait_b4_trst_s=32'b00000000000000000000001100001101 - lplol_cnt_width=32'b00000000000000000000000000010100 - lwait_after_plol0=32'b00000000000000000000000000000100 - lwait_b4_rrst=32'b00000000000000101100000000000000 - lrrst_wait_width=32'b00000000000000000000000000010100 - lwait_after_rrst=32'b00000000000011000011010100000000 - lwait_b4_rrst_s=32'b00000000000000000000000111001100 - lrlol_cnt_width=32'b00000000000000000000000000010011 - lwait_after_lols=32'b00000000000000001100010000000000 - lwait_after_lols_s=32'b00000000000000000000000010010110 - llols_cnt_width=32'b00000000000000000000000000010010 - lrdb_max=32'b00000000000000000000000000001111 - ltxr_wait_width=32'b00000000000000000000000000001100 - lrxr_wait_width=32'b00000000000000000000000000001100 - Generated name = sgmii_ecp5rsl_core_Z2_layer1 -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 6 to 4 of genblk5.rdiff_comp_unlock[6:3]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 5 to 3 of genblk5.rdiff_comp_lock[5:2]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rdiff_comp_unlock[3]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rcount_tc[17]. Make sure that there are no unused intermediate registers. -@N: CL201 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|Trying to extract state machine for register sll_state. -Extracted state machine for register sll_state -State machine has 4 reachable states with original encodings of: - 00 - 01 - 10 - 11 - -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 81MB) - - -Process completed successfully. -# Fri May 10 09:02:10 2019 - -###########################################################] -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -File /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synwork/layer0.srs changed - recompiling -File /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synwork/layer1.srs changed - recompiling - -======================================================================================= -For a summary of linker messages for components that did not bind, please see log file: -@L: /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.linkerlog -======================================================================================= - - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 69MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 09:02:11 2019 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 09:02:11 2019 - -###########################################################] diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.db b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.db deleted file mode 100644 index a986936281551f5f31b97a5f3f9dab68cedc9ff9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 28672 zcmeI5U2G#)6@cy7j`Qy>n`NQxwwI-}yEL`u*RkF1w!7Pqrb$S5leDa&Wi+-Y&LrcR z*_m;EmK1O#1VWXNc;SHuo{)IxGvXD2kPzab4-=oy zZmwXgWUk}i^PO|&-gEAqbFz4IPWLplYB_b)Lt^ZSSUetk4WU>pmV)0j{I+j#xRY%E z0WJRfuv;qj(#PK!g;&Kh-;Kdfbc-|)X&}--q=85Skp?0SL>h=R5NRONK%{|41OMk5 z@Z#z77cRt`FMDd)(CV7&sx{4Rw|zHmOLQB`K&rPBBqnGq5+Eks&n(9m-LpL`% zf3;rHd0;~~yIyR=J9X2$jD!4S<^k4yI`i+$KQbR>9t{6;_{pK{;PrvG)9d|TPklf6 z;bLyhs%ts5>gcMOtLa|ObIP(?$$47c zHdIf`*^afYRlJ;AtLwUdRJ4jMcimN7Yt=KXnqJ9nUM%sGVzI>ejl6r!Fp#6EU?VUq zQe9WCnRU(dT;y4(tb2%;khO|xnprkB&u#4zg@duj}_zPq)nMmg<kwnC6#WT(kTF(@GRFSnRAI7)BGeAIH4$(WTC{9 zR^GjZy;aQTXw`;URZXwU8P1xPr(uV6yq~&Qg~^gEfmpaL9#O1`6o_^6Ygk)iUZT+z zIGHa^mU!F<((dAp31)Tmdsty$`UZp!B>o_ghie!RA%MYk6QaJdqdGfi%W^jMwfIaD zi>pu+x0o+radE?Q4;OdGtn?IcFaZJ<=Rv^N^CB0DKC_!^)r%#O1F_)1=Yv8K^>j3t z-Q-)!+IzPTM0sHvi!v#+MZxi04x&F#uV^_qi|UqH&52o_Q?~O`&fPKXvg&F%P<{@+ zHs^X(|Lb`{Eb*WcXas(DQG*Wv143+u&qCKS8aP11fuHUAJ$~<92>Tz?*F*H){{|dV zG8~f6!69)L@6Dfuz&_K=^o?zf{%-X7k?%$Ee-!^yTkMG9|BzO8qWJ$b*X$_zol*RM ziiVBi|4`PQ^(g*7y$yvZ{tu-ONAds3cEO_f|2TVwQT%_xJ=iGzhn?r+Ez2 zHB3+ODk}p2=v(pX=NV%RZcc~8c#$#2;GT3ijGtqSF&K)5!nlSAW1X4vk?*yCcj7B# z)}CbzwU_&Ta@1#7Lk$kIohzSCj(UML)Zl0vChF6yp#}%tFj1dk4K+CShKO2wlAvxd zGXnRz2~cjQfkh_ z`ZytF=nC;(-tWn;5clK%4`P|8#|ooMBlhrnLq8e(#lZda$LaC@Tp7kQAH&^@{|O zoh>2?zJPj}F-8imfO?5B#^54ID5H-00%MF6ydCv<#uzC$JL(r0V+`Nd@vr~C8p|w? z?T-Fx#9(;e`Zv$7;U+v#Z{W|%l07m_*mFo@Uz_gB%)RlJkFr^bLaW2x9utw(_0bl;1mlLRV>r>aH0{H zMN1ai&@>xoOF>o&ez>AdAl0^w9shNX3Q1E4QacnIQZ+PZQ@a9lH6&|vy`ejSYI~YG zdY;GVa6AgS{TR`|L>oO{lmHzc4?`ES&f~QD?J11jq?i;a=OKZqgpY-uDS>{62Xw=7 z65z$7RXtTPEX!8PaG?|otWe&B}C3TF3hOBl07BWNlZ~sL7l{>Eyws&Z~x&Z z$6?#;ClQlQ=d@0v%(QECYWaj*(BQdjD;FSTJA?mcXmS088i~VZ!a*GvZX?xLT#QA3xtg3I4t-h z8Z@6AhcVzIjAw685~*is+QT2u1Q^e_fIX;ov`cwN>Yt%lE}|$vnFBZ+S}MYE4=xpf zh+xTgBN{Dpd!TlVIFh5lPA&EHU|Y(X!|oDWQume$oPns*oIGZXJqfHlFRFyqBs!`hQgqS?1$w*oUuC7C~{Z~M%!xm4AtW8a;lZ)&nMCvdPdci<@v z*xt56-_(0!v%oyNcZHam${Kk0#?-^6LDY2-Q`goMS>}JFiLb}Jr<(rMhYp_k#@?i@ l5WGFv-lRNCA$W(jwM}_y3Ynp#{U2S diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.rptmap b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.rptmap deleted file mode 100644 index 14937c8..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synlog/sgmii_ecp5_compiler.srr,sgmii_ecp5_compiler.srr,Compile Log diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr deleted file mode 100644 index 41be052..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr +++ /dev/null @@ -1,673 +0,0 @@ -# Fri May 10 09:02:13 2019 - -Synopsys Lattice Technology Mapper, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB) - -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 113MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB) - - - -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 144MB) - - -Available hyper_sources - for debug and ip models - None Found - - -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 144MB) - -Encoding state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) -original code -> new code - 00 -> 00 - 01 -> 01 - 10 -> 10 - 11 -> 11 -@N: MO225 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rhb_wait_cnt[7:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1304:0:1304:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rcount[15:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1759:0:1759:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance pcount[21:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":412:3:412:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.plol_cnt[19:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":909:3:909:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.genblk3\.rxr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":527:3:527:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.genblk2\.txr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":778:3:778:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlols0_cnt[17:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":680:3:680:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlol1_cnt[18:0] - -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 144MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 144MB) - - -Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 145MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 145MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 145MB) - -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). - -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 147MB peak: 149MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- - 1 0h:00m:01s 4.90ns 155 / 221 -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 147MB peak: 149MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 147MB peak: 149MB) - - - -@S |Clock Optimization Summary - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -3 non-gated/non-generated clock tree(s) driving 221 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - -============================================= Non-Gated/Non-Generated Clocks ============================================= -Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------------------------------------------- -@K:CKID0001 pll_refclki port 91 rsl_inst.genblk1\.genblk2\.mfor\[0\]\.txpr_appd[0] -@K:CKID0002 rxrefclk port 77 rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] -@K:CKID0003 DCU0_inst DCUA 53 sll_inst.pcount[21] -========================================================================================================================== - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - - -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 111MB peak: 149MB) - -Writing Analyst data base /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 149MB) - -Writing EDIF Netlist and constraint files -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5.edn -M-2017.03L-SP1-1 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 150MB peak: 152MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 151MB peak: 152MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - -@W: MT246 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.vhd":162:4:162:12|Blackbox DCUA is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) -@W: MT420 |Found inferred clock sgmii_ecp5|rxrefclk with period 10.00ns. Please declare a user-defined clock on object "p:rxrefclk" -@W: MT420 |Found inferred clock sgmii_ecp5|pll_refclki with period 10.00ns. Please declare a user-defined clock on object "p:pll_refclki" -@W: MT420 |Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock with period 10.00ns. Please declare a user-defined clock on object "n:tx_pclk" - - -##### START OF TIMING REPORT #####[ -# Timing Report written on Fri May 10 09:02:16 2019 -# - - -Top view: sgmii_ecp5 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.fdc - -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. - -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. - - - -Performance Summary -******************* - - -Worst slack in design: 4.043 - - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group ----------------------------------------------------------------------------------------------------------------------------------------- -sgmii_ecp5|pll_refclki 100.0 MHz 168.9 MHz 10.000 5.921 4.079 inferred Inferred_clkgroup_0 -sgmii_ecp5|rxrefclk 100.0 MHz 167.9 MHz 10.000 5.957 4.043 inferred Inferred_clkgroup_1 -sgmii_ecp5|tx_pclk_inferred_clock 100.0 MHz 237.5 MHz 10.000 4.211 5.789 inferred Inferred_clkgroup_2 -System 100.0 MHz 840.7 MHz 10.000 1.190 8.810 system system_clkgroup -======================================================================================================================================== - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise ------------------------------------------------------------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ------------------------------------------------------------------------------------------------------------------------------------------------------------- -System sgmii_ecp5|rxrefclk | 10.000 8.811 | No paths - | No paths - | No paths - -sgmii_ecp5|pll_refclki System | 10.000 8.253 | No paths - | No paths - | No paths - -sgmii_ecp5|pll_refclki sgmii_ecp5|pll_refclki | 10.000 4.079 | No paths - | No paths - | No paths - -sgmii_ecp5|pll_refclki sgmii_ecp5|tx_pclk_inferred_clock | Diff grp - | No paths - | No paths - | No paths - -sgmii_ecp5|rxrefclk System | 10.000 8.277 | No paths - | No paths - | No paths - -sgmii_ecp5|rxrefclk sgmii_ecp5|rxrefclk | 10.000 4.043 | No paths - | No paths - | No paths - -sgmii_ecp5|tx_pclk_inferred_clock sgmii_ecp5|pll_refclki | Diff grp - | No paths - | No paths - | No paths - -sgmii_ecp5|tx_pclk_inferred_clock sgmii_ecp5|tx_pclk_inferred_clock | 10.000 5.789 | No paths - | No paths - | No paths - -============================================================================================================================================================ - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: sgmii_ecp5|pll_refclki -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock --------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk1\.plol_cnt[2] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[2] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[3] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[3] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[17] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[17] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[19] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[19] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[1] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[1] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[4] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[4] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[5] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[5] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[6] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[6] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[7] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[7] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[8] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[8] 0.907 4.684 -==================================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------ -rsl_inst.genblk1\.plol_cnt[19] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[19] 9.946 4.079 -rsl_inst.genblk1\.plol_cnt[17] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[17] 9.946 4.139 -rsl_inst.genblk1\.plol_cnt[18] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[18] 9.946 4.139 -rsl_inst.genblk1\.plol_cnt[15] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[15] 9.946 4.200 -rsl_inst.genblk1\.plol_cnt[16] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[16] 9.946 4.200 -rsl_inst.genblk1\.plol_cnt[13] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[13] 9.946 4.261 -rsl_inst.genblk1\.plol_cnt[14] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[14] 9.946 4.261 -rsl_inst.genblk1\.plol_cnt[11] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[11] 9.946 4.322 -rsl_inst.genblk1\.plol_cnt[12] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[12] 9.946 4.322 -rsl_inst.genblk1\.plol_cnt[9] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[9] 9.946 4.383 -======================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 5.867 - - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 4.079 - - Number of logic level(s): 15 - Starting point: rsl_inst.genblk1\.plol_cnt[2] / Q - Ending point: rsl_inst.genblk1\.plol_cnt[19] / D - The start point is clocked by sgmii_ecp5|pll_refclki [rising] on pin CK - The end point is clocked by sgmii_ecp5|pll_refclki [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) -------------------------------------------------------------------------------------------------------- -rsl_inst.genblk1\.plol_cnt[2] FD1S3DX Q Out 0.907 0.907 - -plol_cnt[2] Net - - - - 2 -rsl_inst.genblk1\.un1_plol_cnt_tc_10 ORCALUT4 A In 0.000 0.907 - -rsl_inst.genblk1\.un1_plol_cnt_tc_10 ORCALUT4 Z Out 0.606 1.513 - -un1_plol_cnt_tc_10 Net - - - - 1 -rsl_inst.genblk1\.un1_plol_cnt_tc_14 ORCALUT4 D In 0.000 1.513 - -rsl_inst.genblk1\.un1_plol_cnt_tc_14 ORCALUT4 Z Out 0.606 2.119 - -un1_plol_cnt_tc_14 Net - - - - 1 -rsl_inst.genblk1\.un1_plol_cnt_tc ORCALUT4 D In 0.000 2.119 - -rsl_inst.genblk1\.un1_plol_cnt_tc ORCALUT4 Z Out 0.762 2.881 - -un1_plol_cnt_tc Net - - - - 5 -rsl_inst.genblk1\.plol_cnt11_i ORCALUT4 B In 0.000 2.881 - -rsl_inst.genblk1\.plol_cnt11_i ORCALUT4 Z Out 0.840 3.721 - -plol_cnt Net - - - - 21 -rsl_inst.genblk1\.plol_cnt_cry_0[0] CCU2C A1 In 0.000 3.721 - -rsl_inst.genblk1\.plol_cnt_cry_0[0] CCU2C COUT Out 0.900 4.621 - -plol_cnt_cry[0] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[1] CCU2C CIN In 0.000 4.621 - -rsl_inst.genblk1\.plol_cnt_cry_0[1] CCU2C COUT Out 0.061 4.682 - -plol_cnt_cry[2] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[3] CCU2C CIN In 0.000 4.682 - -rsl_inst.genblk1\.plol_cnt_cry_0[3] CCU2C COUT Out 0.061 4.743 - -plol_cnt_cry[4] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[5] CCU2C CIN In 0.000 4.743 - -rsl_inst.genblk1\.plol_cnt_cry_0[5] CCU2C COUT Out 0.061 4.804 - -plol_cnt_cry[6] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[7] CCU2C CIN In 0.000 4.804 - -rsl_inst.genblk1\.plol_cnt_cry_0[7] CCU2C COUT Out 0.061 4.865 - -plol_cnt_cry[8] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[9] CCU2C CIN In 0.000 4.865 - -rsl_inst.genblk1\.plol_cnt_cry_0[9] CCU2C COUT Out 0.061 4.926 - -plol_cnt_cry[10] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[11] CCU2C CIN In 0.000 4.926 - -rsl_inst.genblk1\.plol_cnt_cry_0[11] CCU2C COUT Out 0.061 4.987 - -plol_cnt_cry[12] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[13] CCU2C CIN In 0.000 4.987 - -rsl_inst.genblk1\.plol_cnt_cry_0[13] CCU2C COUT Out 0.061 5.048 - -plol_cnt_cry[14] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[15] CCU2C CIN In 0.000 5.048 - -rsl_inst.genblk1\.plol_cnt_cry_0[15] CCU2C COUT Out 0.061 5.109 - -plol_cnt_cry[16] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[17] CCU2C CIN In 0.000 5.109 - -rsl_inst.genblk1\.plol_cnt_cry_0[17] CCU2C COUT Out 0.061 5.170 - -plol_cnt_cry[18] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_s_0[19] CCU2C CIN In 0.000 5.170 - -rsl_inst.genblk1\.plol_cnt_s_0[19] CCU2C S0 Out 0.698 5.867 - -plol_cnt_s[19] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt[19] FD1S3DX D In 0.000 5.867 - -======================================================================================================= - - - - -==================================== -Detailed Report for Clock: sgmii_ecp5|rxrefclk -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock -------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rxs_rst sgmii_ecp5|rxrefclk FD1P3DX Q rxs_rst 1.015 4.043 -rsl_inst.genblk2\.rlol1_cnt[7] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[7] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[8] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[8] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[9] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[9] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[10] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[10] 0.907 4.136 -rsl_inst.genblk2\.rlols0_cnt[1] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[1] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[2] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[2] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[3] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[3] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[4] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[4] 0.907 4.170 -rsl_inst.genblk2\.rlol1_cnt[0] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[0] 0.907 4.742 -=================================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[11] sgmii_ecp5|rxrefclk FD1P3DX D rxr_wt_cnt_s[11] 9.946 4.043 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[9] sgmii_ecp5|rxrefclk FD1P3DX D rxr_wt_cnt_s[9] 9.946 4.104 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[10] sgmii_ecp5|rxrefclk FD1P3DX D rxr_wt_cnt_s[10] 9.946 4.104 -rsl_inst.genblk2\.rlol1_cnt[17] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[17] 9.946 4.136 -rsl_inst.genblk2\.rlol1_cnt[18] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[18] 9.946 4.136 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[7] sgmii_ecp5|rxrefclk FD1P3DX D rxr_wt_cnt_s[7] 9.946 4.165 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[8] sgmii_ecp5|rxrefclk FD1P3DX D rxr_wt_cnt_s[8] 9.946 4.165 -rsl_inst.genblk2\.rlols0_cnt[17] sgmii_ecp5|rxrefclk FD1P3DX D rlols0_cnt_s[17] 9.946 4.170 -rsl_inst.genblk2\.rlol1_cnt[15] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[15] 9.946 4.197 -rsl_inst.genblk2\.rlol1_cnt[16] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[16] 9.946 4.197 -================================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 5.902 - - Clock delay at starting point: 0.000 (ideal) - = Slack (critical) : 4.043 - - Number of logic level(s): 11 - Starting point: rsl_inst.genblk2\.rxs_rst / Q - Ending point: rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[11] / D - The start point is clocked by sgmii_ecp5|rxrefclk [rising] on pin CK - The end point is clocked by sgmii_ecp5|rxrefclk [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------------ -rsl_inst.genblk2\.rxs_rst FD1P3DX Q Out 1.015 1.015 - -rxs_rst Net - - - - 6 -rsl_inst.rdo_rx_serdes_rst_c_1[0] ORCALUT4 B In 0.000 1.015 - -rsl_inst.rdo_rx_serdes_rst_c_1[0] ORCALUT4 Z Out 0.708 1.723 - -rsl_rx_serdes_rst_c Net - - - - 3 -rsl_inst.dual_or_rserd_rst ORCALUT4 A In 0.000 1.723 - -rsl_inst.dual_or_rserd_rst ORCALUT4 Z Out 0.798 2.521 - -dual_or_rserd_rst Net - - - - 9 -rsl_inst.rx_any_rst ORCALUT4 A In 0.000 2.521 - -rsl_inst.rx_any_rst ORCALUT4 Z Out 0.660 3.181 - -rx_any_rst Net - - - - 2 -rsl_inst.rx_any_rst_RNIFD021 ORCALUT4 A In 0.000 3.181 - -rsl_inst.rx_any_rst_RNIFD021 ORCALUT4 Z Out 0.819 4.000 - -rxr_wt_cnt9 Net - - - - 14 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[0] CCU2C A1 In 0.000 4.000 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[0] CCU2C COUT Out 0.900 4.900 - -rxr_wt_cnt_cry[0] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[1] CCU2C CIN In 0.000 4.900 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[1] CCU2C COUT Out 0.061 4.961 - -rxr_wt_cnt_cry[2] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[3] CCU2C CIN In 0.000 4.961 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[3] CCU2C COUT Out 0.061 5.022 - -rxr_wt_cnt_cry[4] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[5] CCU2C CIN In 0.000 5.022 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[5] CCU2C COUT Out 0.061 5.083 - -rxr_wt_cnt_cry[6] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[7] CCU2C CIN In 0.000 5.083 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[7] CCU2C COUT Out 0.061 5.144 - -rxr_wt_cnt_cry[8] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[9] CCU2C CIN In 0.000 5.144 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_cry_0[9] CCU2C COUT Out 0.061 5.205 - -rxr_wt_cnt_cry[10] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_s_0[11] CCU2C CIN In 0.000 5.205 - -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt_s_0[11] CCU2C S0 Out 0.698 5.902 - -rxr_wt_cnt_s[11] Net - - - - 1 -rsl_inst.genblk2\.genblk3\.rxr_wt_cnt[11] FD1P3DX D In 0.000 5.902 - -================================================================================================================= - - - - -==================================== -Detailed Report for Clock: sgmii_ecp5|tx_pclk_inferred_clock -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------- -sll_inst.ppul_sync_p1 sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q ppul_sync_p1 1.098 5.789 -sll_inst.ppul_sync_p2 sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q ppul_sync_p2 1.098 5.789 -sll_inst.pcount_diff[0] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_0 0.985 6.147 -sll_inst.pcount[0] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q pcount[0] 0.955 6.178 -sll_inst.pcount_diff[1] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_1 0.955 6.239 -sll_inst.pcount_diff[2] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_2 0.955 6.239 -sll_inst.pcount[1] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q pcount[1] 0.907 6.287 -sll_inst.pcount[2] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q pcount[2] 0.907 6.287 -sll_inst.pcount_diff[3] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_3 0.955 6.300 -sll_inst.pcount_diff[4] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_4 0.955 6.300 -======================================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------------------------ -sll_inst.pcount[21] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[21] 9.946 5.789 -sll_inst.pcount[19] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[19] 9.946 5.850 -sll_inst.pcount[20] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[20] 9.946 5.850 -sll_inst.pcount[17] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[17] 9.946 5.911 -sll_inst.pcount[18] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[18] 9.946 5.911 -sll_inst.pcount[15] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[15] 9.946 5.972 -sll_inst.pcount[16] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[16] 9.946 5.972 -sll_inst.pcount[13] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[13] 9.946 6.033 -sll_inst.pcount[14] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[14] 9.946 6.033 -sll_inst.pcount_diff[21] sgmii_ecp5|tx_pclk_inferred_clock FD1P3DX D un1_pcount_diff_1_s_21_0_S0 9.946 6.034 -========================================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 4.157 - - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 5.789 - - Number of logic level(s): 13 - Starting point: sll_inst.ppul_sync_p1 / Q - Ending point: sll_inst.pcount[21] / D - The start point is clocked by sgmii_ecp5|tx_pclk_inferred_clock [rising] on pin CK - The end point is clocked by sgmii_ecp5|tx_pclk_inferred_clock [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------------- -sll_inst.ppul_sync_p1 FD1S3DX Q Out 1.098 1.098 - -ppul_sync_p1 Net - - - - 25 -sll_inst.pcount10_0_o3 ORCALUT4 A In 0.000 1.098 - -sll_inst.pcount10_0_o3 ORCALUT4 Z Out 0.851 1.950 - -N_8 Net - - - - 25 -sll_inst.pcount_cry_0[0] CCU2C A1 In 0.000 1.950 - -sll_inst.pcount_cry_0[0] CCU2C COUT Out 0.900 2.850 - -pcount_cry[0] Net - - - - 1 -sll_inst.pcount_cry_0[1] CCU2C CIN In 0.000 2.850 - -sll_inst.pcount_cry_0[1] CCU2C COUT Out 0.061 2.911 - -pcount_cry[2] Net - - - - 1 -sll_inst.pcount_cry_0[3] CCU2C CIN In 0.000 2.911 - -sll_inst.pcount_cry_0[3] CCU2C COUT Out 0.061 2.972 - -pcount_cry[4] Net - - - - 1 -sll_inst.pcount_cry_0[5] CCU2C CIN In 0.000 2.972 - -sll_inst.pcount_cry_0[5] CCU2C COUT Out 0.061 3.033 - -pcount_cry[6] Net - - - - 1 -sll_inst.pcount_cry_0[7] CCU2C CIN In 0.000 3.033 - -sll_inst.pcount_cry_0[7] CCU2C COUT Out 0.061 3.094 - -pcount_cry[8] Net - - - - 1 -sll_inst.pcount_cry_0[9] CCU2C CIN In 0.000 3.094 - -sll_inst.pcount_cry_0[9] CCU2C COUT Out 0.061 3.155 - -pcount_cry[10] Net - - - - 1 -sll_inst.pcount_cry_0[11] CCU2C CIN In 0.000 3.155 - -sll_inst.pcount_cry_0[11] CCU2C COUT Out 0.061 3.216 - -pcount_cry[12] Net - - - - 1 -sll_inst.pcount_cry_0[13] CCU2C CIN In 0.000 3.216 - -sll_inst.pcount_cry_0[13] CCU2C COUT Out 0.061 3.277 - -pcount_cry[14] Net - - - - 1 -sll_inst.pcount_cry_0[15] CCU2C CIN In 0.000 3.277 - -sll_inst.pcount_cry_0[15] CCU2C COUT Out 0.061 3.338 - -pcount_cry[16] Net - - - - 1 -sll_inst.pcount_cry_0[17] CCU2C CIN In 0.000 3.338 - -sll_inst.pcount_cry_0[17] CCU2C COUT Out 0.061 3.399 - -pcount_cry[18] Net - - - - 1 -sll_inst.pcount_cry_0[19] CCU2C CIN In 0.000 3.399 - -sll_inst.pcount_cry_0[19] CCU2C COUT Out 0.061 3.460 - -pcount_cry[20] Net - - - - 1 -sll_inst.pcount_s_0[21] CCU2C CIN In 0.000 3.460 - -sll_inst.pcount_s_0[21] CCU2C S0 Out 0.698 4.157 - -pcount_s[21] Net - - - - 1 -sll_inst.pcount[21] FD1S3DX D In 0.000 4.157 - -============================================================================================ - - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------- -DCU0_inst System DCUA CH0_FFS_RLOL rx_cdr_lol_s 0.000 8.810 -DCU0_inst System DCUA CH0_FFS_RLOS rx_los_low_s 0.000 8.810 -======================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] System FD1P3DX SP un2_rdo_serdes_rst_dual_c_2_i 9.806 8.810 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] System FD1P3DX D rxpr_appd_RNO[0] 9.946 9.556 -rsl_inst.genblk2\.rlol_p1 System FD1S3DX D rx_cdr_lol_s 9.946 9.946 -rsl_inst.genblk2\.rlos_p1 System FD1S3DX D rx_los_low_s 9.946 9.946 -============================================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.194 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.806 - - - Propagation time: 0.996 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 8.810 - - Number of logic level(s): 2 - Starting point: DCU0_inst / CH0_FFS_RLOL - Ending point: rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] / SP - The start point is clocked by System [rising] - The end point is clocked by sgmii_ecp5|rxrefclk [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------------------------------ -DCU0_inst DCUA CH0_FFS_RLOL Out 0.000 0.000 - -rx_cdr_lol_s Net - - - - 4 -rsl_inst.un2_rdo_serdes_rst_dual_c_1_1 ORCALUT4 A In 0.000 0.000 - -rsl_inst.un2_rdo_serdes_rst_dual_c_1_1 ORCALUT4 Z Out 0.606 0.606 - -un2_rdo_serdes_rst_dual_c_1_1 Net - - - - 1 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd_RNO_0[0] ORCALUT4 B In 0.000 0.606 - -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd_RNO_0[0] ORCALUT4 Z Out 0.390 0.996 - -un2_rdo_serdes_rst_dual_c_2_i Net - - - - 1 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] FD1P3DX SP In 0.000 0.996 - -=================================================================================================================================== - - - -##### END OF TIMING REPORT #####] - -Timing exceptions that could not be applied -None - -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - - -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 221 of 24288 (1%) -PIC Latch: 0 -I/O cells: 0 - - -Details: -CCU2C: 113 -DCUA: 1 -FD1P3BX: 20 -FD1P3DX: 92 -FD1S3BX: 12 -FD1S3DX: 97 -GSR: 1 -INV: 3 -ORCALUT4: 154 -PFUMX: 2 -PUR: 1 -VHI: 6 -VLO: 6 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 35MB peak: 153MB) - -Process took 0h:00m:03s realtime, 0h:00m:03s cputime -# Fri May 10 09:02:16 2019 - -###########################################################] diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr.db b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr.db deleted file mode 100644 index 35caf2e00832daa5e01ba9cd59c326447837f281..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16384 zcmeHN-A)@v6t;l?Nid`hl+q~Dh$}1w+v`7!QKbUGQIygoK$<3`T8(#S?FsAI&CJ-~ zs8waIsz~j1FM8DnsMJ^J1N5#{pQ5)_6{+f(UE4U3Xh^WtqKswFdcC_d=ljmtS$}iR z_T7)EB*^i24NH`XT#7`ak&h6HL?T1*8G%pd6@@P^?{|(Jw;hTs{`GYXjz*`RMc}Pp zngPv#WJiOVjj5g~Aeh|YFseQRTN zX9Ml5u6?|LI=?PZ8#OSus+eCxE@gX1d+k$>e%Pa|=VTwQq)f)o0qfDJ=ZgE0spqlB z6W?F_<-(sAX3l5EAC4W4es}K2k)MZuANpfxDEcfq8Tsiychc_k*letIb!K?&zLCmr zH<|BJi^?Wi_n43z11MCYE=xb8|I#;p_NImqx!h%q)$=`$mh%irfzs!KMmmyiQ}7E!Y5)kqTCoMM)&P??mxoD)`3lA7;g zNs>PI9urF@MWse**qX%hb4k%;CMN<$7Ck>Fh|St#H{Mmiwu7rC7YfBxxXG>Bwjye8oB{qEnEdVDCO7@Fr z-{X6Ufd0Ucg@zH2C)ymhDwhJRPtF8ZF0E4_ojOBE{c8Emk!zCdH*cpi#sH?@d?8iL6jfU&d3E?3U_lE{67?VnCe4@TOfFp0@;EUc zrcD<&2{#rRgj1N#<403+g|M=_mwuGsuIC!2#pJF5ll4<58mPIeEa%eHkJ&UO+fLXoSnDWk9Ifz9WQ? z3=w7^HjhKNGZrAcJ2)R^jWi%sZQl`s4}G}lLdPxlI_}mez}jrB3=WpDl!FnA!6tX2 z*+l(!3uhDK_}Rn{rlj5U3t@Qc+`!RfQdxyYZTg6YhiHskuW#;*1ZXw}=$lL~6`+Al zKheOyvwcj%iK2HVJe(U=kPhz$kkYvUJi3fR3PPe7Y;quqI6)Xb3^b7^1O=sxsOypn zb`eMgNrXyehhI?*TUE+H1VI1>2X`~dAID0Ce+kt13KG~MsIku!wy;%aEYwmcpIt0d ziE5rrz)Xes0UlOJmRul2vy|0i6~8TeYq>GYG<|8KBJH*lYxcKu50 z|No^|PqTid_5Ul^&X2h*wf=9fw!g-Ljn@Av(bLlU|5f>_W9~Vv|4*=*q4oc3r$>(q zH?98%rT>GBx6=Qk6N{0_zb9+4-(rP{A7DqnGy|Fe&46Y=GoTsJ3}^;41DXNNfcQY= zG&do!xRXhzyE)Bv*{!NewcNT5g{#bMcFR>4rMK8AU4=qcSrrHi;aOBAG|@hlRYV|B z3m4n8LG3zZa)T^qCz0B^JO`s5#==okJ5@-yN?KDXO-_7|OO=7ekWm%zEA**KdSPt? zs(w{gm3vU^id2$>`(>+bgvKUaj!vTFbOJZOLuOpq6T3$ocVGe;b2{>uDq|T zn!N&CWYejz5P~v?aL56N0MJnp>KF3B^euM}YF5KD%m8AD9%^5yXCot(NTrzQmhRfb za#gAuE9>|olr2LpyVEsfkiD`hG)D8R*zSCOA9I!IRm)q`v&y>jC$!Fo1UV-T7)bkK zl3(oUvDd80_f!CVc|xow@B87N>_IZP^x(%F1p3K$ZNstqO2`E)TG zv_^Uj!f~(c9iZ#$_f}Q7)+p32KmdonMWXIQ6+1Y$9hWLW?NVViBJYNZ0|sRd ylEPQwILh@91nmnj1a(sc_kkUhjo0YYpgKXIg}ZP^srq=hEw;k?^Ef(+lz#vU99<9q diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.szr b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.szr deleted file mode 100644 index 10f80e0055da4ba1754e8d2a03343443e7751ccb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12878 zcmV-UGO^7ciwFP!0000015Avu3IZ_@MEm=SZLSlyy2dJvt(ASqC40F@atXVup!o6T z5J4Db24;BUI6~v9hfx-bE#B&vbTqEqy6X044p$nYc zhA}BB&y#pmDlI!D?C4uZqr{TZ*Dd)rp*%OsB=@Yc_m2Eq?b4#N#kEF!lot=?`~d&} z|NrcLZFAeU(&%ULSNI0H6Zt$91)DU@uqT~FpkSoeB<<~y($l8HF{O@NQkG()wi-`< z{9S;eOj)8Vzr}rKipj*3L}G#6#l8dlU>Mw$HPMo9JB*K7GIT{N3??l>sUr^wjatkf zC1H!QYb(Q@1|9bueWHaG) zmnMWk-47BG_v#5q-i%lvsk+49B{VY;xUvHXd&ENF%5V~>*|a1%bRyQGqcHJl!djB? zUn4?W6EPC45t#@k;;5B4B(4WCW5fqanlcIL6h3cVIoI*jbRcXd(WDl#KjF%3cO8T| z3BhH}<`_o3@E~*oNDrau*LVcH*^@!UxSS9W&E5f>gv@s%PNEt#ZRkzJ0h0j>UCN@! z=QW!Ed~9yFqYkTWp9P^k0wB$du~^+TienM5o-5R|AE^}hy&FkCWRV*ycqE9qZ`T2i znwV*uGqR4<_Z@J3O}{8+$&q7qnj;{iY!vonU4YM8qYh_oE0lpil21hI zkV>aTBE%QcHKZ+`$X{`|8ec@T=Ka@OB*1YJn)yUsPki1=5W_7==kR(?oWzq5xhY`> z(i$}p!!b{M#*Ta*k6f1dP|yJqr^$Q%hY&^yl6o>yboD6#Nt!N4mg&J$@avrJR^l*l zgC^_AA5*Z?)Jjtd&8X!+98Rh49=Oqy;Z}a&wGte}tQ<*;xGpqVbR^iJFW{c*?=Wlm z5oJM3!Lzc_(Npv#l2P1qnbkZ3j{J~>p&UKMx@=KGXgwH_R?*YX%g96L#!`Sft{R4>Ofchd7!Czw1!Cze#LX?Cx z7EXYE5FNY^@Oef;*O-0*c_ko3`IHVJ^}N)y%>kr_Jv4%yI!EpyA$+D0MY#qi5$^0>L2}h5mOT1@S)fZ5JBb!|`_+yhqtZ&mo(D*#}&{jdU>d#*Q~I zk~XhTr0DEXXxqCmY!3ToBekJ`dHfv&5LqXrBj(?6Aia=&kRgL0biogOAQ9mLdB^3r zkXbegC=HPrf)J#_9G5t3yspz74)rA9g1fyCKOi2zLku}w3B-2DE&SqFuGzK>5!@KWt2&jL!Of7aP>Yx#?;rlSMQB?#=Fxi z52jsYnC$Cz5CmcpAjsype+Yt(7K|b%wP!YV(nz z9eYJ+5_5%Lt?$ElLSyEJao{4+0;%}Nk8}MG_A5aH=p;ByCGUDjPs?Y!`-Z_LLHG8w&>X1gYx`=~FbvHP9G6|-iU~6LXo5`U_XOJ` zu#Ez|62>L8uLYbShQKG-Y3f2gI4>nv-y^4ucwMc(2-hEzI8Ex=SN7mNgCvAner@a; zQzo!?p6E5vd9C#op}f~Z`AeCIT4)N4TpqM+Wae>v&{ujROb!W}Pq?63!os!jIznRg zLf>O9_Hqgl$xy=de6^kgxJZMD8?yc=Sd+t+vLA&XwR#zIMow(@ghfZa*GL}WyWqho zE@VV^r>EcmM-eS#Bq^^8W2(l2>o4H1d!ThDZ;>9FMB4Utv40+pf`QwzVmm!(jr%02 z2Zt;qEwl+*0djOC1sXwU)ny~I>GZ~%!k!w2Kw;iN9`;?1ax|DwAx1%O0s<%YnkFvXv20U|7Mr@Hb zB!Wdf5Rvj3m7EZSKrImoJ9KdfQHY8ddMGFedJmD?Q5H`~dvARqRJSA_zs2pwq@zH#o0(^bx_|&GLy^;D42HtDtQmg z3v1BFYvcW{w!KHE*&%8){<#O&a6)*5wgia8cX}){N3k>RHL=rfdTODr8y!kDCw~V= z713>Seg#>N2jF^XVw~ymD}K3}?%<&PI3$z~*ad3jH+vw0qYr|496m@=vu^w?yiZ1) z+3_!N9sQC$X`{C&{arrURrEz;DZqXLLD9-hGO}eEfg5o}L+m|NT09CmipS6kk4bnz z+29S1IM@@wj>Lx#9&k(6=KhWE5TD19{DsAK82fdb$vt_*1o}+7eAkddnQx&Vq1^`1 z`~i)z59-@{V5Fb~p9x)a!bw?R37LDCQ5{Y9oetEf#;+tcJ4T-_cfdH0PQnQGi7H(AmE50Gi=NYsD(&C!w3aT=fhzf#)3u{ z0c|MWn%VPb%JFP@uO1!OiIL8zPkg~A(JSi+Njm7GV{7*ZsW)}LayJVgi+F$v2?`qN z*o`&si^RU-SsBN_*r@O8^2pxPu{)UTqJKa_7DOoCqYUR@r;hjL9T@N6)Vms-nf5F2 z9&A69V*@?HDdJ8XMb@hZ(jgsso?|zLUp+_5VqD7^3#e57ky@MF+nCQ#vIaB?0~SG| zXnNt9c51wVXXUB>E6*O7o@e%LZ`$364l*VM9n4BPpk6+-{n{tr!twZVVAG4?x8SSc zF!hE5FYOLDTOY)c#riO-*2e_RY(F@xS>~t7Aq)IZwSgt&K^%Y3>%~s(=jS!ka~hsE za6Gfos8#=pE9N|DcoLP-atH6syydd6rEZHx<8HcjYY_@kKx$q}q7qq^C zh|T6>TZ&#L((AyYlExom8X^DL&(D92S6*Y#^}KGQ@#OqxYW|Zv^~r2Ljc1?F_?6ck zsF2;b`)u=xeLLs7uJ`8OVEl0E-PkQBpRY-Pe?`iTd8Wfv7_Z1$9mtZa~PW~Y&TL5{euZP(eniH^11Q;zlym0!zxxODkn zGPmhbE|Ug*JA~nPNhhSyTg2ZF#=kuQ`@|>wNZE9S{N+U1$@h~LXb<<0h7k2aD!9}( zF4~#=Eur1-OcQ7lc8~|(8n%HJaX^&)J-av9n><6Trr*Db)!_AGV>OTPV@o_Wp-toi zmA_Ly7K2HED{TIqvV#$yI(GfkagBZ{u7THm71vPoZ^Nm|2kv~Rv12((xOc>~y^lDC z*OQ1SJ+9UgYUg6TYF_nE+k7Xg)sESR5z6u-iO)Q9St>PEROLJPJFZkSGZ!;Mc+k#;Ii+ z0a3Z8Q~voRnNkpb5PBa0Z5*kx4u15ZrgI&ME15tt9*tNYFR&mT6Fbnndvf}jLqew^ zu4wS!BjJ*wZy7>V`_MrrR0bT8;H$X4k5jjTu}w-m@}f92j2rnmi~Yci54cFs*UaPa z1RdK;g3D#kd~Vt5DA~G_CkNF$*;jPV;B+Jp(JtV<&*b_9|K>8I9LwsW*9xh1dYFxr zdg(FP@lmeA)%H31p1sTq5J-B16PCzCpxH?$z*RlK!)an~Op~7tqrLMvzCL-sb9{1g zz2zZOHEajSajs8Ylv*k$;vxg-bVOPZ$ zhF-VpxL?m*MW6qk@0@P8XItHBT+r*1n3>8{B+^>AglNSeK(6xi3YT~CsuP0Ef>w1*cPy-eJc3iE%+XL ziF34T@9LZ&%DJD>jTkne%E&ft*%)W9#>8A`RX))NIP@I<`N%Ij+#|zV+ ze$tb+QKlkX{0jQ1H4J_tKY@dC0$QM(ZJJf`virY@mkyIotnN{=!KGFh(=geyq43k; zmjAc6dt~pvvvmAmn&J$-;j_dJm7Gh}Je{uh)jf9=Pn@6b{I|UoZ~rMF;WA;A*C9Z6 zEs)5|IJ>ehrempU{ZmUu^%$*zNXrIXDKv(}u8ghPdq=y*uJyl3p1VEKyEhFFtg5v* ze9u`=N>tAx@gwCM?gi`Y61&navDexqjgnn5RCbB76(izj)MIk)`)~L1ai|)L0FJe+ z_ZXT?DS+~4d9R&8Kvg{89KC3%GDVTUfsOafGELk%*4+L}wln*dLyB@uEDF_6r z*60k5--pndCOEpUlJ|1!h5H9H{&VVmcPV zNcrfI@A?c3d+=iMg2GS6ugNS1)scdQ9TYp?g8vMa7=HJzsAD=S`4uqQ$wu{18ea_K z3w-&qvwd=YGKDiZYkzK^{Q;L(C&$MpznmY#+3EJ#*5&q>6TIuomy3a6KoIbzs%sA1 z7M}#oqMjLe^V4~#-92JV zQc(TqTsW1g#yNiuKQR0qP{!)`R+MhmpGb3^Mk1za?2b_HF>t?28IT`?jHx=txy(ej z6G;&U5?qx2=*t||CrYlcRvy!USxmzq#@qWewct4UeVxmf^vZRO4(HA3+(mz0=p_-VB7XQUof2I3&cmM>vqEP zz^ABjl@%Jop>kZFWUm8WE1$OPTdREoqkn4QUe+d%@KLB-0)taZ(A^4QpN8Qf^2^in z-MEyeD)`Qy3T2ZqG$VU0c&&L&`H^AE*Y)@UUAADvU8^;)57Kx{`mOq5fP6=o-x7yN zeLol+w8$7SfVQ87eD*qoo9{G# z$U<-PZnz)ohL$l~6F~JnJ@pwCK&YyRi_o5@LWY5=2}dUThdwVrpLg|n?85mv*8A;r zt_Aj|N{)F?wU4Rr`uL(>6!w`p9d zy)4$}bkMYSE}QRcJ?8i6M|->jU-ceI8i_iGc7W0mv4y_nROnqgB8;@H8$c#dzhwbY z^2bHIWXOa^K6-lG?m=QqVM$Ky!`AWXG}nzGg}fdmATmI0q2$~;xn-`GTV|EqN^NH@ zw@kb)>r45&&R3%!BQliPYG00`5&1{2{ay6h?|F?5?2N+9*FNXn`#H?YxP-YbF5%cW zaS6v%afxE>{{H#5{eO7mZ^|RT!SDM!pF#MeOvmKzk|Trla%50#ci+g7!Ay?)qhG(h zel_a(J_V$+s@v8v&2R!iJw$&?d>gudM4$RZ)q3~GPZGOV`{_ORmF!JMY~`=wF?fUsKRE#!6~PCg;x;`2CtV<@nB%jKlX5A7!SB z+lY6sHpd4=e4x|pi&`AW9+UAb4k+LQO*$w>rBLyFD@apvX(8XDO2b8<&uEy@yV*kx z;0Aeol-D3Q1g_*dc&`GQ9K_Kpzoz4`*x-EUWc%y{rpIt{_2=;^TyAfj!S=})-7XBJ zH57W&HNnM^$_r`rJjU%f(eybVCiETy{bgotL@~r3Z>I z6gL*_(A_A+O^2SG``c2o{X$LfU21p>jf69S@87nz2U z&Z%UQQu`< z#rr0-=e2P;UQ}&f)&0z<=D(Idh_N=Bh@JAg_8TVbZ?UHwG2Rz10}Z zc}IQR5`>OajQg(NN=@UW_`utK1hs|V=e3tAZVpimR<$Vr`dB}*dJyH~no1StkDv}n zqnerc z;4d8r9}l}0{B`x4{>?&Z3iwYoM|~WcH_!F0h6+h6LVZH*hl-{9)6*>6@l8;2T-V-4qVa|`+@^mQm(%wX&9d&e_*9Y-}j zk(r&uMoE8Yxf=Vm;+a^k{g&JJ&VRpkull_Se-i<)qT$Hq`+%$37P73WpSufnLBvT& zRjo1A^|-ipzAB5Vi20DY%-s0izc1pjZL(%d@?}*HP$RA^L%K?)cI}+KPPx|M`mSbv zuksUB-3D~X>?>G#FM+#deH}KCIbRp#O4qT>fnt2+v#Wg_KyC(y_nsyk$w@o&FIU}* z7Q$TqC(c)2`Z4o+k*eOFx3ZmCt*gE+=%bnb*%(I^_%tefiZb9kZGAOw0sFm44^4vP z{y3_-cVf)_`L9wQ9 zexi6?tmD^01g@$bBpsk(LUTL`c0dj(WK>ZU-K^PuoB1B6Ky5BU{i^y7Yk(Gk+K=n@ zkal=Q^?k@soMefmY#xesDvl;PX3CD{BULkL1hB&F`PaWr(L_3f0d$CkMo8XTb z`^vOp+ivc6sxujszvSVvTqOsMn%JaUqkO)Ixa0v)lE-8|as+xpz8H%I1Qbvf!Ma^$j+eW`o(Qef}bvQ|i+9&~++Ne_b`tm&|pktEydge!N z;xsS#yHC+x!Y`FggUt3-%=cgO#P@?ZqHiv8U$U$t{KSuye<)`Dp*`K-`FXIPPvAfK zpWDw{`sl#M?7E*CjiK6Stn1Tn@68|33tiW=PWJD^)ZX72{9Fd=!{YO)(xEedtFQdN zv|;#?=(;OrO@i8?ZzrEDKjyyPzYL8WY?U1}MX&>VXCHo^Pg9u@x1UEfIrn?}4J#cI zW2s&ZvBUKq@Hh5Q-?+xUneOb{1K9t$gk4yAeyDWw463rZuB0|BuxuC zZcfwgBWc=QL(|7uAP;M(AGgq!2reh;V5oqo+`z}qY%lAMAN{MgUOj#b-H2^FV?T-_ zex}+n7{#8S%7++iqjr=l?q)o}Yy0k?mDxb{=PwU^-z`j#opYPjZ8z%}m=_svCa zzdZu(aAu?2hTDBRc$px9ftqxdk5u_&mE%!4OjSEpr9h2K!w7+P1P^*r?QT*vUchy} z6h?vGCuke9RCOsAc`2CWx>jXHQ*lTmkGnJ(?5K09)&AnuH_sXxdJgmr_#epgmu?MM z-49XW;iG69B)M(iEk2T_0`^>=g}Zrt;_X~VkEmc!0TC&0jfV(KKhwNSBuD35&%JV- zDu31Jj`b7mW?moRyDSXrKq(MyTihO_1v(zP$AlXi{;nRNk9G*^K|jM!OhQn;NVXH?^o}BDCz*p%}{%&(T$$R8WI(2s7Cu5``v6Q-u{m<&v$T0gtxG5 zW~o)$tLn@*-QT{U{QV*>q{k=J+e#qfEULM6I4t+K(s#HJYM%)~JMIlnaEk2!{!n!6 zpqUipN!BFbx?DlWnB1D)i+0m#h0QGCLRp>@{zk>4lHd<$8-bDU?`pA?c3D-sgjxQm zu<43+uC~=m5u$MhD(=ZGl^#PDH%>>J>>)dW`Cc^DPSv{*G~)MjIQ~8hRj$4qQ$ar~ zJPRNrbZ_@t#W>dbXr--FWgif3{4tiy`*DstO5-SvZCKSFg12%{8_lP`G@tz{yj9KTfp9AEW~!K#eK+3v zFN8Osd8~C)yj9KTfpC`a)<~_f0%GcXZTC*Rtwh=b@#d_bPcau;*zx?nTl0Cp4sWaG z^FTODc$zk+pZ9PJ zZ&mYoAe{Ee+4Tb6hBG)SW@V47@fOioruAyw@C@s8VLo=neBk(WCElv$^H4aq@s_G} zYUgmw@iJeR@n*gt-UbiA+p76I6wW%l4I2Hmcjk@hkwOLLzJXq_73}-q zZPk1p3TGYO8pHK^0r6(OAl?S|#oMa+JQPk9-c)R;o37Ujh`0U=;?21a-m2#FP&n)G zHni941;pF<1@YFnFWy$o=b>=c;Vrcrcj2x35_n5VJg<$o58hVI=Yeo`in{-jUq4iZ zowFEjCEf_V>GK=oZ2|7BxLBoMUp1d^Rimiu>NbG2|JGoF>ht;ZzPla;-j+Is?yahU z-K0;I>!3!S(|>5)0RFns2CZW6)F$`dZsnNotQp05$m^c#ZR?ZHmd+O2q-NlOHFc}@ zjXkElM6tl_nj}+xvJTW&S^(4xYRY9l^hV+FWc)c521@&Sv#KRr{Bxmp8Sxg$*m?1Ni~{lbNlZ%hKV{ zQm?(&P1mUR2Me_X>U~$UwL4Jy{DX{XRSi#hAC<0wy)0^A^C297EZ%Dt4@tg$XZ0Wj z3HqsJFV`7Y;UIl14$@UPu#L38goE-szY4peX!pT^4|zXvKuJ-@cpC=;%O0=50ZKk@ zm+KHq?Or%2`YF{TF5_S@-jENLYq0Ob2aO6o7+bcpAs-aJ_JjGL5(i^vB_9;;vU_9C zxB5Sb4`%(uHpycUw{g(0>~tL;I8Vt3P6ZBzjrD6`{4{G}T(K60mfd*ieShifEQ&`8l^+UXN)QIwry|WfF#y{LJ?1aXP6rG-vgITBkE`eF*Czq4PbA z3u9C^pW0jM?g_RV`Z+${=6m|(UZmcfhCcu9N@z13r=Ka_#o+fqy}tsEe8z~sw|je> zcK*)gc`V|tdb}0qWuv?y$`))Hxgon%QCGRtZrjh3PqRJ;pXM?Q{VDq~HGU;oaY3XI zZ-R+Be<}eHwBe7uPqjl7kS(90PvZ|`Zfl;l7UFuFwr8vJE4OmICxra@ePy>w{Wq_X z#iq`KEba@Yp^AO(8D#hGU^^CkS2@Q8A8Y1hodM{x_RH~>)VAz-!Otw|p zA#vPs>AIMsq?U`? zhr#k3)mdCJ<}prC;&e&X+C1B^-g4a>XYcjN;?U<3M}T-;i1*!Yi*)>@7>DLnMaOO< z_emVnGUvhn8#=mv7RE6`Qg|h?ypw4k!mH&BMu}Gsf;g;i0&{VWIa*ioYQ-GqbVNQ4 z6T#`Q2*OSjwYLA*LkF|C>-u8-~M>G8fjf6c|(Iacy& z)f`vR@ig8(PN)0kLXxS}?&8&9cP3Z+H)mxn%GF%A1Fg=9mD>aJV{=1$6JHDM^eC3~ z^vy*+;OlnVR0+VmuWDYcoZ|-mrHrHcMVzLa#aiRp?OZ{*dv-&v33N?mcgJ&0HB z2hH)`bt$Xnct1Mk11;0>4BFSq;4Aa0o#q-Cjr;LRnKR?nyJ{DU_T;(TwR0RS&G8u8 zr}^JyUag$t=5)Mw{fe0`>&GQt?fv-wxjMR;8rTa|3Yl6YHKFeCgMDyAg@6L1O{w}pikEuzz)y{>KM-bi=C>8r& zSH-H}RKIHHN-1f2U#T-sLmLVtSC&B0rv=?;l6SxiYKI zQc5!YzGO4b>7UxUEL5$5wgMR%#k=H_EaHJnV{y^zX}wb3n|<(=#(omjaE$Zwp$q!w z@xs}*y%}UX3kE#)qqLUqztiU_b)DJu*f#o&`Fpu}5@i{mG2Rk)`jAd#>oMs3W?A#+UEQG)7H>%448#63_SrO|fC2-Nply z$|V}>_g0G7?U}ucrSmq{(OBo~-Y?KN8w7T&aT4eJGE`?b%pjX%YjB&!c4>e1J!rh* z%q&f}xxQRHGiz)b-6a~EPfBC+hQ>v`&sd)q+pVDSQVrF^X*^h_acT|HRW$BDDUJI} zG#-qt^lkc5XPmq>0%Oa4=vkU~>D4DVC#+6I!t#v9l^^Tz8nN8}0 zpW`gCs`fWJAO7~5zIbEacq$Ljk%bMdWumVAF;@m|7tRw}r8CueDvy(E`A}wZP5B_h zRX&IX!y)wZq3AstU1tSO()s;QgOe0iv;~}W9m^r<5>6UVjFZMDII)NJGEVI7{Qf7w zNvXdooD3byCiW6eoF~SKvk6Yj;gZdRbGXT%J`GOBu%g|@NrRY6I2k-KP6l`3WUTiI z3|8P|p89wqob+KuTRhiL*=K{5Hn@4ap7Tze+^VGtAhYrQx&0F(cI?=UchWdrg_C~z zLI3z7iqo4Q|XQwHhdH=K1w1K_(*=Z~M_LfrT_d7Ff*@St( zz9PJSbLrfi5Vz#}Kjh3LTj$c9+W?nvUamz@-J9*}c5&}@`%EK0gEKv!JU*h4VLG#S zo|U^#z?k=0)Kiq(BXbT5vh8-hzpZjnW_xyxOp>RKeg0nRAl{mleIY?%1 zzb{Q8GUk0QoL4w&i?XbF`(o*f5>}S^JU^o|LDMiVv_zZ_pKuO3Y<4Klr1TY^*9G8&kM(+Lbfc+ z-sh1m#d#2$%9a7@(50cT#QA;CAW}GY>}7dgmMz8JuTpy!*;4GY+f=sLgPU0IU9#mS zC%&m{8K8|jUhI5Pw%E62?lZ}j;(Yc^Wy`>}%%y=><9xa1Yi<3-z-kol99bn>R-GU8 z9J1xUX9W$QcwgQ|vZdJLwXtkLk-KComSxL$dH>n7$d<-xK3^wWP**J5=M^}=R}GZH z`EW2?n!=)NS(3TWBwLp2jMm8(Bph_?GE&nsk0RUYDrWy=DLP0u%)#f_Gr zJZEi@iUYpgppFRd*bf;MuFoLZW*j6{u|K_caL3EvCH+#hLdXflp2a1)-qG%=1zN~S z7P-eu6}N()GK@+s6L2NaJ9(PiBYK34>YBZP>q7u@qe9zfIG4y1f&B zhBLj^)dnr@lbDkadCg1to#)-IWj|Mr{<(ATYucmF9DxVE$7@x)RSUOK&D-sE-(38f z_T*=gsP;F?$E&tT;$x1ISD_mb|=| zQ|&{HxY3ryR%8(>bW(eN7K(tImNa+TZy+h$RKy zY?gTQ{;jaHRl99#mwn&){D2}Ui_o_YmMO(Q~uL2KGH-=vpek;>rJd4Q`?^n7thAa+D8M}ObriDvm zbshskw%1!O@JL#0us_QGWc5CAdGQ7r+ydN#h#lnmg?Imb&k+=(c63b`F6t;cQR_0Mxx0yVAMK?U0rA@xy1j>IH@FeH9m>=D>TJ5B2kA6Uo|ycJS!l%^g@2j5>p z`&#*|sGog;-KH*dQZF41yp&vhk5=f2*9l}Sa`8VYm5pRyIre)7NeDG{J$P^I8b*Ml zV5FnZ@>1hZq>iEOh1)pNivHW*!{y1fKC_c0en`+ZOVf^*rXz0vT&$9m0g^v&%235qc7l>i3*D8<|a~H{Lc3 zMkZ*bFnLG>^-M5rG|s^^``x`R*v9|ey@ccT=P0C|!rnSgjaGC9jQDC+cvcBE7@yP+nDVc$-gwQk9}k2+s^JYVQA!gY2MGDG;CA*Ro?#3#aF{W z8^#W7-*k@4(fIxNbiq128yNZw6E8o*L~qBw>>Jacudn9!;TVkV%j<>bez%R^;P~b% zTyDnM@1Ga$xf&bDYl+N&L?lAA^s@hRZWuI#t3)OY#MS}bhCK$MuWPnK<|i(?tzN3x zBmI7WRBB&V@-2g_Q5_9!mJv6DNCuM8fd>v;y-#W^^c=7UyI1cGXu0RR630A&yyH9*?{0K1UJmjD0& diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.xck b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.xck deleted file mode 100644 index eb3488c..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.xck +++ /dev/null @@ -1,3 +0,0 @@ -CKID0001:@|S:pll_refclki@|E:rsl_inst.genblk1\.genblk2\.mfor\[0\]\.txpr_appd[0]@|F:@syn_sample_clock_path==CKID0001@|M:ClockId0001 -CKID0002:@|S:rxrefclk@|E:rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0]@|F:@syn_sample_clock_path==CKID0002@|M:ClockId0002 -CKID0003:@|S:DCU0_inst@|E:sll_inst.pcount[21]@|F:@syn_sample_clock_path==CKID0003@|M:ClockId0003 diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr deleted file mode 100644 index 8337c2b..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr +++ /dev/null @@ -1,12 +0,0 @@ -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -File /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.srs changed - recompiling - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 69MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 09:02:12 2019 - -###########################################################] diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr.db b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr.db deleted file mode 100644 index 029fa7a6cf9014af50ee9d4aa843536a1f7b5c42..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#JqyA>3DEQgKL*xDXO@ z%d=&(imfwzIG4G0Jf%J073BwWN1`{~f``Krs^Nta{J wY>_tId!-qqw)j}S$WL3iQGJ`s(T{)t1Rwwb2tWV=5P$##AOHafK;VZ3UKAiP82|tP diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr deleted file mode 100644 index 0a65ebd..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr +++ /dev/null @@ -1,86 +0,0 @@ -# Fri May 10 09:02:12 2019 - -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB) - -Reading constraint file: /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5.fdc -@L: /home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5_scck.rpt -Printing clock summary report in "/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/syn_results/sgmii_ecp5_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 104MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 104MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 114MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 116MB) - -@N: BN362 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1408:0:1408:5|Removing sequential instance pcpri_mod_ch (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:PrimLib.dffre(prim) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1244:27:1244:40|Removing instance div2_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_3(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1252:27:1252:41|Removing instance div11_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_2(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1236:27:1236:40|Removing instance gear_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_4(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1268:27:1268:44|Removing instance pcie_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_0(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1260:27:1260:44|Removing instance cpri_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_1(verilog) because it does not drive other instances. -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist sgmii_ecp5 - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 144MB) - - - -Clock Summary -****************** - - Start Requested Requested Clock Clock Clock -Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------------------------------- -0 - System 100.0 MHz 10.000 system system_clkgroup 0 - -0 - sgmii_ecp5|pll_refclki 100.0 MHz 10.000 inferred Inferred_clkgroup_0 93 - -0 - sgmii_ecp5|rxrefclk 100.0 MHz 10.000 inferred Inferred_clkgroup_1 77 - -0 - sgmii_ecp5|tx_pclk_inferred_clock 100.0 MHz 10.000 inferred Inferred_clkgroup_2 53 -====================================================================================================================== - -@W: MT529 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|pll_refclki which controls 93 sequential elements including sll_inst.phb_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Found inferred clock sgmii_ecp5|rxrefclk which controls 77 sequential elements including rsl_inst.genblk2\.rlos_db_p1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock which controls 53 sequential elements including sll_inst.rtc_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. - -Finished Pre Mapping Phase. - -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 144MB) - -Encoding state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) -original code -> new code - 00 -> 00 - 01 -> 01 - 10 -> 10 - 11 -> 11 -@N: MO225 :"/home/adrian/git/trb5sc/template/project/sgmii/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. - -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - -None -None - -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 58MB peak: 144MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri May 10 09:02:13 2019 - -###########################################################] diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr.db b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr.db deleted file mode 100644 index 283b4fd58c60829fbb4237a79fc42d01e8a283c6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI0-EQMV6vvZxcia6aMMA5B*ovb{NR333*m2S{yOY|v@f-hCIGdIiV+ctSjc-{NBvZrNv(spS`iZ@b=x`@BDiE zr}-b}=5LPMVsXBWMsdEwGkBG94ebFVsD=~(T$)v0Huxj#UOz~~zbNQ6?H zwlDB7#6Al_Y3f0l|JSlhh?qCvF@g$1H@*41(W!p{t2~Ag$HNXB3OTH%LrDi=>Ulz< z`=>oBIzq{5ES1V8C>9{>Jm~_bxsYhY)EyTwa7ClhAn~gMF43=20ZTtP-@bL% zusPj+cecCVv^Vl#v(auFHpVn}!~uy8j{}r63h+YV4dHxl-{>PZ@xq~dR>RF|91gfQ z08hkPicmqb0g5ImeT-42g|ux2 zj3#TIW4@`5;jwWG^ECLVct3^&W%@^J>|qrSnT)At0W^29E=$Lc+ zGM~sJi2*rf!eQ!#_4P}o?}Pc<>CUL-=Yw=hyz0(K zXxnFg;D2|-#w%}!WYBvJx5F6b$rX>s?EdVdk1Z<~0k>QAj=kQojCGtnjKs*OfRPPG zyA!-bayJtGIlikYw9yNFoM5KynY0^ysw?+g$DxNFJ5UrfNGSwG3nSeWu0{w#Q*@aQ zOP%TX80P*3MC}Qp_Dc~Z9><&!)u*BE3Wy%uN)bKFa}_mO86vVy{l!((M<#PzLC$sX zEZ)?5Bmhg1P zo>@MPmYqi;>D1Fi(wOFS@mxp*r(&H<)ta)#D<#r@mFZ6IZ?uRf+^r<)7=% z*E4fV3n#R_x;w2_Yb(usFXWLJo40(w3aeWpicI3TqENdcNz?bWfFHSOp6SvpcbaHs z`LP0Pg<=9wY@if1eRqL?hghvl()>#K*3!bJ>DqSMdk3+}GtC1nXRSvuhTU diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.szr b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.szr deleted file mode 100644 index 81e5490875c218296599f459bc9ba1d747278675..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3942 zcmV-s51H^EiwFP!0000015AuD3&JoIg!lf6EZxL6b#dzA>f|2MN`Uy~TuX953(d62&y>0)y3;fN(Gm@KgjQmD%jilcA+#kP95$ zN^?|Fo(A!x$Tc|yHT1oqN#-2m*DZV=@$d*+0-jIK*t&HsIQC{4Q=MMk?0RR8w zTJdk=HnRTN`YZZE0Zk4oi-{DSs=XFThGv*OlXT_~73jW$5ZZ?Xl4@;_jnfwSCVlvS*Jta0DUtwy-ff)GO^jXH-D|gfIe|DF1cfXvCvL6b?nf~ z#DexboYL!_*`Po*HgyGS zV(mL%0y#^(GyERkeYPonYVM~6n#9>*V&Hq+OwXQaSgzvf=i_0oD_z?MyzkLgB=JVsn35`ImV~^WA)|XuN^m_1U@Lm`LHs*9)?ummST$yDuqFDk$sc@L|f?Zd@p`GSO(Q z)H62;YjG@P_#AP+o4av^&FsXZ;|bLMO^bQkW^GIM+Eje}T-)(8SJYVAXY-T4kdr6# zG@|dg&lsyIhEZNP@}WV-5<3J0y<>(^OiG`Y&x0GCUrcDYC-}MELsl}6kdzBhR-l@X z_R5si^vK8!C8SE4Ua!hDYx4FyT`!r7^&AXK=Yr@)bdYKu=Ia)H_8eomrdMSK^+FOh zP7bE`1_RF-NK50DCYZTT`P>#P?a6*lx#?3U?OWi%{#2=5EV3evy{7nQ9Ytsw_cZjPA$o%oJphXXEKoaQZA zv94BCv?|VGO7NK)_!%A_-O&BhWkOW5e<)+^7>i$NytLOD&QP)KHLRKB{=$GpQwlVK zp$X;D$+G0sJ#l1lxbqqL1in6jp z=MC#L=SYQy1C?)2L10jqG`v=ltAI+4XfCLJaRg0T!D?h+VDK=`j~D!Kh9iEjVutoo zX9B+yAuskL*m-CrYgj43DJ88>~5c`LhOzDJlzz`;+`qc>{ zSt#3fR<&(kwa8v?B=o)`^y#|worg+uZ1Z;GP7x|I8PB8Bqlo4x2c=Py%rFEpYF>j9 z)u+_bZ64NCKTm9Qg72zlsXtG1*peu6ndf&Hd4fOW->8X55_P8Uy^qQJPI65U&Gw#G z7qFrkYxbVwC~5^KNH*S9o8|rV>Hr@|Pin0O)Jt3^e6Eo63Y=t*_pI;N>oa^9^&Vlq zc%RQO*G6PeqC7t9b#F?Bdmwq^LTpiORl|7`@~)vgYV-hn}g9^?Ab?>KVI6NzU%1uUl(9FXIG zb)Gvs`{~zWfAgAr+e^y!jxeBqyw}L9eA7-(DccQB!uj%)?2pVL_kj$QDK#GmB`SFe zYnqZhdwwnRe3xJ0JmiS>Yi z^}jcF6i4@p=(n7Okd`Bt^?aaRg1m+ zYS~tKTP>^hs(^=sUde@~J{;gprwLX;^^0k4EWvJ-^P%@`(9VvD$rcw1Dv1}KO|o6R z6ihVUO30gUnwT{5vCkKTyEu}=QBD4IJO+gexVxzQJ9?;`XEpVm$24|7Cw<#l(s-mk zB$hy;MwT2ss0Gd>Q4w*uVO%o{*-3PAds^Xbk>{9Jd!O8=Qh&j?tc8+;-OCo$GM_H@ ztLgYj@I3SftGjNRH1Llw&OBa2rC-dc=$%-jqx$$ zaJ=wRi2;v}B(E_>2P2lDw~aHVTidYXX0^o)Ep>Lp0aRmo$*8~NG{4P@J^Xkr_*DOe z{HL|5h0XS;k6KOF)qeO~uB&#NM?r&yc%^=dx&_k&8+4=CF-Nt~qis0uXJor^StCT) zfoqy?a#~C57x|;TN5j$B8$j$vJY_ZJUBJ0rvSx=J^){B7jxZqHOUWcjFOOviO-cQO z-^OnSY|Aaw1QsvDEd)w6-5)<6mdgXuEQ?SdxA97iw(6mgtbD z`4{oBgrXkUvyOY~c^5eA+4c7{Up~>_ z%Ta%yMbX%6^@m*_8z24Y7YAL4@cnU!V-Jr1+wtZAsG%olOT+^lYc&gdBH@r{!s6ud zK7nTv?c{^!!}Z8c-T^GcZ{7Ec_iSf+x`C5 z=!1cOha(!Ne>8W%9Zx(6Cz{$5w}=mXoo8*^{v9`?vF+p6?QaTn?Mwe?Z+)qO`rUGC zZ|j=JyKYwa2bqgce7ma`zIZqfw&I7j{-$q<>*z5*=GG;B^)>j0a(oaI?_}46t&aWi z&wrM08-DI4Bs6Qa^UpS?Kiap`t#6AJeu>eSf5dSTQ7!(u+DxyDo0q7?H&uSsURBjq zeslFu757?ps1=D(-v8n~!I*yr`|!R4^nwH-0~(Qt~bf3~aYi;vm&iH?qlAA)xbmR7=7RL?Ic>caV`D%v(_zoJq- zp6B5_AJ6kP$+y?@Je=oYAB=e7+hY1MJV6YR2cDoRSzQ+oGYHfidK4`klT5*!5?u)p zgBfw6Uah8%j?PSYyJGb$`1{j+gh#I#m>M_thhf)X`6(8+6D-Y^MPMu0RR6302G(pR4XX}0B!H? AA^-pY diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap b/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap deleted file mode 100644 index fe7eb22..0000000 --- a/gbe/cores/sgmii/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap +++ /dev/null @@ -1 +0,0 @@ -./sgmii_ecp5_scck.rpt,syntax_constraint_check.rpt,Syntax Constraint Check Report diff --git a/gbe/cores/sgmii/sgmii_ecp5/syn_results/syntmp/closed.png b/gbe/cores/sgmii/sgmii_ecp5/syn_results/syntmp/closed.png deleted file mode 100644 index 0d78634f322a904e7bd0c9466498c0a42777589f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3672 zcmeH}={wX77sr2=$PzQiz6{y-jN4KYF_wtIP-F`kBBt#7AfeD8`@T=sp)7-;LX(KG zMk;%mvP`%|gL&rp8=kk%xjyH6uJhu1o$H)eClzjGdX8O~9RPrH=4QsW^a`dY=UFDY zzNFTs(+e}w@P;7(v}Qz8ZL;Y-#M8|71^^^;13(%*Pw1w20|1DL008n+0MJ_p0HM(! zy`(SzVAu6EGJ?Z>0)qpCd;(Drb0Z@N>S3U_um4>DFe8@ah9MB2_>;#8VUZOd)fsIc zf9rV-Oh#q9!tUe?xyv(gK{Z zpEfu4{@LB7t~Lg4z5IixZW6|w1c0nMwY)qQ3kJ*wUP_`~F&Ug`6TAta8N}Vu3V@}9 zNw*s=>MUq@X`W(G>+tzJgd6bXv9Vx^T;JCdX0H}U~+(Mw$+Ww1bsj*JCH zwPXBAzHpwhx1fx7pS&S>BvtoL<~e<;a$8mY{0qH~lp)=^mv-|W+vk6GSW21>rnIDR zK=H-O;6(FfPc%`Wc)i|k#(?a$FuYXy9MG76_k)S5p)2)`{NnyZ!H1*!gy{9V8?C3ES;Qd#s z$CM6$uEqw6ed_P#zM-d<%4pb~-ul47As3uCpur=s&wXN@++Weshm_Xeezr?;(fw_C z=LuT1Swu-i=EnOvWHr=Lw0pTM`A~xOG(?=musEEnY=9T`D77|i!~)b_MEuU)<*Roo z?@w9bA@{&(^$?yvb@C7l_e?`ihbS7vZn4UIlXpCnUD)47;oTr?D!HiK6!}`HMYy&WIXK6&MpqK+*iyS6`Kx__7 z{ASEA(A;eX;&aUGh8O494$$}Dtipy+pEE>5Ih`|Oi55%3T?_$f zYT^$N8Uz-ud?F~tIe6x`X(cB7(X&R=Cm8l>247frrv93&#*A!%)$KKAeZ=nYn@eUm4Q`q zq?U_)DX%w547#U>8CCw>r+H5** zf@8(&_N78R)ugO&8pfV|FN|u>)J-8_~-dOd)h1RU_wD1BQlW2=9NphyM*@U#D zFDn^D7A32aWeO~3r7mYxy=UCzdI&vYJOUr3GsE7Ry|qYCX*H}gsWkF1Tfs}a+S*N; zKWz7E_DWh~8~&9AwGCHLQ4mn5osN7V{36@-f^EoiN?Vi{P{``U$&H$#2(R#RW&+z3Y`X{CL=VWcGb& zu2_wvrb?lJN|M9%%T^+_gxi&`27TF(!v``=7LRjBM^tixp0B48R2z6(bek!miG~4T8=UQ~?svFlT zo;M?Ef(?C53Tij8#nsNu(Iyd2NtGTgZ|xOs>Ne~?SG5SMtRpFr3Hy%rFneqJihG2g z=${FN9Zp!MKBuD3Mj;88jIOv6oC&5e4o0v6>kHOZu+>so*X)V{EdJ(8>mXN)+e*%U z4Gwj)&pV1c@x-0l{1c^uHTt^ZXd5?7k!TIrhpEhOgFqHS42 zzjYi5xbJ@dHPlC4@S?QPB_VI&4#5neiL~hS-SkW8r6PWUrb0U!+t5=Vk2_f&lRgg@ z5$~oKG#6hj?1w?GKyw|_=T(B*@I6N?d#ZZ^Bl~#|a<|+m+q|w^3zrFPpcuutQ=;JI&YyKc*Yx?2VdhvSt z2yttAGe7j-(5i!A>MbhMK^0d$mt`_bGBM+4hCfl3XfEecCtX)%HC}R~_-JfvY)@57 z^_TM)UaDEV8P?1cpb=0JFca|X%gh(vFSoxSuPE!yMi%cGNBs@o-WC0AyXz70Pt?cA z+Yv2$+y6=)F;fv#y@Q#(b!sT}P3*VWo)hs#lJlF}6J+jV!f_b&Dkq8S7B9sUBC8I; z$x_TQzHnE#o4SmS?4cRu7w89O5<{)HRPmNnsy>X{zq|Qs> zGhJ-vusCcj7M~NK^rx{nr6WZ(P29DHpcpliGsE6s=TMfBnRe%0aSDw1m?)kaGro`1 zQvb2iyK-;U+flWV(1`Rm4b_b*^du^*TE1Ht${VtlnUmSH%C`zOYcwlf?nX7>)w1-m ze`bBpKFQ{miM!5b?Q1bq^RBjZZJ3f3LGb?2tvi1P^T5G!_e(U=}Lr0vlhk%QUmO`_9tlL{f{NHr4cFtn-XK=q~`E*+_&1%%@ z+t{)TR}WX7dER<%`p&_^R~sueHO07gIq&hDCwI?>M6pFBMVTtaDD~89)`vO}TC5uT zTvnZ@92#!*5JF=mq83T9#FM6w>mfrSJ6tI4FE9RJcYH|qusSKa`4JRz&s(00ooRtZ zMSO)%iu6TS#DuSi26uJN*W-^;Rx&5~yI^w~F`9oj(s!Kuf8G1XzbUY){k#Md@e#2! zR)Ts$c~G``MNUx8DUNhp(NQw#I?WKW7Cag%8=4Uc3q4o5uRlL^f8pzC)x@)8s~4s9 zx++oK`<%;`ZwjoDLE~d37FV@{^p}srtu4n-IKTn{|FRr#Iq&1ckFuyW95GfgNVNqQ z{g9#WABG#!8cIGXw}kB9-tVM&{kEf`*A0jv4ZmEp8v}#6RVDPGYwE$LwZA@EboK_g z^bl4KmQWj%lFW_FiOZXp?ZWJ~`?~TX0+&zUv2L)!xNF26^lYQ2DKEFj?^oqk)e)VE zeJ}|rKlJPE-bt4zu(kNTbG@lVane-?jGEj0stleZi#?FKp+SNq%IFJ&{2mQ7{K8M?r^xf zI~+v^<&Hr?%EKXOlsh^cg+qe~&p|je1e1b#odP0ZK(F&5Bs%4grF_T|UCY&%udXL*$JVq7utpfAW!Cq~)vfZav1e=9J4)LuwIo$SQ2?#O z>5TN-e>7d4wWND_fn=Z>!aCPx#1ZP1_K>z@;j|==^1poj5AY({UO#lFTCIA3{ga82g0001h=l}q9FaQARU;qF* zm;eA5aGbhPJOBUzLPZp>)DD*xuJrLE&vMd$CAS~p-?-;7v0}#6W zK!*&q^nLraG8##gHcA0$>IMWyA3F6832+TiX>f2vout6F5+Ar6F)sEtHPYQAm=&QB zzRsNDFORoz&Rd@j_9k}3P_@Yj@S4LDdw+c%F*c^MW@FMmL^UFq*q*9=#Vw}!jD6ML zTLufTX7?w3b>Dtd?Gz(`Oz!9Ne%vt|je?%YYT1@aFN29GQVmgR!B8?Xp%fDaA%{f{ uQpjB7cbS-F*)`{!amLtrN{glG@TUKEuz}n1Y}Ahc0000`0JH!9Jy5q}SZ?6#}K__6zh&^iulnYW2^kCZPwD@C;sS{=DzAkACdsogF>?@zB$P zcS037nr|5&8>VU8<=ilgYjiEqHEJ2^#Mh{qqs}Ft*NpPtFBt`7*j>Xu%Kys#q7E7e zfB*=900@8p2!H?xfB*=*hXl^9XR@nnYvy@DJ1t*mFYsh{_M1X9)Qh8` zWGf7P;mD1%uNJeb<+6ERO+31O=$^b&=1Ji8qQy!!D}0$|2VuKU`St%wPuKSsELNtpqa00m9Z$5IZ<)zc z<@N*9VjJZ9=|tk)tdEuA`hVH}$guykU)eA1mVJi?XdnOrAOHd&00JNY0w4eaAOHd& z@Zl2JShm=DVZF$5E|vInzF=$fPNQC{?M8wQOSGd-uj@1er`Hqe^CgQFl29caKaJhl z*{#osy+L~nB)c}2t!`G5mdf^&Akmw&mq3aXHBy`#_8ILRkXT_#tX{8F8jVzJwDnM; z4FZy*xQX0$ZMRabUkHrre~Udg>^Jr=_ICca{LlFU`<*7xKmY_l00ck)1V8`;KmY_l z00jOYfuAgk?b@t(n)76&9qEdwDMLLxj;}?#GF4{&Fn;qKiRXPf>F?3&=y4kNMMv|# z9H&0aT5OA?pH4}S7vDj5oYP#+#c!&MXJ)SJkhkXWw*Wn|FkdcN=kYpkD{mkqZ@u72 z>g}$OLd7C{7^IaD(RWlw-=-TpnbA*{=HK8FEiXf6KOwU#IXC{76T-aOlns929}cW3UD*xs6Zqqor9qssoK&DI{~Jh$6aLOY(Mr(w7|FnJKZy?3Ac zj&@H(#Obl&w0RH?M0%^&-Yds^SD>@>D36*+y9;a_l5q5}W` diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt deleted file mode 100644 index ae389c2..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt +++ /dev/null @@ -1,16 +0,0 @@ -@N|Running in 64-bit mode -@N|Running in 64-bit mode -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -@N|Running in 64-bit mode -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -@N: CD630 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Synthesizing work.sgmii_ecp5.v1. -@N: CG364 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1968:7:1968:10|Synthesizing module sync in library work. -@N: CG364 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1051:7:1051:24|Synthesizing module sgmii_ecp5sll_core in library work. -@N: CG179 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1287:54:1287:59|Removing redundant assignment. -@N: CG179 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1293:52:1293:55|Removing redundant assignment. -@N: CG364 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":92:7:92:24|Synthesizing module sgmii_ecp5rsl_core in library work. -@N: CL201 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|Trying to extract state machine for register sll_state. -@N|Running in 64-bit mode - diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml deleted file mode 100644 index ca7d50b..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_runstatus.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - - - /home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr - Synopsys HDL Compiler - - - Completed - - - - 15 - /home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_notes.txt - - - 77 - /home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt - - - 0 - /home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_errors.txt - - - - - - - 00h:00m:02s - - - - - - - 1557482336 - - - \ No newline at end of file diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt deleted file mode 100644 index 0eac89c..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_compiler_warnings.txt +++ /dev/null @@ -1,78 +0,0 @@ -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Pruning unused register rcpri_mod_ch_st. Make sure that there are no unused intermediate registers. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 2 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 1 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 2 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 17 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 18 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 19 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 20 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 21 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 5 to 3 of genblk5.rdiff_comp_unlock[5:2]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 4 to 3 of genblk5.rdiff_comp_lock[4:2]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rdiff_comp_unlock[2]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rcount_tc[16]. Make sure that there are no unused intermediate registers. - diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml deleted file mode 100644 index 58aa421..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_area_report.xml +++ /dev/null @@ -1,26 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_resourceusage.rpt -Resource Usage - - -221 - - -0 - - -0 - - -0 - - -153 - - diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt deleted file mode 100644 index 89ed59a..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt +++ /dev/null @@ -1,22 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: MO225 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rhb_wait_cnt[7:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1304:0:1304:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rcount[15:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1759:0:1759:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance pcount[21:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":412:3:412:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.plol_cnt[19:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":909:3:909:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.genblk3\.rxr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":527:3:527:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.genblk2\.txr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":778:3:778:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlols0_cnt[17:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":680:3:680:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlol1_cnt[18:0] -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.edn -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml deleted file mode 100644 index 37c27e7..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_opt_report.xml +++ /dev/null @@ -1,14 +0,0 @@ - - - - -3 / 0 - -/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_combined_clk.rpt -START OF CLOCK OPTIMIZATION REPORT - - - diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml deleted file mode 100644 index aa1f7f0..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr -Synopsys Lattice Technology Mapper - - -Completed - - - -22 - -/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_notes.txt - - - -4 - -/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_errors.txt - - - -0h:00m:03s - - -0h:00m:03s - - -153MB - - -1557482342 - - - diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml deleted file mode 100644 index 354cbb6..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_timing_report.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr -START OF TIMING REPORT - - -Clock Name -Req Freq -Est Freq -Slack - - -sgmii_ecp5|pll_refclki -100.0 MHz -168.9 MHz -4.079 - - -sgmii_ecp5|rxrefclk -100.0 MHz -170.5 MHz -4.136 - - -sgmii_ecp5|tx_pclk_inferred_clock -100.0 MHz -237.5 MHz -5.789 - - -System -100.0 MHz -840.7 MHz -8.810 - - diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt deleted file mode 100644 index d44b509..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_fpga_mapper_warnings.txt +++ /dev/null @@ -1,4 +0,0 @@ -@W: MT246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5.vhd":162:4:162:12|Blackbox DCUA is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) -@W: MT420 |Found inferred clock sgmii_ecp5|rxrefclk with period 10.00ns. Please declare a user-defined clock on object "p:rxrefclk" -@W: MT420 |Found inferred clock sgmii_ecp5|pll_refclki with period 10.00ns. Please declare a user-defined clock on object "p:pll_refclki" -@W: MT420 |Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock with period 10.00ns. Please declare a user-defined clock on object "n:tx_pclk" diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt deleted file mode 100644 index a540d08..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt +++ /dev/null @@ -1,9 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: BN362 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1408:0:1408:5|Removing sequential instance pcpri_mod_ch (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:PrimLib.dffre(prim) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1244:27:1244:40|Removing instance div2_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_3(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1252:27:1252:41|Removing instance div11_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_2(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1236:27:1236:40|Removing instance gear_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_4(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1268:27:1268:44|Removing instance pcie_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_0(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1260:27:1260:44|Removing instance cpri_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_1(verilog) because it does not drive other instances. -@N: MO225 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml deleted file mode 100644 index 127251d..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr -Synopsys Lattice Technology Pre-mapping - - -Completed - - - -9 - -/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_notes.txt - - - -3 - -/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_errors.txt - - - -0h:00m:00s - - -0h:00m:00s - - -144MB - - -1557482338 - - - diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt deleted file mode 100644 index 47b05ba..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/report/sgmii_ecp5_premap_warnings.txt +++ /dev/null @@ -1,3 +0,0 @@ -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|pll_refclki which controls 93 sequential elements including sll_inst.phb_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Found inferred clock sgmii_ecp5|rxrefclk which controls 77 sequential elements including rsl_inst.genblk2\.rlos_db_p1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock which controls 53 sequential elements including sll_inst.rtc_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr deleted file mode 100644 index 77a0cae..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr +++ /dev/null @@ -1,352 +0,0 @@ -Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -VHDL syntax check successful! - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - - -Process completed successfully. -# Fri May 10 11:58:55 2019 - -###########################################################] -Synopsys Verilog Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps) -@I::"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v" (library work) -Verilog syntax check successful! - -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - - -Process completed successfully. -# Fri May 10 11:58:55 2019 - -###########################################################] -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Top entity is set to sgmii_ecp5. -VHDL syntax check successful! -@N: CD630 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5.vhd":30:7:30:16|Synthesizing work.sgmii_ecp5.v1. -Post processing for work.sgmii_ecp5.v1 - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 74MB peak: 76MB) - - -Process completed successfully. -# Fri May 10 11:58:55 2019 - -###########################################################] -Running on host :lxhadeb07 -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps) -@I::"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v" (library work) -Verilog syntax check successful! -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1968:7:1968:10|Synthesizing module sync in library work. - - PDATA_RST_VAL=32'b00000000000000000000000000000000 - Generated name = sync_0s -@N: CG364 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1051:7:1051:24|Synthesizing module sgmii_ecp5sll_core in library work. - - PPROTOCOL=24'b010001110100001001000101 - PLOL_SETTING=32'b00000000000000000000000000000000 - PDYN_RATE_CTRL=64'b0100010001001001010100110100000101000010010011000100010101000100 - PPCIE_MAX_RATE=24'b001100100010111000110101 - PDIFF_VAL_LOCK=32'b00000000000000000000000000010011 - PDIFF_VAL_UNLOCK=32'b00000000000000000000000000100111 - PPCLK_TC=32'b00000000000000010000000000000000 - PDIFF_DIV11_VAL_LOCK=32'b00000000000000000000000000000000 - PDIFF_DIV11_VAL_UNLOCK=32'b00000000000000000000000000000000 - PPCLK_DIV11_TC=32'b00000000000000000000000000000000 - LPLL_LOSS_ST=2'b00 - LPLL_PRELOSS_ST=2'b01 - LPLL_PRELOCK_ST=2'b10 - LPLL_LOCK_ST=2'b11 - LRCLK_TC=16'b1111111111111111 - LRCLK_TC_PUL_WIDTH=16'b0000000000110010 - LHB_WAIT_CNT=8'b11111111 - LPCLK_TC_0=32'b00000000000000001000000000000000 - LPCLK_TC_1=32'b00000000000000010000000000000000 - LPCLK_TC_2=32'b00000000000000100000000000000000 - LPCLK_TC_3=32'b00000000000000101000000000000000 - LPCLK_TC_4=32'b00000000000000010000000000000000 - LPDIFF_LOCK_00=32'b00000000000000000000000000001001 - LPDIFF_LOCK_10=32'b00000000000000000000000000010011 - LPDIFF_LOCK_20=32'b00000000000000000000000000100111 - LPDIFF_LOCK_30=32'b00000000000000000000000000110001 - LPDIFF_LOCK_40=32'b00000000000000000000000000010011 - LPDIFF_LOCK_01=32'b00000000000000000000000000001001 - LPDIFF_LOCK_11=32'b00000000000000000000000000010011 - LPDIFF_LOCK_21=32'b00000000000000000000000000100111 - LPDIFF_LOCK_31=32'b00000000000000000000000000110001 - LPDIFF_LOCK_41=32'b00000000000000000000000000010011 - LPDIFF_LOCK_02=32'b00000000000000000000000000110001 - LPDIFF_LOCK_12=32'b00000000000000000000000001100010 - LPDIFF_LOCK_22=32'b00000000000000000000000011000100 - LPDIFF_LOCK_32=32'b00000000000000000000000011110101 - LPDIFF_LOCK_42=32'b00000000000000000000000001100010 - LPDIFF_LOCK_03=32'b00000000000000000000000010000011 - LPDIFF_LOCK_13=32'b00000000000000000000000100000110 - LPDIFF_LOCK_23=32'b00000000000000000000001000001100 - LPDIFF_LOCK_33=32'b00000000000000000000001010001111 - LPDIFF_LOCK_43=32'b00000000000000000000000100000110 - LPDIFF_UNLOCK_00=32'b00000000000000000000000000010011 - LPDIFF_UNLOCK_10=32'b00000000000000000000000000100111 - LPDIFF_UNLOCK_20=32'b00000000000000000000000001001110 - LPDIFF_UNLOCK_30=32'b00000000000000000000000001100010 - LPDIFF_UNLOCK_40=32'b00000000000000000000000000100111 - LPDIFF_UNLOCK_01=32'b00000000000000000000000001000001 - LPDIFF_UNLOCK_11=32'b00000000000000000000000010000011 - LPDIFF_UNLOCK_21=32'b00000000000000000000000100000110 - LPDIFF_UNLOCK_31=32'b00000000000000000000000101000111 - LPDIFF_UNLOCK_41=32'b00000000000000000000000010000011 - LPDIFF_UNLOCK_02=32'b00000000000000000000000001001000 - LPDIFF_UNLOCK_12=32'b00000000000000000000000010010000 - LPDIFF_UNLOCK_22=32'b00000000000000000000000100100000 - LPDIFF_UNLOCK_32=32'b00000000000000000000000101101000 - LPDIFF_UNLOCK_42=32'b00000000000000000000000010010000 - LPDIFF_UNLOCK_03=32'b00000000000000000000000011000100 - LPDIFF_UNLOCK_13=32'b00000000000000000000000110001001 - LPDIFF_UNLOCK_23=32'b00000000000000000000001100010010 - LPDIFF_UNLOCK_33=32'b00000000000000000000001111010111 - LPDIFF_UNLOCK_43=32'b00000000000000000000000110001001 - Generated name = sgmii_ecp5sll_core_Z1_layer1 -@N: CG179 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1287:54:1287:59|Removing redundant assignment. -@N: CG179 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1293:52:1293:55|Removing redundant assignment. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Pruning unused register rcpri_mod_ch_st. Make sure that there are no unused intermediate registers. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 2 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 1 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 2 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 17 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 18 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 19 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 20 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|All reachable assignments to bit 21 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":92:7:92:24|Synthesizing module sgmii_ecp5rsl_core in library work. - - pnum_channels=32'b00000000000000000000000000000001 - pprotocol=24'b010001110100001001000101 - pserdes_mode=72'b010100100101100000100000010000010100111001000100001000000101010001011000 - pport_tx_rdy=56'b01000101010011100100000101000010010011000100010101000100 - pwait_tx_rdy=32'b00000000000000000000101110111000 - pport_rx_rdy=56'b01000101010011100100000101000010010011000100010101000100 - pwait_rx_rdy=32'b00000000000000000000101110111000 - wa_num_cycles=32'b00000000000000000000010000000000 - dac_num_cycles=32'b00000000000000000000000000000011 - lreset_pwidth=32'b00000000000000000000000000000011 - lwait_b4_trst=32'b00000000000010111110101111000010 - lwait_b4_trst_s=32'b00000000000000000000001100001101 - lplol_cnt_width=32'b00000000000000000000000000010100 - lwait_after_plol0=32'b00000000000000000000000000000100 - lwait_b4_rrst=32'b00000000000000101100000000000000 - lrrst_wait_width=32'b00000000000000000000000000010100 - lwait_after_rrst=32'b00000000000011000011010100000000 - lwait_b4_rrst_s=32'b00000000000000000000000111001100 - lrlol_cnt_width=32'b00000000000000000000000000010011 - lwait_after_lols=32'b00000000000000001100010000000000 - lwait_after_lols_s=32'b00000000000000000000000010010110 - llols_cnt_width=32'b00000000000000000000000000010010 - lrdb_max=32'b00000000000000000000000000001111 - ltxr_wait_width=32'b00000000000000000000000000001100 - lrxr_wait_width=32'b00000000000000000000000000001100 - Generated name = sgmii_ecp5rsl_core_Z2_layer1 -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 5 to 3 of genblk5.rdiff_comp_unlock[5:2]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning register bits 4 to 3 of genblk5.rdiff_comp_lock[4:2]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rdiff_comp_unlock[2]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rcount_tc[16]. Make sure that there are no unused intermediate registers. -@N: CL201 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|Trying to extract state machine for register sll_state. -Extracted state machine for register sll_state -State machine has 4 reachable states with original encodings of: - 00 - 01 - 10 - 11 - -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 81MB) - - -Process completed successfully. -# Fri May 10 11:58:56 2019 - -###########################################################] -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode - -======================================================================================= -For a summary of linker messages for components that did not bind, please see log file: -@L: /home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_comp.linkerlog -======================================================================================= - - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 69MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 11:58:56 2019 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 11:58:56 2019 - -###########################################################] diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.db b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.db deleted file mode 100644 index d028ab57b460c029f040b39c37ad880998e9090a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 32768 zcmeHQON<*y8MepcdCxe{4Vw@sl3n(}#O`i)du%6xon$9rc4x?L9%Q8}IQ!gNtN~N;!HwJ(0BMnc6+y6jG z{(sPsO`Un~8`E%AI`_>KJdz_}AYmY3AYmY3AYmY3AYmY3AYmY3AYmY3;QyR~AU%5Q z70)z*|*(y%1o_HMIa2T+jIu z*5P&R2Wov4ySiWS>y}}tSa+4Kr>gI)1*TIs^!( z_=GI5gY3*u$PQ=SSA`+sX)Y0$86uwI67d{E#FJbiE-^$r!6jmbR){msC1Qp~h&DzM zUn70BSgNoyHH(TsOifZKyRUc+V)&tk-SELK>==r=>lv!$)Kq<4^@BWG);2Km8y-f% zI!wz2>lmJD@Mk;i4}0CvBe(g@_1dXwQ2^oKB$lG0QK~v@<~PNV zxS#&(jUf=|naf0=IjJoW@fQo=V+Mwf3(&?a$F3EMc~MZeOLD>AvE5b8#|2PC0e-gN z2et6$MX6X7ffy(xarYXAF92nN??>9G@0bnZ020U2^=V6hp9i;}{m+BrK6(RABbVTm zmEkme8cvzRWN!X2IQF?_ZfM4x{_XUUsjbPk6aPQ)|Cxn6691ojcW7@>9&|DTU(h{XSou~sMke|)oPiU0qo^N@-EpZNcS@&9{;AAUsq{{!hvDmOiI za{A2F`N`#pTjSQ)8>8PI`EK^D;h$uFGxW#w-{FD}@6oKEromv9vx&Q?ccwcHJ5U3C zMG_xlrPN)j9K3AmTp+CSir^9i`utX2PjKgK8E zUJGaX2YixGz|oGmvmUH}z#rukaI}Ap5wLZF0^Z=Pm+rM>rf&sX$GODYD`3_?-Y2=l z+iST@|9C&bCEi|(W%|c^j7z-Cj4bO3F7YxmIjo~x;$>!WxJM{n?pC1ps?hzfKqvnH z!IYKCJux#oePQagNqgd}<8O}raP(IrZ)g9J9Ugu%lOMX6ehn_^|7fnulwXar@m`D$ z_^~*k>qS1HM#uViph|o~jSlniKo$9f8towBfhzC`H5yQe2Wo*&sL^pf9;kUfp+<-F zc%Yu)6KXVk5D(N@KA}bf2eCk{e~LooEm+UMf~Y^wB~k_kMEyA~kutC!>d$hCG#Vg? zr=32-B~k{4QvH)$B4uDF)t}}PDFZX9{uGx;d;UMhEV=K1NJsW&J8Jn{Yn z8ox01_0jK-{B>kDduh0q`QFga(|>}CK1@eby#y-0*<`*2Oew*Jlqxxwdu{*=lM6_B z&vbm%EylC~OkLm-p&1`S{31n2jX{>%_{u^FbV$zo(BZbL>UJQlNU%;LCQY8ZNV}tP zh?~KVwDu;Y_%mY^m14CB4=ChPXS3Xv0sGEsTA-<>iH1+MC3X^L zknK%I(7h8;y+FmkLc|w~#TXZTMH71zVH+Y9U#iN*-7BjkIY_tM2t=)6-GrT#kd>nm zfZXlPiMWXSI%47bJN^FDez4^;^25gnT#WvJ?Ht9s3Y_=&0dD@D442VyE=BB(r? zr&&i4%}`42#ey_QO4|7PqTDCE>+k{}?qALJyeX*yd> zkzW}Ua)lW3lAt^Qd4uX4GSU+FOx4-x{PyQ)uaIS5+vO0sTvf#T)%Fm%kG&dZ4t{HS zP->?UDM^5c)P0*efDg7+_G-U-WC@VpynCLionc70Q~|YD$+;8y?jA5v*PIkgU~K!u z)oaDRA8Cl}F+yr~I7p)VkC8`S9tpygwv<)s19B0+EpB5Tq< zX?eW0rFmzO(sGbABC*15UWE|SrsYbQ3Ovk^*vb@6(u{`=1*t17Qf?6gLPNS^`vJ+K zDCE&~2W?>Nw(LprvW{UU#&yk|@H$Te8x%o)I@N`IbJ*L&=fMPljC0fg4L{IrFx>g< zfC%VnE)OPvPN1zw10mq@Ug&Ml?jksJvF2?GfO2?GfO2?GfO z2?GfO2?GfO2?GfO2?P6JpecSHmUOI;B^_}8I+5ADlUNoY2OQjI019RIy0=5`G2kG) j9e5h7c!e+z+!MrtTiO{mrqfA#0b6nx3Ho;Xwh{jWXc+k{ diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.rptmap b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.rptmap deleted file mode 100644 index 14937c8..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_compiler.srr.rptmap +++ /dev/null @@ -1 +0,0 @@ -./synlog/sgmii_ecp5_compiler.srr,sgmii_ecp5_compiler.srr,Compile Log diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr deleted file mode 100644 index bc02d12..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr +++ /dev/null @@ -1,682 +0,0 @@ -# Fri May 10 11:58:58 2019 - -Synopsys Lattice Technology Mapper, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB) - -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 113MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB) - - - -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 144MB) - - -Available hyper_sources - for debug and ip models - None Found - - -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 144MB) - -Encoding state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) -original code -> new code - 00 -> 00 - 01 -> 01 - 10 -> 10 - 11 -> 11 -@N: MO225 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1350:0:1350:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rhb_wait_cnt[7:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1304:0:1304:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance rcount[15:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1759:0:1759:5|Found counter in view:work.sgmii_ecp5sll_core_Z1_layer1(verilog) instance pcount[21:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":412:3:412:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.plol_cnt[19:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":909:3:909:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.genblk3\.rxr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":527:3:527:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk1\.genblk2\.txr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":778:3:778:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlols0_cnt[17:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":680:3:680:8|Found counter in view:work.sgmii_ecp5rsl_core_Z2_layer1(verilog) instance genblk2\.rlol1_cnt[18:0] - -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 144MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 144MB) - - -Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 145MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 145MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 145MB) - -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). - -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 147MB peak: 148MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- - 1 0h:00m:01s 5.36ns 154 / 221 -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.sgmii_ecp5(v1)). - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 147MB peak: 148MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 147MB peak: 148MB) - - - -@S |Clock Optimization Summary - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -3 non-gated/non-generated clock tree(s) driving 221 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - -============================================= Non-Gated/Non-Generated Clocks ============================================= -Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------------------------------------------- -@K:CKID0001 pll_refclki port 91 rsl_inst.genblk1\.genblk2\.mfor\[0\]\.txpr_appd[0] -@K:CKID0002 rxrefclk port 77 rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] -@K:CKID0003 DCU0_inst DCUA 53 sll_inst.pcount[21] -========================================================================================================================== - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - - -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 111MB peak: 148MB) - -Writing Analyst data base /home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/synwork/sgmii_ecp5_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 148MB) - -Writing EDIF Netlist and constraint files -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5.edn -M-2017.03L-SP1-1 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 151MB peak: 153MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 151MB peak: 153MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - -@W: MT246 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5.vhd":162:4:162:12|Blackbox DCUA is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) -@W: MT420 |Found inferred clock sgmii_ecp5|rxrefclk with period 10.00ns. Please declare a user-defined clock on object "p:rxrefclk" -@W: MT420 |Found inferred clock sgmii_ecp5|pll_refclki with period 10.00ns. Please declare a user-defined clock on object "p:pll_refclki" -@W: MT420 |Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock with period 10.00ns. Please declare a user-defined clock on object "n:tx_pclk" - - -##### START OF TIMING REPORT #####[ -# Timing Report written on Fri May 10 11:59:02 2019 -# - - -Top view: sgmii_ecp5 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5.fdc - -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. - -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. - - - -Performance Summary -******************* - - -Worst slack in design: 4.079 - - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group ----------------------------------------------------------------------------------------------------------------------------------------- -sgmii_ecp5|pll_refclki 100.0 MHz 168.9 MHz 10.000 5.921 4.079 inferred Inferred_clkgroup_0 -sgmii_ecp5|rxrefclk 100.0 MHz 170.5 MHz 10.000 5.864 4.136 inferred Inferred_clkgroup_1 -sgmii_ecp5|tx_pclk_inferred_clock 100.0 MHz 237.5 MHz 10.000 4.211 5.789 inferred Inferred_clkgroup_2 -System 100.0 MHz 840.7 MHz 10.000 1.190 8.810 system system_clkgroup -======================================================================================================================================== - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise ------------------------------------------------------------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ------------------------------------------------------------------------------------------------------------------------------------------------------------- -System sgmii_ecp5|rxrefclk | 10.000 8.811 | No paths - | No paths - | No paths - -sgmii_ecp5|pll_refclki System | 10.000 8.253 | No paths - | No paths - | No paths - -sgmii_ecp5|pll_refclki sgmii_ecp5|pll_refclki | 10.000 4.079 | No paths - | No paths - | No paths - -sgmii_ecp5|pll_refclki sgmii_ecp5|tx_pclk_inferred_clock | Diff grp - | No paths - | No paths - | No paths - -sgmii_ecp5|rxrefclk System | 10.000 8.184 | No paths - | No paths - | No paths - -sgmii_ecp5|rxrefclk sgmii_ecp5|rxrefclk | 10.000 4.136 | No paths - | No paths - | No paths - -sgmii_ecp5|tx_pclk_inferred_clock sgmii_ecp5|pll_refclki | Diff grp - | No paths - | No paths - | No paths - -sgmii_ecp5|tx_pclk_inferred_clock sgmii_ecp5|tx_pclk_inferred_clock | 10.000 5.789 | No paths - | No paths - | No paths - -============================================================================================================================================================ - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: sgmii_ecp5|pll_refclki -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock --------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk1\.plol_cnt[2] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[2] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[3] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[3] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[17] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[17] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[19] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[19] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[1] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[1] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[4] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[4] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[5] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[5] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[6] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[6] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[7] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[7] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[8] sgmii_ecp5|pll_refclki FD1S3DX Q plol_cnt[8] 0.907 4.684 -==================================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------ -rsl_inst.genblk1\.plol_cnt[19] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[19] 9.946 4.079 -rsl_inst.genblk1\.plol_cnt[17] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[17] 9.946 4.139 -rsl_inst.genblk1\.plol_cnt[18] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[18] 9.946 4.139 -rsl_inst.genblk1\.plol_cnt[15] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[15] 9.946 4.200 -rsl_inst.genblk1\.plol_cnt[16] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[16] 9.946 4.200 -rsl_inst.genblk1\.plol_cnt[13] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[13] 9.946 4.261 -rsl_inst.genblk1\.plol_cnt[14] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[14] 9.946 4.261 -rsl_inst.genblk1\.plol_cnt[11] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[11] 9.946 4.322 -rsl_inst.genblk1\.plol_cnt[12] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[12] 9.946 4.322 -rsl_inst.genblk1\.plol_cnt[9] sgmii_ecp5|pll_refclki FD1S3DX D plol_cnt_s[9] 9.946 4.383 -======================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 5.867 - - Clock delay at starting point: 0.000 (ideal) - = Slack (critical) : 4.079 - - Number of logic level(s): 15 - Starting point: rsl_inst.genblk1\.plol_cnt[2] / Q - Ending point: rsl_inst.genblk1\.plol_cnt[19] / D - The start point is clocked by sgmii_ecp5|pll_refclki [rising] on pin CK - The end point is clocked by sgmii_ecp5|pll_refclki [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) -------------------------------------------------------------------------------------------------------- -rsl_inst.genblk1\.plol_cnt[2] FD1S3DX Q Out 0.907 0.907 - -plol_cnt[2] Net - - - - 2 -rsl_inst.genblk1\.un1_plol_cnt_tc_10 ORCALUT4 A In 0.000 0.907 - -rsl_inst.genblk1\.un1_plol_cnt_tc_10 ORCALUT4 Z Out 0.606 1.513 - -un1_plol_cnt_tc_10 Net - - - - 1 -rsl_inst.genblk1\.un1_plol_cnt_tc_14 ORCALUT4 D In 0.000 1.513 - -rsl_inst.genblk1\.un1_plol_cnt_tc_14 ORCALUT4 Z Out 0.606 2.119 - -un1_plol_cnt_tc_14 Net - - - - 1 -rsl_inst.genblk1\.un1_plol_cnt_tc ORCALUT4 D In 0.000 2.119 - -rsl_inst.genblk1\.un1_plol_cnt_tc ORCALUT4 Z Out 0.762 2.881 - -un1_plol_cnt_tc Net - - - - 5 -rsl_inst.genblk1\.plol_cnt11_i ORCALUT4 B In 0.000 2.881 - -rsl_inst.genblk1\.plol_cnt11_i ORCALUT4 Z Out 0.840 3.721 - -plol_cnt Net - - - - 21 -rsl_inst.genblk1\.plol_cnt_cry_0[0] CCU2C A1 In 0.000 3.721 - -rsl_inst.genblk1\.plol_cnt_cry_0[0] CCU2C COUT Out 0.900 4.621 - -plol_cnt_cry[0] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[1] CCU2C CIN In 0.000 4.621 - -rsl_inst.genblk1\.plol_cnt_cry_0[1] CCU2C COUT Out 0.061 4.682 - -plol_cnt_cry[2] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[3] CCU2C CIN In 0.000 4.682 - -rsl_inst.genblk1\.plol_cnt_cry_0[3] CCU2C COUT Out 0.061 4.743 - -plol_cnt_cry[4] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[5] CCU2C CIN In 0.000 4.743 - -rsl_inst.genblk1\.plol_cnt_cry_0[5] CCU2C COUT Out 0.061 4.804 - -plol_cnt_cry[6] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[7] CCU2C CIN In 0.000 4.804 - -rsl_inst.genblk1\.plol_cnt_cry_0[7] CCU2C COUT Out 0.061 4.865 - -plol_cnt_cry[8] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[9] CCU2C CIN In 0.000 4.865 - -rsl_inst.genblk1\.plol_cnt_cry_0[9] CCU2C COUT Out 0.061 4.926 - -plol_cnt_cry[10] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[11] CCU2C CIN In 0.000 4.926 - -rsl_inst.genblk1\.plol_cnt_cry_0[11] CCU2C COUT Out 0.061 4.987 - -plol_cnt_cry[12] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[13] CCU2C CIN In 0.000 4.987 - -rsl_inst.genblk1\.plol_cnt_cry_0[13] CCU2C COUT Out 0.061 5.048 - -plol_cnt_cry[14] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[15] CCU2C CIN In 0.000 5.048 - -rsl_inst.genblk1\.plol_cnt_cry_0[15] CCU2C COUT Out 0.061 5.109 - -plol_cnt_cry[16] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[17] CCU2C CIN In 0.000 5.109 - -rsl_inst.genblk1\.plol_cnt_cry_0[17] CCU2C COUT Out 0.061 5.170 - -plol_cnt_cry[18] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_s_0[19] CCU2C CIN In 0.000 5.170 - -rsl_inst.genblk1\.plol_cnt_s_0[19] CCU2C S0 Out 0.698 5.867 - -plol_cnt_s[19] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt[19] FD1S3DX D In 0.000 5.867 - -======================================================================================================= - - - - -==================================== -Detailed Report for Clock: sgmii_ecp5|rxrefclk -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock -------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rlol1_cnt[7] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[7] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[8] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[8] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[9] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[9] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[10] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[10] 0.907 4.136 -rsl_inst.genblk2\.rlols0_cnt[1] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[1] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[2] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[2] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[3] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[3] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[4] sgmii_ecp5|rxrefclk FD1P3DX Q rlols0_cnt[4] 0.907 4.170 -rsl_inst.genblk2\.rxs_rst sgmii_ecp5|rxrefclk FD1P3DX Q rxs_rst 1.015 4.700 -rsl_inst.genblk2\.rlol1_cnt[0] sgmii_ecp5|rxrefclk FD1P3DX Q rlol1_cnt[0] 0.907 4.742 -=================================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rlol1_cnt[17] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[17] 9.946 4.136 -rsl_inst.genblk2\.rlol1_cnt[18] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[18] 9.946 4.136 -rsl_inst.genblk2\.rlols0_cnt[17] sgmii_ecp5|rxrefclk FD1P3DX D rlols0_cnt_s[17] 9.946 4.170 -rsl_inst.genblk2\.rlol1_cnt[15] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[15] 9.946 4.197 -rsl_inst.genblk2\.rlol1_cnt[16] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[16] 9.946 4.197 -rsl_inst.genblk2\.rlols0_cnt[15] sgmii_ecp5|rxrefclk FD1P3DX D rlols0_cnt_s[15] 9.946 4.231 -rsl_inst.genblk2\.rlols0_cnt[16] sgmii_ecp5|rxrefclk FD1P3DX D rlols0_cnt_s[16] 9.946 4.231 -rsl_inst.genblk2\.rlol1_cnt[13] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[13] 9.946 4.258 -rsl_inst.genblk2\.rlol1_cnt[14] sgmii_ecp5|rxrefclk FD1P3DX D rlol1_cnt_s[14] 9.946 4.258 -rsl_inst.genblk2\.rlols0_cnt[13] sgmii_ecp5|rxrefclk FD1P3DX D rlols0_cnt_s[13] 9.946 4.292 -======================================================================================================================== - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 5.809 - - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 4.136 - - Number of logic level(s): 14 - Starting point: rsl_inst.genblk2\.rlol1_cnt[7] / Q - Ending point: rsl_inst.genblk2\.rlol1_cnt[18] / D - The start point is clocked by sgmii_ecp5|rxrefclk [rising] on pin CK - The end point is clocked by sgmii_ecp5|rxrefclk [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rlol1_cnt[7] FD1P3DX Q Out 0.907 0.907 - -rlol1_cnt[7] Net - - - - 2 -rsl_inst.rlol1_cnt_tc_1_10 ORCALUT4 A In 0.000 0.907 - -rsl_inst.rlol1_cnt_tc_1_10 ORCALUT4 Z Out 0.606 1.513 - -rlol1_cnt_tc_1_10 Net - - - - 1 -rsl_inst.rlol1_cnt_tc_1_14 ORCALUT4 D In 0.000 1.513 - -rsl_inst.rlol1_cnt_tc_1_14 ORCALUT4 Z Out 0.606 2.119 - -rlol1_cnt_tc_1_14 Net - - - - 1 -rsl_inst.rlol1_cnt_tc_1 ORCALUT4 D In 0.000 2.119 - -rsl_inst.rlol1_cnt_tc_1 ORCALUT4 Z Out 0.768 2.887 - -rlol1_cnt_tc_1 Net - - - - 6 -rsl_inst.genblk2\.rlos_db_p1_RNIS0OP ORCALUT4 A In 0.000 2.887 - -rsl_inst.genblk2\.rlos_db_p1_RNIS0OP ORCALUT4 Z Out 0.837 3.724 - -rlol1_cnt Net - - - - 20 -rsl_inst.genblk2\.rlol1_cnt_cry_0[0] CCU2C A1 In 0.000 3.724 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[0] CCU2C COUT Out 0.900 4.624 - -rlol1_cnt_cry[0] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[1] CCU2C CIN In 0.000 4.624 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[1] CCU2C COUT Out 0.061 4.685 - -rlol1_cnt_cry[2] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[3] CCU2C CIN In 0.000 4.685 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[3] CCU2C COUT Out 0.061 4.746 - -rlol1_cnt_cry[4] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[5] CCU2C CIN In 0.000 4.746 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[5] CCU2C COUT Out 0.061 4.807 - -rlol1_cnt_cry[6] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[7] CCU2C CIN In 0.000 4.807 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[7] CCU2C COUT Out 0.061 4.868 - -rlol1_cnt_cry[8] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[9] CCU2C CIN In 0.000 4.868 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[9] CCU2C COUT Out 0.061 4.929 - -rlol1_cnt_cry[10] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[11] CCU2C CIN In 0.000 4.929 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[11] CCU2C COUT Out 0.061 4.990 - -rlol1_cnt_cry[12] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[13] CCU2C CIN In 0.000 4.990 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[13] CCU2C COUT Out 0.061 5.051 - -rlol1_cnt_cry[14] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[15] CCU2C CIN In 0.000 5.051 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[15] CCU2C COUT Out 0.061 5.112 - -rlol1_cnt_cry[16] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[17] CCU2C CIN In 0.000 5.112 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[17] CCU2C S1 Out 0.698 5.809 - -rlol1_cnt_s[18] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt[18] FD1P3DX D In 0.000 5.809 - -======================================================================================================== - - - - -==================================== -Detailed Report for Clock: sgmii_ecp5|tx_pclk_inferred_clock -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------- -sll_inst.ppul_sync_p1 sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q ppul_sync_p1 1.098 5.789 -sll_inst.ppul_sync_p2 sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q ppul_sync_p2 1.098 5.789 -sll_inst.pcount_diff[0] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_0 0.985 6.147 -sll_inst.pcount[0] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q pcount[0] 0.955 6.178 -sll_inst.pcount_diff[1] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_1 0.955 6.239 -sll_inst.pcount_diff[2] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_2 0.955 6.239 -sll_inst.pcount[1] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q pcount[1] 0.907 6.287 -sll_inst.pcount[2] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX Q pcount[2] 0.907 6.287 -sll_inst.pcount_diff[3] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_3 0.955 6.300 -sll_inst.pcount_diff[4] sgmii_ecp5|tx_pclk_inferred_clock FD1P3BX Q un13_lock_4 0.955 6.300 -======================================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------------------------ -sll_inst.pcount[21] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[21] 9.946 5.789 -sll_inst.pcount[19] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[19] 9.946 5.850 -sll_inst.pcount[20] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[20] 9.946 5.850 -sll_inst.pcount[17] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[17] 9.946 5.911 -sll_inst.pcount[18] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[18] 9.946 5.911 -sll_inst.pcount[15] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[15] 9.946 5.972 -sll_inst.pcount[16] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[16] 9.946 5.972 -sll_inst.pcount[13] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[13] 9.946 6.033 -sll_inst.pcount[14] sgmii_ecp5|tx_pclk_inferred_clock FD1S3DX D pcount_s[14] 9.946 6.033 -sll_inst.pcount_diff[21] sgmii_ecp5|tx_pclk_inferred_clock FD1P3DX D un1_pcount_diff_1_s_21_0_S0 9.946 6.034 -========================================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 4.157 - - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 5.789 - - Number of logic level(s): 13 - Starting point: sll_inst.ppul_sync_p1 / Q - Ending point: sll_inst.pcount[21] / D - The start point is clocked by sgmii_ecp5|tx_pclk_inferred_clock [rising] on pin CK - The end point is clocked by sgmii_ecp5|tx_pclk_inferred_clock [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------------- -sll_inst.ppul_sync_p1 FD1S3DX Q Out 1.098 1.098 - -ppul_sync_p1 Net - - - - 25 -sll_inst.pcount10_0_o3 ORCALUT4 A In 0.000 1.098 - -sll_inst.pcount10_0_o3 ORCALUT4 Z Out 0.851 1.950 - -N_8 Net - - - - 25 -sll_inst.pcount_cry_0[0] CCU2C A1 In 0.000 1.950 - -sll_inst.pcount_cry_0[0] CCU2C COUT Out 0.900 2.850 - -pcount_cry[0] Net - - - - 1 -sll_inst.pcount_cry_0[1] CCU2C CIN In 0.000 2.850 - -sll_inst.pcount_cry_0[1] CCU2C COUT Out 0.061 2.911 - -pcount_cry[2] Net - - - - 1 -sll_inst.pcount_cry_0[3] CCU2C CIN In 0.000 2.911 - -sll_inst.pcount_cry_0[3] CCU2C COUT Out 0.061 2.972 - -pcount_cry[4] Net - - - - 1 -sll_inst.pcount_cry_0[5] CCU2C CIN In 0.000 2.972 - -sll_inst.pcount_cry_0[5] CCU2C COUT Out 0.061 3.033 - -pcount_cry[6] Net - - - - 1 -sll_inst.pcount_cry_0[7] CCU2C CIN In 0.000 3.033 - -sll_inst.pcount_cry_0[7] CCU2C COUT Out 0.061 3.094 - -pcount_cry[8] Net - - - - 1 -sll_inst.pcount_cry_0[9] CCU2C CIN In 0.000 3.094 - -sll_inst.pcount_cry_0[9] CCU2C COUT Out 0.061 3.155 - -pcount_cry[10] Net - - - - 1 -sll_inst.pcount_cry_0[11] CCU2C CIN In 0.000 3.155 - -sll_inst.pcount_cry_0[11] CCU2C COUT Out 0.061 3.216 - -pcount_cry[12] Net - - - - 1 -sll_inst.pcount_cry_0[13] CCU2C CIN In 0.000 3.216 - -sll_inst.pcount_cry_0[13] CCU2C COUT Out 0.061 3.277 - -pcount_cry[14] Net - - - - 1 -sll_inst.pcount_cry_0[15] CCU2C CIN In 0.000 3.277 - -sll_inst.pcount_cry_0[15] CCU2C COUT Out 0.061 3.338 - -pcount_cry[16] Net - - - - 1 -sll_inst.pcount_cry_0[17] CCU2C CIN In 0.000 3.338 - -sll_inst.pcount_cry_0[17] CCU2C COUT Out 0.061 3.399 - -pcount_cry[18] Net - - - - 1 -sll_inst.pcount_cry_0[19] CCU2C CIN In 0.000 3.399 - -sll_inst.pcount_cry_0[19] CCU2C COUT Out 0.061 3.460 - -pcount_cry[20] Net - - - - 1 -sll_inst.pcount_s_0[21] CCU2C CIN In 0.000 3.460 - -sll_inst.pcount_s_0[21] CCU2C S0 Out 0.698 4.157 - -pcount_s[21] Net - - - - 1 -sll_inst.pcount[21] FD1S3DX D In 0.000 4.157 - -============================================================================================ - - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------- -DCU0_inst System DCUA CH0_FFS_RLOL rx_cdr_lol_s 0.000 8.810 -DCU0_inst System DCUA CH0_FFS_RLOS rx_los_low_s 0.000 8.810 -======================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] System FD1P3DX SP un2_rdo_serdes_rst_dual_c_2_i 9.806 8.810 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] System FD1P3DX D rxpr_appd_RNO[0] 9.946 9.556 -rsl_inst.genblk2\.rlol_p1 System FD1S3DX D rx_cdr_lol_s 9.946 9.946 -rsl_inst.genblk2\.rlos_p1 System FD1S3DX D rx_los_low_s 9.946 9.946 -============================================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.194 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.806 - - - Propagation time: 0.996 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 8.810 - - Number of logic level(s): 2 - Starting point: DCU0_inst / CH0_FFS_RLOL - Ending point: rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] / SP - The start point is clocked by System [rising] - The end point is clocked by sgmii_ecp5|rxrefclk [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------------------------------ -DCU0_inst DCUA CH0_FFS_RLOL Out 0.000 0.000 - -rx_cdr_lol_s Net - - - - 4 -rsl_inst.un2_rdo_serdes_rst_dual_c_1_1 ORCALUT4 A In 0.000 0.000 - -rsl_inst.un2_rdo_serdes_rst_dual_c_1_1 ORCALUT4 Z Out 0.606 0.606 - -un2_rdo_serdes_rst_dual_c_1_1 Net - - - - 1 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd_RNO_0[0] ORCALUT4 B In 0.000 0.606 - -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd_RNO_0[0] ORCALUT4 Z Out 0.390 0.996 - -un2_rdo_serdes_rst_dual_c_2_i Net - - - - 1 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] FD1P3DX SP In 0.000 0.996 - -=================================================================================================================================== - - - -##### END OF TIMING REPORT #####] - -Timing exceptions that could not be applied -None - -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - - -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 151MB peak: 153MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 221 of 24288 (1%) -PIC Latch: 0 -I/O cells: 0 - - -Details: -CCU2C: 113 -DCUA: 1 -FD1P3BX: 20 -FD1P3DX: 92 -FD1S3BX: 12 -FD1S3DX: 97 -GSR: 1 -INV: 3 -ORCALUT4: 153 -PFUMX: 2 -PUR: 1 -VHI: 6 -VLO: 6 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 35MB peak: 153MB) - -Process took 0h:00m:03s realtime, 0h:00m:03s cputime -# Fri May 10 11:59:02 2019 - -###########################################################] diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr.db b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.srr.db deleted file mode 100644 index 1e1a850b20308c7deb626fa6593c682b7dc66616..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16384 zcmeHNTW=dh6t;6u8z+UPgi=+E@lE2Ne-mr~LMR^#1Sd&qirJ2Q4J zf(Pdb^(P>H0581q#E;;G2Yv<;51_tqX4iJyN-k|}SB*MWyz9NqobNklXY$QC+naZ& zB*^i26-$(fT#7`ak<3B|_jb5v~2u`qswk&Ia09 zUAwb^T4xuijp~?N70hoSm$HMiqvkDVCk`ms%DUJtr6ro$ncAZd6Gna`@0dj zJbpFSAXkQqUm2-f{voGQJ+pDEh#Y9NfRYujN|M;-6tiTR%B19_oUoFTRDBmqlJvRv zEwSJ{5z;J|h;NCcC|4;piRI^#;+UD72r!`Ybb{EdX+r&dg>O5sAfMf-fQi1O9z)VY z0O$a5Ql>%@E`VMn;ri$I)=&itg#ncaVMzGIq7Ef?0^N5B76b_*k?Of72=yEXVJSH+ z)g(!vHgxa3iK~s>MlHl{tjzZewT;>oo+DkaOszzHzF@2@FBekbF1Kpiis04l-7nV7 zt&Q6V`11(|e*$DM*{X1l(GztK1^))#6s~KkgM@EW$1&Ai;jxV+Hhlvv05f$;4h!he z;|GZ|`WNa(Jf3JdyLu@={P-Lp=F;i`@65Tw>sLyzkJp=i=OQ|G?mXOEL{FVNygfzq z-o=Tz#sf7w+`X617y}!k^UJA1rl7XVMXv_ax&>R9bJUa*46M(Mt6aFI<#A#@N}Db| zCfr!46HZ~skDm?OWx`7CL3%&IUC%X4i^)9$CW5cqGtmBp0NsN@qgz^926SpWD0Ctf z!dvQuM`O+6dP-$cCGcRV^a7gJ+HF`ZrBsxcPoGnCIRmZ(Hk z&n93dNcrJem7AXhSwHi~%GJy3+q+Q=dep|CuhwevhT6eu4x2(F|w?Gy|Fe&46Y=GoTsJ3}^=4Wd_7YDw}y1 zQi?m7bh@3*Y*zHDT35@h*-#A2+~al$?4tA*Tjj7&94jjVVIe$=s#GRAq_Tntq-fz` zo7SmagB)&<-)yB&8<(#_ucxuF*VIl0Qmv9!ReFXK-{Vr{UNPiS1)PO8Rkbiw`9eXl z%BOM<3TTn4IZdD<+& za${v3UxbQi$YQsehTO4NQYFl2o)wyn&mUs0a=fZUdUj4(H~Es*`H&jt!~p|oUrh1~ z9W8d6HT{7KpzmMOEGX&;jOvq3t>!umIvu?N1q`=OlggrWHs8krhD5z$Lm72GUC0Jo zBfSPec~J6>(5>|ct14)#6lxbBj6)A2QS+fp9$egvPL-f{6DSDyDn5qt@w$oz24xPC x!cXEjDgYo9nh#(I?PC$V4R%nFUZp2Nb%H<(oA6$#0(^Kmw!+HxI68}${{S!g$ZY@s diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.szr b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.szr deleted file mode 100644 index 014df6dca70413d0a7e7f0e7251573ec450f9331..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13092 zcmV+f+SJ)yX}i$u*56O~`u+ia*|T z5QKv}?v8I52CA(nocsz!N zhp4P=9>uXD*JKsk(f5w-g{TQLsuPxwV>EDeWO;3-K^{qE&mH`?nxzJ7vaJ>EB=2^Z zE?)ou0RR8&y?t|=NVYiqxA+u!()v~8y%A~|j4`v>iq#0WrwrIrYIv#Nds7l z-D4|p<-#C?sHC`_im0A#W6*Vx5S4obJCXZOy2U`NsCiz zh!aBn7PHgHYf%>cE*#eKDW!~rY;qE{d_wpn<$lN_VzlbQ4Vg_6>Jug9rtP*^-e*7@ z>a}d@Q_gtH^xV`jwbnpCio-GKx9TS@_D`6daQ7$-y>Z%ah32euVYc87nZSgnJdBucq}r*x-v!g2)9=*Ky3G_wnxtMJ>KttL zY`AMtLhQPWb8j&bIdsw@X~;P<{3x6Rk>`bJBqFBSM=9b7yASPC|IR4G0ZnLl z;v?IZ#?nH53W;C|vFUssT4XRVT?8P0jzN!OEsiNP{gw?+T`%)_nOfj?q2;tv=5bSa z6Ib@u66D12ajdBq*@%>uV9xK@TZ@H+PNEZrz1{UuZxI)HgV4k#@>(1_wKASrBvPRf z(?nePr<|SGIlc%(xB<1PM|sOpLdrc-JM47Xa{ML0x>nw-GNc0pxPVSn3`l3V?RoPTj>3*WbHv=3i=HJE-ME7duSI zdj>-4*@XMDzxOG3%)rBcARgZ%2LLfSJrO){D0c(=H>2Gp9H3GbNLw7YN4Vol-3Gqt zByP$m_o%~NAQTAB-?yVWpqA>MFiy>DO%W*j{XZ!%E{JpEqMB3V#z2d|TsPag($p16 zTVSFsP}o2cbs`6K62lkvcD!>N=a(`T*&YFA#&Oq*xXUz-h|RyeXa;kH=tt?>OMs{8Pux=EIOwws(ShMcYd|PAX}~-Vz#xj>K=mYa-EHA^FD+b9({wx174rjc}M!J_Sfm&1Sp%iozy@yGYLn68fWXqD!3IC`u*= z5H^yQ+aCX#%?0O&a*nYb*kj9H)-7wk}u5! ze1X`Yx&`rJd;?y@YT31Zv#Tgd#t#lIJJ;kBWb$-^OlAk1?Ge~Pqh%$GYv|l^I7bYD zO|aA0gnV#TOm2QcPD`D-Tz@{UKPGUR)Y5PC!6AdlgIa#A>?%{nv3DoR)_A&yWBq(75TdpS# zCepxn6j6T?tjS4B+MC?=TS3B%)CkppYcvhsA$hoMf(0X7$cXN4AmM<6BAN?dP^Zq7 zsT>QgKi7E|KxQX8EfS!L@=*2oCid@msXH)RTByfIt#O}3b@zmMq=mLZ3vGMm3y#Vu zFv28Nn?^9+6!uh6I12MF@~~|>p0oog=cyY^faAoTo!3#{3<_SyYeVw-Q|E6VaYVw= zph==JZ_>1`5%;lpe&#wp&6}C;wdd_HdzHNG`~=tMw=37+jl)ur)Ir|&MIxBx zQq&^-o9Gaj<<+2%*UI6pa;jW<9=A`>7ww|IFPxB|?Df$zZU&N02|Jlc8wm|hFjI#q zHu=RJA&p>~D6Xk=rf)zpJ?DXsl0R}IF1>FQ6t&1i<>@1(P^TcxgEZyD>r!9ZSKbFf zZ6jcbnuf+SP~MOZ)FK`+#nd|RW=i}BDG+dJPexL|V232CapV&dy&FFPg4TEd+#_h^ z`(3o*B4N`Hb6S*S*bbb3aX30CGkr;3Ku`7$)w!PRANFr{|EVbd8d<2v(i0*1>-@_t zK^qge-;Dx9c4{PHpc+jWTRn7lAsrP%;Qr^4a(1a@~N zebH=|rm_nWcN2{g#ed^EQm>=U=%HDec0C_?5gi)aCioz;t_Jbm0q|2a#w>OWnGdYZ z{TTV2jKGB9Z#v4yx;WMMve?M(WLM#in4mXeqoHOt8T<>)_hWet3}=Y{gXX{RF9r1C zT9@~Ad9CO7^Xreg@)1VXje4OPZ^3%9jK1^HLdOoM<4?F|oxaueUTo>H)w5#V=zc$! zmZ0<}+{gh3*-@?;WD>-sm*Ym#3tcx!7w}WB%cNa|g!1s$0lPvA>R=DJdwR^7<-oBZ zHSNyF#OH+XFg^S&tfSAmCv^1sg}v)ccF9lRLBOWazTxO=va)TDjssf!;%AX?xpqEU zA4j0xTntc=zJ01+T;M1~9HCsaxij(KYNwW=4`K_E5)TY(YJ8VG3W@KyDBPf!-==&4 zlU=m4iN{EJj`S<(xg98@j~}fIxKUxCTiv%$ zi^-MaRxS!{kJ-(Xbi=tI_LO-;=51qULgFBI6B^>EGjH%h5!w#0SE5aGJldQ1wE)t~0SG zP8mmh?IJpeOFe&r?v(AG)HL<8ux*F5+t{{!OhU&=o%4*SF=&Z)GC33sXQxy&IDY|DQPP6DJM z_<={!F8eC&2_FgZfzaN2RRtPJQ{DZb=*pCH7mZ+6JnQaFULelX@889F@cOB7o}-f% zTAKWbv<6WV{W6WG*z8aWo4{Yl`sh+}v+@rjI)%C$6s`FhQG)gE=6wqL8AvEvkN zi&Nz00A(}26;Fu&POf!7LTnVWPl*i0ManZGeXIX0Jd}L9EN+Vv&f-%}$(KgI2CkcE zHxr`2h`-k_`FqCA?vbMSG$dXqXf_sqB0u~{g>QQ{(@jvqBcI4v zDi3EdRNuoPm}*;5rh~1Y70= zY@E90j&)Mvkypi`qTI>PS*!|Xd~h!&fsXAp!R4~2zO-yLq-?F^$-!!#>`OW)FiOP< zld+sHX9Y@eN<-@dVssm8hi8~;9!xyu$hnC($JIdN8JV^Yy+jY@vka_OHu12=8 zIr?`Rq38+u@|jTDOP*$;X%p(JVrF}hak{JTX1PU_c{s~>_>%s*oFDq@L#x*_%*&gk5!Z*?1GWQq>pt6zz>RlJ5hvR-N+kPkqZArg~YG%NLt?8*~CHs!DuI)N# z$Jf(`V$k|}TUuXbOE*fkbZ=%$uaG%oUpbPoGhAsQcT;JP`L<~@xNa!kFNFM(%R-v| z!hV_QgtqsKU;BlAkpbt{4rdeWmyxE@d~}1R0>6O%OCf`P(aA4>O#@><#_lirFJQF# zkTn(m9OGP>YFqd|)TY$02JtTsX^UY%vrAF@W@WouHF|OJ&U95^SnlV&0 z6lo;u_7LwpZ~{Q|iG-g}U>dB_8;U-7wU|QTOXF=ai&J$4XI@wM^&i21iY(mu__3&y zx+wV`khYVw?g{0aqI`pI-`YFpm*-QsfQ!zT&c$DFeRFW< z9ry2f%^7sEnzL>C>U>age4Gom4`-SE!S{2Vus1fUS9gBz4X$fhlXy;{4iS^Lr;g_) zfa5*zmlx+}qc^Z~eSWc%38aH>-?A8KR~oT? zEeTQ?XF`)VI<1V!>wBlW09JFL_hYr850!qNPxwToyrS-Cf^vY`QrSGaAEfL0k{`rB z2`bmGcj?*`H7+$h&w~@vV$WTBF7a^ZOK4$A6p_A`Zmfd)Ec*p$tA#&OM{@)E?ufp4 zP1tkT2Le8EnR{R`q6FPA5B90&ognY5=0SBm52~wpa9rVw+fy#>GlnK*(1Lec?JaBZ zlOxV;cW~4qW5fX3fg0Lq?>q=N+F#ZPy|Dy{A9O+PeR510xkq~i?Uzcp&%y64(vr{k zf#YhF9zA+2KLQ8E`agTKKP~7l^DS9^g-8b>ud|+_IV0b7qbwHtZrgo9yme$Bakmu> zNRy76oTOSE+;@TPakPmnfRRJGiJ!re`w#>g-i}Yjw7v}Yx%S=2XB_IgG|-NKK5i^O z0tX-K^Z17GeVpwJ%zmFi6sr{0L0gD>sowXRD)?9hTwV?BoY_qHqj+d+udI7bS*J|hE+_1hncG@_qOB!sNZJ$NcA8{y8L1~< zLhtFiG1q$oye`{b`+xrNg+n{_5nIJ^)twC1)}0Kh>rM=m5=Gq!UJqt_5_v0z#wTc0E8Lrpjy*Yj( zrmd6m<@0dK{D3oyWI* zlc6{h8NHiKN<*|-<~3Lj{O+8{q`+J1T1S2u*-Gtg5i6O;Z)MyTMt|Rn*@E)zT;>mU zP~XaPA_{vltHDI;vVP;uY)EUU%AKcbJXEk}M>&sX`LKJzp>mzs&pRwZUT0I(=?VLp z)m=Y(IM!qBCWb#geMKE2nA}sC?InU)SCZ4NBgG@M3qOmzk4z`zu`6Z4KHam(uKelQ za&Cd4ncypx!LOojUp*hh=kQ&wuPpx}X84n96FisU?Nsjjz%>)$+GV-jD-yKOO|+&p z2c|dyq@ice-N=6V=KJNPAHlldf!{?nYn~?D#O091)HRti z5Z5e79g+D0MV{av%T4*DDD#>wUIP~iNL)U#H0Z}lV_1#cg|-7(?4HQ{LBE zU9JDE+$2t*mS4-bI{3AFzZd)NI+Hwau%b;RANtI#%YO)%W;q4$vwvPoIa0u7aGkB+ zS!+wVEidYD9u=|lzaguYy9b~NuNOowHylN!%mjXKxRC8f*Ww%a^CirS3fYNB;wV&#wfQ|Vs^aQd!;TS@248Rf z$j7BOv>OBdfUmRPw7Y@y3~dav+6??l8|!%(ywDl)8okxIOcu3?6DE;9QRXu3dq|HW z97j0UGofGbR5s}X2e=>`pc!-g$bmpS$AK!%i2^@8Ek}s7dPJ6o0-3>aMZiReGJay$ zB)^1hRjH$8l`bJ+p%wB~;-H69ZItjM^$N^Tf+NskeK>!w*VD4Cb_ChA1c%T%VSbw9 z1Cj`E@xE3HV6jP&q}WQfu6NLu%W5YhbR_>#A(c8xmLnq%;qSrr9hnzoRuEN{tkg$A z`#t|9kcW>rc-xb{s=f4V_WRRDXJ56b%XeOa)QvSqoV_A~iNgu zsPb6?%98H|3mjF4w%jSdhNiH@bEqEr&&hMb_<5zoO~q(?9IbkG6FX!Ro-5l$=uc_GMsFJ&RX+RS^~wSsY&bHYBZs}S<~V(a zww5W+)&f~*)icBeMbgBR{*ei;tXZA)EzcF;s7=w$4`lo) zdu~$8_t+@tiMh%dMe`7KB0F=CzOs4?9Jk6BLsLi@ojGt()~9lhm|`l*hYiTK|3P7# zW;KXA((eq=lIe)dU$V-&t0V_EVg_lu6?TP#ZWM8876WnMlR#W$zEhPQw=lOw!LZa& zhZXy$9(=Z{UCNSC!9BQhKa^r`$eP!77zrV&1HZ0IofOZr3g{(Db8xn7x(9@^eU@QD(eXQdt|dP^A9sAybn`-zdiUhpTWPg z|Jrc>eH4D^b>+H4`hvr_q1b|C^;5Hb^Lq4IvqQ(0dzA-}S4FLq{Zj-x(A)d)YXK3Y z=wEyvDfqEtm~`~eY)TNb+BJXFD$;VK|F*w2Re)StfZ;_M4IX= zXxbn5*3q>8M4I-iXxh`p{dF`29 zRh2ki2Y38*xZ}sb9XuWGpc?L2UkA7Ubh!P;z}24)SFeIQP*imtT=nU2)ve(Ux7ORs zaA9~*4YxP=@k;bVzHgw&N78GrD|0+Dhbe2yR{59cnqH&dE^|YUC(^90NX7wVd>{cg zKleblG6h@CMT%?2EoUJKIcu{xWcE(G2`r+Ctk;<5y{j79T(M$geEUDM{H5tJyDl>f zCd+JtNZJPFK4frbF9z@cy*7^xovT8jMAo)@mO<@vt7^5@$n%)BSh@^^7Iz;wRbC_mGj5N zUQas!xjz<8ZN`Ybx4Sq_LmnK7?Jm|3am!sYC+4kUFVLO+tsbXXb?VJ9Zk%l)nJ9j=Jr{6N}qjvE1YJMfnxV+~y< z^Z&?_JaSy50+BH$hI~|qlY7&yvYD^Atj8oSOb(pL1>SMtuQNp{_J+5r?6OtOz0QNA zg-us#mt2Tb@Uj5Q2pr9UKwc;wq#JBNld+O|bcdgj$8tFP*~Tjd`;s5?=k8)EQ}Eo2 zy@ZnH?vIIah~_#UO)bx->;ujnl>{y_T$lW?vY#>!&qkmW{H4PB-CQGJCGs^FFP3h| zHXo>f<@xA|8y0i&LmJ950c;nSJDo3mc}9$Em-%1rY@ec(akDsn<$N9u=eH%ijpZL~ zx~>_6MK}p4Zls49?n0MR-4Exr1J8iBdBT6Q`Sh3Pv%eB=mGgNtoYi`4 zUkPu;qnqNbaz2lSvy8U}jI-sU_Ozb92xk#*u-Yws4Bm`&^C{*M>Uue$dVfBLtMOJf zpGU)4##>LOX|WAdt+52BkZ-U?htJyMvUm0dewYJowHSVtDMhc;Z)!)hP-r)ruEZ}XOPJL;-4YtKw)qEZcXC2=9T4PE7Ea0vGig+`&#aq>U9t&q3-eRrSUxc%O zxA80Dt+6fMs^;@pIP36+KBut=X8~`$SH#LcYCeyJ1MR%4 zdw%^eW9K}EyBcq#)aMVy+XCGCak15YedT<9RE?s{+si)>KlfqZV^r>qhiFIcIi>O& zOj!(_Sj#oAA2ukflev!4dEt+$8<6RbO=``G^S#&A{I6-{m~T!;<>52O80-X$2^o97 zcYnj0fk)NURqY#lN_&m{3QILf`S(TiJocO~l~wx?vV7n5Vx7+XJ06Xynwf#7kJrQO zJq2cEozBBBhfjeyd<@LEqMmLGn1wCADa_(~F^_^7+a%9%>B}&s9$E)eeJV_Kdzgc# z!W?W5v;S0>{mo&9M?}^^L(#T@c?5!xP?Y7Lu4{4OW1^qlST)btT8X!YS>oHcYOVCO zhWaXXbc&MYYOnKLEs=YiKXqr{oM_wrKWgiX%w;}&gVru;`XAdKQ%5ITu#=q}x}-Ow z^ZNWwk$a`1W#uZ*K`GvCLzai%Xu{8}7iD{`y?o2LSygjzHTe2nO&hGRY(dyrlPiNe6JQ~@f%r%ud-?{v_9Rxp4aWp{wl^@u4&T{GW2u6v%SxYb+oGZ ztl7k|V>#x=R(h+b0h-IXP$xOgh7#6ro(^0mUo%`8Gq|hvfaUeO*IE7U9MK3`ckBXg z5rfTZS!PYge~89f8jqh$x}L`4r_*>` zMdN{{>g#Accsh*-RWw%nnjv~?X}nbPzcr2fl{8irqxS%f2T!8$U@+PxGU<>|DkxwHRWA-wtERgTJ@*6?Akkwnc`YFzjxi*10 zf}1Pq&t`j6^O`l<#&;s%*7~}k*wEsB4(5OE)vPg^@55WH5v!_M+xY;D|C|V5raX6X zWeu35nbIF;?_4)Qcuq8tbEod=acW@nlsNNOnu~RR@+|wRI<~61KWX3W)xd2!t}o9& zw0&JS&&Iz88DN$&o)0&k!i z|6BGa_2yIR>gH>cUkQ26)VHFb-7AQt(moC7TDvwz>+zJMgd_uN-0?{g}J50yfX z6nm3awS~Q$&84g6;t!>wI&6jR6jYn*Jp7LyhdL~@=c=ol>o{2xrt8afZ=H*s%kwX{ zGbNuF>|DrDGR%?d$j=d$vrYIpRvPZZOM_XfSA?;k-D#xvYZ+ zRM8`4-F*G8^Any>W4A#K%AH@}(@@0q@T#bLxb1XQ=oAR++{z;QvaE||`%%YzO@#$W zWmzZ3U&PU>+H=XevTs+&in=dCJ!ZC-fn|Bo(dW@Hj{OFZks@_pX=H(q-9xG zJh0qeTGkC8A?td*@e;%hX!MwxyR~+mlywLCYCZANvQD4HmbQ>}Lr_=Sb!+rdrYE+M zb@2>!Yh>LIth-xYM@3w3Q&}eimUHW83t2a)rqLQ%XT)GEmCWChb$b13rJnc!vTp0z zJ41_?CbSuiay_xBtkVtjVHc|A7iHaeO+C~Puu8m%lV`lC`f49`EsZMb@1ULc zRaHlZ`e?1J)5+Dky6hK|b>&=|Y~@(cdLP#4LA)&Meq@aOInQXTIyX@9Ynu*bp5x}% z4*G`VxXUTX96+1qdEUM4k0syF`Bi@hLAI*%sFEnM>mhL?Kb+|}G@6D* ze%oj$z2W>@<)vo}0Xfh4QR92|jRal`=Vxro9FTmd>P)H#qJ-FC9W_cM^@5BE_GU6+ zc7CQ};{)0EVztPji5mtc4+`IZgEKjcoabTrTq?ANP+$%uPQsbZ5cjlU;be~cn%=OL z``_;@#~U76_p{oK%Jaq&-*MwooO>8+MzNz*A8QTLyQ8su)}$f73WBAxQXZi3^{<1& zdoanD;%%$I_aZx9?C5@NP9-Gl^uK&xi`f*QFD`(qFPh?a8%is~UrypGCsU-`!YyHtMSS z?S)p(Jr+66hw`H3JYI&*&BoNSMfYlRt~&8vKV-9o!pGt@IQgTzZXiJuuKm3!?MaT5283Y^S86aGv% zX+TB0hm#@tzeK-}lj3~6=fcSSkG4WcgLWc5+JFp}aMFKfoK(iB$~cj_dk`<#XPFINe9wMUoK)2R zq5YxH?Vk{B+%P0+jQ^eOr(43whyH)eS@_at&-Q(Ja81ujb$9=$J}O?G8LQ-HUQ@ueGl{&} zH)mImQ}3fs)R>)>hkm?S16H>^G(PD3eE)?pW_aMRXWGo$&GGRe+lS`Dp5wbs`R!YO zSMG^hI>Rp4nev?kM)~=JPGECqf|ithy2oq+3rFVZ@-tM*-9EjC&Z@4Dk>ip!ldhYov`5J8P%U-%@rcwDVA3?Kzx2V3T~fz*|j!uBrPR+M$B9Nshx8CX@p!hi!<#5&r0(4WqoK4_ijIj z2UAzZX?OR?i`t+iA2kXSoA`A%glO*5K5(AhJdUFetIrFl>T}*66*E?IoiD#fQ}#7= zm&RcjJ4Fp!xsK-Y?(K>=@m(zHUQX=3PSRuS9h|)Lhw7VpGUlSszSB1IQ_E8bmC@>i z>toNpnwdfb?(6k+H8T2|I;NqHb6C!IE&BJfe187mLpiQk8y59tJ(=cOn1)jHS#`$M zQ}bGjv6x4mXQdiiZ)xBwajtKds~@VG4hxwwWoFySKGljEhbQN(7HqF|wJodiRBCST zFF{#>^KH+lQXAT^>TIuhp9fdV#}+;@AGLzdE9+L)5iF0GidZIyYJ1Oq`dk{KCh@rS(i(X2il;b)_4WZ8#6p_nm-?)Jg=yWypt_U{_6|LmTk|H z8Vu#$mIY8NaX#Emw&;VqJV2Ff(d)~xj+c-vt7=JB$rj1yhQ6#rSIYD4&W9T4np$

    qb#2Z7<<_#TSduMEXFI)^-Ll;|P6HIVO9Nkx^Tra+*U1*_dTJ9G=#(ueV~eZGkE zm>{pHdeL7Q=k3CGC}hhRjsNVU)>5`q$n!$BtjI$=t87`wo!qZkn1v6kWJ?}5x(`Wt z*>7rR-^t$OL8k0e+v9A<1SSxbGWI9;pIUwmNV`rImTn5~*=vP1_y%=ld#Dy@AtyP{ zJudF4+_u)|IlndaQnft$O{Ejw#&WG^a3qa^L7YzuAMT>Q%R5@*c7e^5SN zwyLvdWc}*Eu6+(P+qn{-=0brktf0^jLe;@(M45G{9Z227;1(XSJ!tJ^@OY1B5o>jox(o~OWs3RewV8Q`?ov} zT_Cje2Xr!n$0NfyP&e zK1Ar52}1Vusxvjp?J2Z)U=QBRh8k#&9f?q<2Ge^vE6|PL(E+;HN+sk%6`MpwEtK{KzouDVr_IA8;JJTO5vOR)2H;%hj z9H-U*$WI-(zRi4Aw1*-SO?4~o##WrhKMe?^H}Y)udLr)&KM|C$D24}M~Blhz#p zEFoqBzHkMjx&_9eegj^_YT5PR26fAPpjb;pA*)bhJI|Dl<5an=Ic$ElFq z)>9x>W-&$5u@o8Gog0C&v}J#wbgVnwms$I>JtOny6gAF#TdSxaLUVYkDsnH)A^d6; z@2UIB%&(fg*?^(4^LP86(RrpTBlz>~)&RSopUE@3J@iv9m7a7k})jk7lb-9AqDyd7rCnk^)T zP4IqfLdF~eD<++v;QIV_CEwmSEEP!|`oLV@F%_aH|33f#0RR8ua!}v`00030{{sNG_U`oF-2eb_B9xZ^ diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.xck b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.xck deleted file mode 100644 index eb3488c..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_fpga_mapper.xck +++ /dev/null @@ -1,3 +0,0 @@ -CKID0001:@|S:pll_refclki@|E:rsl_inst.genblk1\.genblk2\.mfor\[0\]\.txpr_appd[0]@|F:@syn_sample_clock_path==CKID0001@|M:ClockId0001 -CKID0002:@|S:rxrefclk@|E:rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0]@|F:@syn_sample_clock_path==CKID0002@|M:ClockId0002 -CKID0003:@|S:DCU0_inst@|E:sll_inst.pcount[21]@|F:@syn_sample_clock_path==CKID0003@|M:ClockId0003 diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr deleted file mode 100644 index be17fbb..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr +++ /dev/null @@ -1,11 +0,0 @@ -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 69MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 11:58:57 2019 - -###########################################################] diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr.db b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_multi_srs_gen.srr.db deleted file mode 100644 index 029fa7a6cf9014af50ee9d4aa843536a1f7b5c42..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI#JqyA>3DEQgKL*xDXO@ z%d=&(imfwzIG4G0Jf%J073BwWN1`{~f``Krs^Nta{J wY>_tId!-qqw)j}S$WL3iQGJ`s(T{)t1Rwwb2tWV=5P$##AOHafK;VZ3UKAiP82|tP diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr deleted file mode 100644 index f8ac3ec..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr +++ /dev/null @@ -1,86 +0,0 @@ -# Fri May 10 11:58:57 2019 - -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 100MB) - -Reading constraint file: /home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5.fdc -@L: /home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5_scck.rpt -Printing clock summary report in "/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/syn_results/sgmii_ecp5_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 104MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 104MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 114MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 116MB) - -@N: BN362 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1408:0:1408:5|Removing sequential instance pcpri_mod_ch (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:PrimLib.dffre(prim) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1244:27:1244:40|Removing instance div2_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_3(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1252:27:1252:41|Removing instance div11_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_2(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1236:27:1236:40|Removing instance gear_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_4(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1268:27:1268:44|Removing instance pcie_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_0(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1260:27:1260:44|Removing instance cpri_mod_sync_inst (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_1(verilog) because it does not drive other instances. -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist sgmii_ecp5 - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 144MB) - - - -Clock Summary -****************** - - Start Requested Requested Clock Clock Clock -Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------------------------------- -0 - System 100.0 MHz 10.000 system system_clkgroup 0 - -0 - sgmii_ecp5|pll_refclki 100.0 MHz 10.000 inferred Inferred_clkgroup_0 93 - -0 - sgmii_ecp5|rxrefclk 100.0 MHz 10.000 inferred Inferred_clkgroup_1 77 - -0 - sgmii_ecp5|tx_pclk_inferred_clock 100.0 MHz 10.000 inferred Inferred_clkgroup_2 53 -====================================================================================================================== - -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|pll_refclki which controls 93 sequential elements including sll_inst.phb_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":567:3:567:8|Found inferred clock sgmii_ecp5|rxrefclk which controls 77 sequential elements including rsl_inst.genblk2\.rlos_db_p1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1988:0:1988:5|Found inferred clock sgmii_ecp5|tx_pclk_inferred_clock which controls 53 sequential elements including sll_inst.rtc_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. - -Finished Pre Mapping Phase. - -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 144MB) - -Encoding state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)) -original code -> new code - 00 -> 00 - 01 -> 01 - 10 -> 10 - 11 -> 11 -@N: MO225 :"/home/adrian/git/trb5sc/template/project/test_gbepcs/sgmii_ecp5/sgmii_ecp5_softlogic.v":1801:0:1801:5|There are no possible illegal states for state machine sll_state[3:0] (in view: work.sgmii_ecp5sll_core_Z1_layer1(verilog)); safe FSM implementation is not required. - -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - -None -None - -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 58MB peak: 144MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri May 10 11:58:58 2019 - -###########################################################] diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr.db b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.srr.db deleted file mode 100644 index 150e92a9a07a17e70368b2afae055cf8c09b5c17..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI0&2HO95XU7uv7N+@a|w*12)a;_zyfMXq&_UmmnMdNvisqvDBLt4R?8u^70G3H z*RoyoVl_aEK0wh&>7kcCM6W&e9eV35DNAk>z=;hSEkZ)laLMI<{ATw*Lp}X;mun!N zkRjEiUbt5%77HH|QYaK=#$PnaQN-UH<8xz7`J!W{u=dvvOIWM8{9^$>`H>UI3FHKF z0y%-4Ku#bhkQ2xW* z$=TIa?vf#utWV_!B;e6tS{hfGUKsG`T;&*_=m*M!5YW?2e0waD}7MM8emHT!LG{0u+Az z{=0YX7(y?$-<{p-Htmh<2yL|6hLADM9dU>v!XpnPj)ky5umPFQ@LPTC#4H#%XEmI( z#!;WMK4Bu#QUr=L>qOynaEctcLONT8&9dOo<&mEd<&n}AshOirB8ClO$)%b)v0WkE zK2k}W?o-2us2CXcIF3p4&@_RaDJ>~V97%f*=?RG?46#>p6Ui%sS9runzq$n7>O%xF zDI{$xLUFR@IqI6~5S$q2P^O6siu)0XK_-8kMhq(Ch{_0iHolp^jlTKp#lx(9(`>DG z>K*gjzQ{B3Sb~SH8Pk~fVtxGrUq}_C^pQ?47}#G{WFVB|_MF)I?|b6ztz?%}v-U)? zJzjU0#3%%*H~7EZvGLklBkuS9hg)OxXaODad_?chKKaP9G8A^ZRqNR69n088V;@2> zG`e7fgyMcBESB5}g*%0KIpsDAqEBK-H9wVnqgXZNO!b}gfYC#R!34EKU=%Tuj=^jQ zL}-jUlVPbdeIGrVzcx_qF{t({LB)(i#-M7GKzIpIrMU#uLG~-E-bz8CZPZ>~Q9e+a z;c9AJ1=9OB6G+dpht#xFNN5|@%aB|?w5$v%*;k=dx^Z1gsnJL&p?%d-LP~aqkm^@~ z^l%m-9gLV+O7)hVUE(Vzf^D9fIxZ(D8TCLVrk}03dJ0UV}`EG@*Ziz57iDpTGc0`;c{c9dSc9Oi* z!CTHGF-`MnMXVA=41$pZQ`+Rchj=`IN_qTpP%h4`ENz;u?M8e5Ffw_md7#x;>tO`K Js0U|p=5GSx2)Y0O diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.szr b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/sgmii_ecp5_premap.szr deleted file mode 100644 index fcf97439cde672b6601cae30ba9506f16d6da4cb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3835 zcmVf|2M&RuR9Q=?b51IMa74Y~ut25wp6RV{Q5cWbsxa+3>gxdN5fMtfNo z`9*o$cPqBc)48Vg8HhpY}0$m*>ht#2FscVHw zL+2!5rc)bS#?fJrnGQpZD&&49q0UXvic}a?KIfszndJ_=0K;?X!gCHbXB_yv8-Y2S zdp6QWJDaKIc8=@}COhA2 zQ+gyE27oT!<-1O4XloUp{gwws^R*4afUUbIkLgZ|GDTcu5=2mvjW{Z=ItRA$#Ujqn zuojQ!x2TF)=8^fqn>M5h1$;E@a?Y4YHR+y1aFUNX^PN&qSx1tCFN`}zFEYnvw-7+9 z)8^{|Mtr;vA(M2T?ML0ygYHljb*9l;sb_A|1w-bXGyG`&z*zo>z3uG86@j;y1%zj1 z(f2*}eMa7aGM{+^XOJd%@@=?#iRV~)` zqhfVJ=a+}cqkg{XhC*+Btd8ADmK@WFbKpLZ<4zyy!iCleVS z_u0A1`2a(>b&U6{y1MPnM?H?49n+28XwHZ#)9YGJ)ViH3XzQ{Y5otxJY?hs6o)>hJV(A^ zo@>wGb<7f&$$b2%KAB1_#$Sm=WYZy=KbJAiVw^+cGUZ&ojmt}{s^pvGZw<%8*$}S$ z&qvq$VGkkjK_k?wzb%t*P8-xpar2W<3iZfg7L2RW7&{qH02T7tiZ5|y0@SZqg6bw< zx;BVqUsh#vw%t@%PW#KQGF%#s!2zKuoie}! zC$mg(S2O8SWKT{DZIRdjrrw~CIt82~SwKCY3x*AvbEG820gwmu%%HAmd95T@5tSCv zoKWuL2wIS@YGhzw46u=pCwzB?8~mQ64DG2d1pXj4OF|f)ka4*{7m|`Nt>>ShqOd1>aQrCmDWcJ#`bt&6Zh~!FGo>GjI z^O;hFQxTGDY3qRy`&W=m>5MqUc3&1R`irdGz0Q&{Sv%ukHz4OG3`Y(n**HM~`(_7( z!gEi+2uAnxHO~5`_w!^7 z&&dOG=olZ}9PFFOFu?Iy0$zPdPBf3*P9Pv+QYtuJuZTVnpWa=?cs8h{_q7?g+iMkv)NjwF} zrS^Bsxp=RfBfS3k92A9V5UwV_Zu+||KJ6L$HAoEU5a)v(0{JC9R8Dby=q6y|^>S~0 zm{6>Zen!zuZtULkP3(>~&vtMh=nwCeK{){ZxdIW-#<6;aedGJSVSWD;aP3nW?&F#b zIsh*lEDx7!N-HqAzuvn^l4u`N6Alz>zKr>l0oi2BMU4Hqh1x44b6sEbMcFNnS21Tg zHaApLiMb*>rLbaAeAx=40P6Daz9zEe|*8hslC`)U={)a{;~@x^`L> zgx8k_TPpjYxuR7EWVW4cY@X^%RF?E691?M(fI=Aw$2P|=|Eu~iSMw1-hn#p>)xt3K zUA1bn|5&z4ZuCsiLN!WdYRyOTJg>&+0|@M++xoIBA8ma_*U{ELQ2%44guXb=*~(=Z z>0Qvd{1LcQ9qx(l+s|^wye$a#&tlgZU;SsY57OiSubRWv)x~rRn-8wNUUOEegRh}*TrtF(#)1OsvyW^EyXezn@o(-B*6qL)D=Ef2PR=LpCUUq0_`(){h69tXL zlfWj$YhKMn7p#P``>sogr|*YyL7Is@IqtQjpW`VgT)_276JF3m^}J}I4g0jVpA+vL zEO9@|6cTIDvEJhtKrL`4$z%d*!?afA9sw^>Ywmy`{Kki?4td(4hg|drs ztC=>-<+R-1d@gtTTUdA*^M^gL zgW}Gw%B!;alzz3EUNp^gd-Hj_>~|=hFCq^h%0(rCrj#%m7Cs?1%RetRXVcXNoA`N4 zZuKrU7M=1$F~BPMBN&w|9;gc1NeD}vGC>T5prQHn^CaS56~el_!0hk2^+cUrlUGalsgW-T#UFn4&iA zp{8P=$`;0n%d@}-JLsUsPr%Wahto%nzKk3_p%Lq`-N{}3_?I;K%ew=U1RsXkiCOz++Cf-D0~on)V3kkB46yWi_B^Y_^O#@O`P|B36L;+OvJ z>%-p|PYG{-|AnQz18+w$d0X$z?FoLCk@VU9)f>IuTMb~**=Qf1nJ6(S{e(P)% z=r+{h&OX{x%Htu_qO%h@hM{!b-Cf(;_-8s>Ufmws&IvW?9?$2&r$6Xyr-;@4*?#>Q z1_@2RJveC2ab4l`viZ=S+nas1SYJ|(R0~^dT{h)ef7Uc-<>lFJQ{8Ae)DPI$`YxtE z!uxlV{+p%pk$Cw8W060Mdzh)aeSl$)OE0b*Z@0=0;JT}r6R)?$dtZg}ouXJ?n2sBV)8d@X6vp1$Y=S1%0FDxKYZWE`nk(hC9Vt6&7PL{6U{4g)YLviI zw+KWzi9Rf(rdol?SW6omV}F@U7a#h1c;DBHeZcsf0m}4(LF!RCDg%+#RHlsDkts+M zye=#t)f?Zg8$7?Prk||dsJ5Eb#dgzdSF7gc>{iy4YFc&ge`pEf*PJJiz0=`PQ9XGJ z|80ib{|5iiu{-?r2=l3!{I4j2tXVfNJ6Fu`ToK#YoHv^p@(9VOFjmm2S|RuE^tU&% zi2=nvJ?I7SxDVo9?7sg$5=X3K%}=s`2lHS{EWQVc&(&xaEc%~FmGRCJ|ALW7V0_aM zTInMfObR?`4!*@|eLih2aqDBf^X-VAa@h(cN4r%lHn!h1eR)P7H`QE62-#!rd}gwN@(I>HBnS#BHDl81!wk=>lVB=X8PwnkN>Ydx!)~U z-;nXJ8BJY-!L`#)jWfJ91%reqyG8~6PF%78b`t&wj{SX-xY&EwKdEsSZ`RSKc*o3- z94@|U`rlPIwR;pM!$u#9lUxnj@q`s$NNqR0e#1ETq?xia&0_CYbewGK$Nr-EO5wha zeI7h%HuctnzcE({D>~T)_)e6a2`Q8hy`N-nk79H@9fP%%@D1^q6SBH^y`dR>pY`8R z*4|u~@w(hxmwi?~%$H@nE@N+qV)ggc^wY)aa;rI`P(dlP?5nc5h4UGC6H@3 znv+;U=NP|G`y6-Z0NyL_8jpT{-}{jDQ$pFxl*Q$1`Y!~^1x4z@iHBEIpHjwOr!jhFxc diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap deleted file mode 100644 index fe7eb22..0000000 --- a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/synlog/syntax_constraint_check.rpt.rptmap +++ /dev/null @@ -1 +0,0 @@ -./sgmii_ecp5_scck.rpt,syntax_constraint_check.rpt,Syntax Constraint Check Report diff --git a/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/syntmp/closed.png b/gbe/cores/test_gbepcs/sgmii_ecp5/syn_results/syntmp/closed.png deleted file mode 100644 index 0d78634f322a904e7bd0c9466498c0a42777589f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3672 zcmeH}={wX77sr2=$PzQiz6{y-jN4KYF_wtIP-F`kBBt#7AfeD8`@T=sp)7-;LX(KG zMk;%mvP`%|gL&rp8=kk%xjyH6uJhu1o$H)eClzjGdX8O~9RPrH=4QsW^a`dY=UFDY zzNFTs(+e}w@P;7(v}Qz8ZL;Y-#M8|71^^^;13(%*Pw1w20|1DL008n+0MJ_p0HM(! zy`(SzVAu6EGJ?Z>0)qpCd;(Drb0Z@N>S3U_um4>DFe8@ah9MB2_>;#8VUZOd)fsIc zf9rV-Oh#q9!tUe?xyv(gK{Z zpEfu4{@LB7t~Lg4z5IixZW6|w1c0nMwY)qQ3kJ*wUP_`~F&Ug`6TAta8N}Vu3V@}9 zNw*s=>MUq@X`W(G>+tzJgd6bXv9Vx^T;JCdX0H}U~+(Mw$+Ww1bsj*JCH zwPXBAzHpwhx1fx7pS&S>BvtoL<~e<;a$8mY{0qH~lp)=^mv-|W+vk6GSW21>rnIDR zK=H-O;6(FfPc%`Wc)i|k#(?a$FuYXy9MG76_k)S5p)2)`{NnyZ!H1*!gy{9V8?C3ES;Qd#s z$CM6$uEqw6ed_P#zM-d<%4pb~-ul47As3uCpur=s&wXN@++Weshm_Xeezr?;(fw_C z=LuT1Swu-i=EnOvWHr=Lw0pTM`A~xOG(?=musEEnY=9T`D77|i!~)b_MEuU)<*Roo z?@w9bA@{&(^$?yvb@C7l_e?`ihbS7vZn4UIlXpCnUD)47;oTr?D!HiK6!}`HMYy&WIXK6&MpqK+*iyS6`Kx__7 z{ASEA(A;eX;&aUGh8O494$$}Dtipy+pEE>5Ih`|Oi55%3T?_$f zYT^$N8Uz-ud?F~tIe6x`X(cB7(X&R=Cm8l>247frrv93&#*A!%)$KKAeZ=nYn@eUm4Q`q zq?U_)DX%w547#U>8CCw>r+H5** zf@8(&_N78R)ugO&8pfV|FN|u>)J-8_~-dOd)h1RU_wD1BQlW2=9NphyM*@U#D zFDn^D7A32aWeO~3r7mYxy=UCzdI&vYJOUr3GsE7Ry|qYCX*H}gsWkF1Tfs}a+S*N; zKWz7E_DWh~8~&9AwGCHLQ4mn5osN7V{36@-f^EoiN?Vi{P{``U$&H$#2(R#RW&+z3Y`X{CL=VWcGb& zu2_wvrb?lJN|M9%%T^+_gxi&`27TF(!v``=7LRjBM^tixp0B48R2z6(bek!miG~4T8=UQ~?svFlT zo;M?Ef(?C53Tij8#nsNu(Iyd2NtGTgZ|xOs>Ne~?SG5SMtRpFr3Hy%rFneqJihG2g z=${FN9Zp!MKBuD3Mj;88jIOv6oC&5e4o0v6>kHOZu+>so*X)V{EdJ(8>mXN)+e*%U z4Gwj)&pV1c@x-0l{1c^uHTt^ZXd5?7k!TIrhpEhOgFqHS42 zzjYi5xbJ@dHPlC4@S?QPB_VI&4#5neiL~hS-SkW8r6PWUrb0U!+t5=Vk2_f&lRgg@ z5$~oKG#6hj?1w?GKyw|_=T(B*@I6N?d#ZZ^Bl~#|a<|+m+q|w^3zrFPpcuutQ=;JI&YyKc*Yx?2VdhvSt z2yttAGe7j-(5i!A>MbhMK^0d$mt`_bGBM+4hCfl3XfEecCtX)%HC}R~_-JfvY)@57 z^_TM)UaDEV8P?1cpb=0JFca|X%gh(vFSoxSuPE!yMi%cGNBs@o-WC0AyXz70Pt?cA z+Yv2$+y6=)F;fv#y@Q#(b!sT}P3*VWo)hs#lJlF}6J+jV!f_b&Dkq8S7B9sUBC8I; z$x_TQzHnE#o4SmS?4cRu7w89O5<{)HRPmNnsy>X{zq|Qs> zGhJ-vusCcj7M~NK^rx{nr6WZ(P29DHpcpliGsE6s=TMfBnRe%0aSDw1m?)kaGro`1 zQvb2iyK-;U+flWV(1`Rm4b_b*^du^*TE1Ht${VtlnUmSH%C`zOYcwlf?nX7>)w1-m ze`bBpKFQ{miM!5b?Q1bq^RBjZZJ3f3LGb?2tvi1P^T5G!_e(U=}Lr0vlhk%QUmO`_9tlL{f{NHr4cFtn-XK=q~`E*+_&1%%@ z+t{)TR}WX7dER<%`p&_^R~sueHO07gIq&hDCwI?>M6pFBMVTtaDD~89)`vO}TC5uT zTvnZ@92#!*5JF=mq83T9#FM6w>mfrSJ6tI4FE9RJcYH|qusSKa`4JRz&s(00ooRtZ zMSO)%iu6TS#DuSi26uJN*W-^;Rx&5~yI^w~F`9oj(s!Kuf8G1XzbUY){k#Md@e#2! zR)Ts$c~G``MNUx8DUNhp(NQw#I?WKW7Cag%8=4Uc3q4o5uRlL^f8pzC)x@)8s~4s9 zx++oK`<%;`ZwjoDLE~d37FV@{^p}srtu4n-IKTn{|FRr#Iq&1ckFuyW95GfgNVNqQ z{g9#WABG#!8cIGXw}kB9-tVM&{kEf`*A0jv4ZmEp8v}#6RVDPGYwE$LwZA@EboK_g z^bl4KmQWj%lFW_FiOZXp?ZWJ~`?~TX0+&zUv2L)!xNF26^lYQ2DKEFj?^oqk)e)VE zeJ}|rKlJPE-bt4zu(kNTbG@lVane-?jGEj0stleZi#?FKp+SNq%IFJ&{2mQ7{K8M?r^xf zI~+v^<&Hr?%EKXOlsh^cg+qe~&p|je1e1b#odP0ZK(F&5Bs%4grF_T|UCY&%udXL*$JVq7utpfAW!Cq~)vfZav1e=9J4)LuwIo$SQ2?#O z>5TN-e>7d4wWND_fn=Z>!aCPx#1ZP1_K>z@;j|==^1poj5AY({UO#lFTCIA3{ga82g0001h=l}q9FaQARU;qF* zm;eA5aGbhPJOBUzLPZp>)DD*xuJrLE&vMd$CAS~p-?-;7v0}#6W zK!*&q^nLraG8##gHcA0$>IMWyA3F6832+TiX>f2vout6F5+Ar6F)sEtHPYQAm=&QB zzRsNDFORoz&Rd@j_9k}3P_@Yj@S4LDdw+c%F*c^MW@FMmL^UFq*q*9=#Vw}!jD6ML zTLufTX7?w3b>Dtd?Gz(`Oz!9Ne%vt|je?%YYT1@aFN29GQVmgR!B8?Xp%fDaA%{f{ uQpjB7cbS-F*)`{!amLtrN{glG@TUKEuz}n1Y}Ahc0000fgk7{_gBi49DKibGXZ5rYDRA`()kX^XZJbfGFQEeNQDIH1UJCh1Un9LAGK zTvlpN@I|=paX-RJE6%%LV7~+x#AC;Kt3mf7qeeE;2bel@3Gh{o0-{s-aIHIHg_97U=G^C=Ofiq<90_zj>B@YHqU-B=^r$rYuPU+ z@Lv!uBLO6U1dsp{Kmter2_OL^fCP{L68H{*HOnIT8IpT!>rlIXtqDu@XmK@Nw5y%C zlgUm{=Zb|*_Tc^&6SCdWED5Y;EK;B(_e+``gpCg5FMTUL9bZ3Vks_pR+t4AJf;VbE zn8{M*hOs$qkp<9rJ=91nIp3Q5koAF5y#7B!&l&U|`a6A3>vS0!Sdah`Kmter2_OL^ zfCP{L5vj#G&^9F}NA&34PJ1#Y{|)s1r& z$t9tRyM7w$EIP{*Vy~P{WakF5i=}c>QrWiRAbQnK6d|gwI5F%RY*>KD+=y6pX|;U2 znu_(8{{`5Z0D}-Wlv{RImIgP|4~*CU7I|yXKj{Zr&Hk1BEt?~spaTmMKmter2_OL^ zfCP{L5k;X};7{lda%x#Sep8*_H|>@S-fF$?0<^)xWVvLV#pA4@ zM3+lef5(#4TP-fRibYs2NGr~xN5mqxV1k!p`pMAb369Vb=fUhnFgs&=@z)+k%sS3w zwTX6RD2u&mlG-HO&tdr=+is1`l-RCJ{PX>Q<{E4hT%~00sV%%#O>ylC2~R_BcVPG+ zJiNEbd{=wBJYw+JFxWf@yS%Xi+Xs2b-b}NT&Lfl4#CZL0(!UM(!GZ*k01`j~NB{{S w0VIF~kN^@u0!RP}oG1d*O - - - - - /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_compiler.srr - Synopsys HDL Compiler - - - Completed - - - - 15 - /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_compiler_notes.txt - - - 77 - /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_compiler_warnings.txt - - - 0 - /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_compiler_errors.txt - - - - - - - 00h:00m:02s - - - - - - - 1557476612 - - - \ No newline at end of file diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_compiler_warnings.txt b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_compiler_warnings.txt deleted file mode 100644 index 6622b46..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_compiler_warnings.txt +++ /dev/null @@ -1,78 +0,0 @@ -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1350:0:1350:5|Pruning unused register rcpri_mod_ch_st. Make sure that there are no unused intermediate registers. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 1 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 2 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 16 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 18 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 19 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 20 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 21 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|Pruning register bits 8 to 7 of genblk5.rdiff_comp_unlock[8:6]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|Pruning register bits 5 to 3 of genblk5.rdiff_comp_lock[5:2]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rdiff_comp_unlock[6]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rcount_tc[17]. Make sure that there are no unused intermediate registers. - diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_area_report.xml b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_area_report.xml deleted file mode 100644 index 8fa1b06..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_area_report.xml +++ /dev/null @@ -1,26 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_resourceusage.rpt -Resource Usage - - -220 - - -0 - - -0 - - -0 - - -150 - - diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_errors.txt b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_notes.txt b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_notes.txt deleted file mode 100644 index 2d9eda0..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_notes.txt +++ /dev/null @@ -1,23 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1350:0:1350:5|Found counter in view:work.serdes_sync_1sll_core_Z1_layer1(verilog) instance rhb_wait_cnt[7:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1304:0:1304:5|Found counter in view:work.serdes_sync_1sll_core_Z1_layer1(verilog) instance rcount[15:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1759:0:1759:5|Found counter in view:work.serdes_sync_1sll_core_Z1_layer1(verilog) instance pcount[21:0] -@N: BN362 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1801:0:1801:5|Removing sequential instance sll_state[0] (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:PrimLib.dffr(prim) because it does not drive other instances. -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":412:3:412:8|Found counter in view:work.serdes_sync_1rsl_core_Z2_layer1(verilog) instance genblk1\.plol_cnt[19:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":909:3:909:8|Found counter in view:work.serdes_sync_1rsl_core_Z2_layer1(verilog) instance genblk2\.genblk3\.rxr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":527:3:527:8|Found counter in view:work.serdes_sync_1rsl_core_Z2_layer1(verilog) instance genblk1\.genblk2\.txr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":778:3:778:8|Found counter in view:work.serdes_sync_1rsl_core_Z2_layer1(verilog) instance genblk2\.rlols0_cnt[17:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":680:3:680:8|Found counter in view:work.serdes_sync_1rsl_core_Z2_layer1(verilog) instance genblk2\.rlol1_cnt[18:0] -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.edn -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_opt_report.xml b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_opt_report.xml deleted file mode 100644 index 2137e39..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_opt_report.xml +++ /dev/null @@ -1,14 +0,0 @@ - - - - -3 / 0 - -/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_combined_clk.rpt -START OF CLOCK OPTIMIZATION REPORT - - - diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_runstatus.xml b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_runstatus.xml deleted file mode 100644 index dc0003e..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_fpga_mapper.srr -Synopsys Lattice Technology Mapper - - -Completed - - - -23 - -/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_notes.txt - - - -4 - -/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_errors.txt - - - -0h:00m:03s - - -0h:00m:03s - - -152MB - - -1557476618 - - - diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_timing_report.xml b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_timing_report.xml deleted file mode 100644 index ae318a5..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_timing_report.xml +++ /dev/null @@ -1,41 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_fpga_mapper.srr -START OF TIMING REPORT - - -Clock Name -Req Freq -Est Freq -Slack - - -serdes_sync_1|pll_refclki -100.0 MHz -168.9 MHz -4.079 - - -serdes_sync_1|rxrefclk -100.0 MHz -170.5 MHz -4.136 - - -serdes_sync_1|tx_pclk_inferred_clock -100.0 MHz -237.5 MHz -5.789 - - -System -100.0 MHz -840.7 MHz -8.810 - - diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_warnings.txt b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_warnings.txt deleted file mode 100644 index 08dea66..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_fpga_mapper_warnings.txt +++ /dev/null @@ -1,4 +0,0 @@ -@W: MT246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.vhd":160:4:160:12|Blackbox DCUA is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) -@W: MT420 |Found inferred clock serdes_sync_1|rxrefclk with period 10.00ns. Please declare a user-defined clock on object "p:rxrefclk" -@W: MT420 |Found inferred clock serdes_sync_1|pll_refclki with period 10.00ns. Please declare a user-defined clock on object "p:pll_refclki" -@W: MT420 |Found inferred clock serdes_sync_1|tx_pclk_inferred_clock with period 10.00ns. Please declare a user-defined clock on object "n:tx_pclk" diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_errors.txt b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_errors.txt deleted file mode 100644 index e69de29..0000000 diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_notes.txt b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_notes.txt deleted file mode 100644 index ff38fdb..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_notes.txt +++ /dev/null @@ -1,8 +0,0 @@ -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -@N: BN362 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1408:0:1408:5|Removing sequential instance pcpri_mod_ch (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:PrimLib.dffre(prim) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1244:27:1244:40|Removing instance div2_sync_inst (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_3(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1252:27:1252:41|Removing instance div11_sync_inst (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_2(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1236:27:1236:40|Removing instance gear_sync_inst (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_4(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1268:27:1268:44|Removing instance pcie_mod_sync_inst (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_0(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1260:27:1260:44|Removing instance cpri_mod_sync_inst (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_1(verilog) because it does not drive other instances. diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_runstatus.xml b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_runstatus.xml deleted file mode 100644 index e63e0c3..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_runstatus.xml +++ /dev/null @@ -1,46 +0,0 @@ - - - - -/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_premap.srr -Synopsys Lattice Technology Pre-mapping - - -Completed - - - -8 - -/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_notes.txt - - - -3 - -/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_warnings.txt - - - -0 - -/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_errors.txt - - - -0h:00m:00s - - -0h:00m:00s - - -145MB - - -1557476614 - - - diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_warnings.txt b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_warnings.txt deleted file mode 100644 index b332633..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/report/serdes_sync_1_premap_warnings.txt +++ /dev/null @@ -1,3 +0,0 @@ -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Found inferred clock serdes_sync_1|pll_refclki which controls 92 sequential elements including sll_inst.phb_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":567:3:567:8|Found inferred clock serdes_sync_1|rxrefclk which controls 77 sequential elements including rsl_inst.genblk2\.rlos_db_p1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Found inferred clock serdes_sync_1|tx_pclk_inferred_clock which controls 53 sequential elements including sll_inst.rtc_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_compiler.srr b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_compiler.srr deleted file mode 100644 index 471f88d..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_compiler.srr +++ /dev/null @@ -1,357 +0,0 @@ -Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.vhd":30:7:30:19|Top entity is set to serdes_sync_1. -VHDL syntax check successful! -File /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.vhd changed - recompiling - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - - -Process completed successfully. -# Fri May 10 10:23:30 2019 - -###########################################################] -Synopsys Verilog Compiler, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. - -Running on host :lxhadeb07 -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps) -@I::"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v" (library work) -Verilog syntax check successful! - -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) - - -Process completed successfully. -# Fri May 10 10:23:31 2019 - -###########################################################] -Running on host :lxhadeb07 -@N: CD720 :"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ps -@N:"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.vhd":30:7:30:19|Top entity is set to serdes_sync_1. -File /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.vhd changed - recompiling -File /home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd changed - recompiling -VHDL syntax check successful! -File /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.vhd changed - recompiling -@N: CD630 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.vhd":30:7:30:19|Synthesizing work.serdes_sync_1.v1. -Post processing for work.serdes_sync_1.v1 - -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 74MB peak: 76MB) - - -Process completed successfully. -# Fri May 10 10:23:31 2019 - -###########################################################] -Running on host :lxhadeb07 -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps) -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps) -@I::"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v" (library work) -Verilog syntax check successful! -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1968:7:1968:10|Synthesizing module sync in library work. - - PDATA_RST_VAL=32'b00000000000000000000000000000000 - Generated name = sync_0s -@N: CG364 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1051:7:1051:27|Synthesizing module serdes_sync_1sll_core in library work. - - PPROTOCOL=48'b010001110011100001000010001100010011000001000010 - PLOL_SETTING=32'b00000000000000000000000000000001 - PDYN_RATE_CTRL=64'b0100010001001001010100110100000101000010010011000100010101000100 - PPCIE_MAX_RATE=24'b001100100010111000110101 - PDIFF_VAL_LOCK=32'b00000000000000000000000000100111 - PDIFF_VAL_UNLOCK=32'b00000000000000000000000100000110 - PPCLK_TC=32'b00000000000000100000000000000000 - PDIFF_DIV11_VAL_LOCK=32'b00000000000000000000000000000000 - PDIFF_DIV11_VAL_UNLOCK=32'b00000000000000000000000000000000 - PPCLK_DIV11_TC=32'b00000000000000000000000000000000 - LPLL_LOSS_ST=2'b00 - LPLL_PRELOSS_ST=2'b01 - LPLL_PRELOCK_ST=2'b10 - LPLL_LOCK_ST=2'b11 - LRCLK_TC=16'b1111111111111111 - LRCLK_TC_PUL_WIDTH=16'b0000000000110010 - LHB_WAIT_CNT=8'b11111111 - LPCLK_TC_0=32'b00000000000000001000000000000000 - LPCLK_TC_1=32'b00000000000000010000000000000000 - LPCLK_TC_2=32'b00000000000000100000000000000000 - LPCLK_TC_3=32'b00000000000000101000000000000000 - LPCLK_TC_4=32'b00000000000000010000000000000000 - LPDIFF_LOCK_00=32'b00000000000000000000000000001001 - LPDIFF_LOCK_10=32'b00000000000000000000000000010011 - LPDIFF_LOCK_20=32'b00000000000000000000000000100111 - LPDIFF_LOCK_30=32'b00000000000000000000000000110001 - LPDIFF_LOCK_40=32'b00000000000000000000000000010011 - LPDIFF_LOCK_01=32'b00000000000000000000000000001001 - LPDIFF_LOCK_11=32'b00000000000000000000000000010011 - LPDIFF_LOCK_21=32'b00000000000000000000000000100111 - LPDIFF_LOCK_31=32'b00000000000000000000000000110001 - LPDIFF_LOCK_41=32'b00000000000000000000000000010011 - LPDIFF_LOCK_02=32'b00000000000000000000000000110001 - LPDIFF_LOCK_12=32'b00000000000000000000000001100010 - LPDIFF_LOCK_22=32'b00000000000000000000000011000100 - LPDIFF_LOCK_32=32'b00000000000000000000000011110101 - LPDIFF_LOCK_42=32'b00000000000000000000000001100010 - LPDIFF_LOCK_03=32'b00000000000000000000000010000011 - LPDIFF_LOCK_13=32'b00000000000000000000000100000110 - LPDIFF_LOCK_23=32'b00000000000000000000001000001100 - LPDIFF_LOCK_33=32'b00000000000000000000001010001111 - LPDIFF_LOCK_43=32'b00000000000000000000000100000110 - LPDIFF_UNLOCK_00=32'b00000000000000000000000000010011 - LPDIFF_UNLOCK_10=32'b00000000000000000000000000100111 - LPDIFF_UNLOCK_20=32'b00000000000000000000000001001110 - LPDIFF_UNLOCK_30=32'b00000000000000000000000001100010 - LPDIFF_UNLOCK_40=32'b00000000000000000000000000100111 - LPDIFF_UNLOCK_01=32'b00000000000000000000000001000001 - LPDIFF_UNLOCK_11=32'b00000000000000000000000010000011 - LPDIFF_UNLOCK_21=32'b00000000000000000000000100000110 - LPDIFF_UNLOCK_31=32'b00000000000000000000000101000111 - LPDIFF_UNLOCK_41=32'b00000000000000000000000010000011 - LPDIFF_UNLOCK_02=32'b00000000000000000000000001001000 - LPDIFF_UNLOCK_12=32'b00000000000000000000000010010000 - LPDIFF_UNLOCK_22=32'b00000000000000000000000100100000 - LPDIFF_UNLOCK_32=32'b00000000000000000000000101101000 - LPDIFF_UNLOCK_42=32'b00000000000000000000000010010000 - LPDIFF_UNLOCK_03=32'b00000000000000000000000011000100 - LPDIFF_UNLOCK_13=32'b00000000000000000000000110001001 - LPDIFF_UNLOCK_23=32'b00000000000000000000001100010010 - LPDIFF_UNLOCK_33=32'b00000000000000000000001111010111 - LPDIFF_UNLOCK_43=32'b00000000000000000000000110001001 - Generated name = serdes_sync_1sll_core_Z1_layer1 -@N: CG179 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1287:54:1287:59|Removing redundant assignment. -@N: CG179 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1293:52:1293:55|Removing redundant assignment. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1350:0:1350:5|Pruning unused register rcpri_mod_ch_st. Make sure that there are no unused intermediate registers. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_unlock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rdiff_comp_lock[15:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 0 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 1 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 2 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 3 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 4 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 5 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 6 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 7 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 8 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 9 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 10 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 11 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 12 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 13 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 14 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 15 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 16 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 18 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 19 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 20 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -@W: CL208 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|All reachable assignments to bit 21 of genblk5.rcount_tc[21:0] assign 0, register removed by optimization. -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -Could not match passed parameter, trying a case insensitive search ... -@N: CG364 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":92:7:92:27|Synthesizing module serdes_sync_1rsl_core in library work. - - pnum_channels=32'b00000000000000000000000000000001 - pprotocol=48'b010001110011100001000010001100010011000001000010 - pserdes_mode=72'b010100100101100000100000010000010100111001000100001000000101010001011000 - pport_tx_rdy=56'b01000101010011100100000101000010010011000100010101000100 - pwait_tx_rdy=32'b00000000000000000000101110111000 - pport_rx_rdy=56'b01000101010011100100000101000010010011000100010101000100 - pwait_rx_rdy=32'b00000000000000000000101110111000 - wa_num_cycles=32'b00000000000000000000010000000000 - dac_num_cycles=32'b00000000000000000000000000000011 - lreset_pwidth=32'b00000000000000000000000000000011 - lwait_b4_trst=32'b00000000000010111110101111000010 - lwait_b4_trst_s=32'b00000000000000000000001100001101 - lplol_cnt_width=32'b00000000000000000000000000010100 - lwait_after_plol0=32'b00000000000000000000000000000100 - lwait_b4_rrst=32'b00000000000000101100000000000000 - lrrst_wait_width=32'b00000000000000000000000000010100 - lwait_after_rrst=32'b00000000000011000011010100000000 - lwait_b4_rrst_s=32'b00000000000000000000000111001100 - lrlol_cnt_width=32'b00000000000000000000000000010011 - lwait_after_lols=32'b00000000000000001100010000000000 - lwait_after_lols_s=32'b00000000000000000000000010010110 - llols_cnt_width=32'b00000000000000000000000000010010 - lrdb_max=32'b00000000000000000000000000001111 - ltxr_wait_width=32'b00000000000000000000000000001100 - lrxr_wait_width=32'b00000000000000000000000000001100 - Generated name = serdes_sync_1rsl_core_Z2_layer1 -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":326:33:326:40|Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":327:33:327:43|Removing wire rrst_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":328:33:328:41|Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":341:33:341:39|Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":342:33:342:39|Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":343:33:343:42|Removing wire rxp_cnt_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":346:33:346:42|Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":347:33:347:45|Removing wire rlolsz_cnt_tc, as there is no assignment to it. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":350:33:350:43|Removing wire rxp_cnt2_tc, as there is no assignment to it. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":351:33:351:47|Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration. -@W: CG133 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":352:33:352:43|Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration. -@W: CG360 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":353:33:353:46|Removing wire data_loop_b_tc, as there is no assignment to it. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":806:3:806:8|Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":567:3:567:8|Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":694:3:694:8|Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":461:3:461:8|Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL190 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":422:3:422:8|Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":422:3:422:8|Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":461:3:461:8|Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL260 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":694:3:694:8|Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":200:33:200:48|Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":204:33:204:51|Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":205:33:205:48|Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":206:33:206:48|Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":207:33:207:48|Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|Pruning register bits 8 to 7 of genblk5.rdiff_comp_unlock[8:6]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL279 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|Pruning register bits 5 to 3 of genblk5.rdiff_comp_lock[5:2]. If this is not the intended behavior, drive the inputs with valid values, or inputs from the top level. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rdiff_comp_unlock[6]. Make sure that there are no unused intermediate registers. -@W: CL169 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1739:0:1739:5|Pruning unused register genblk5.rcount_tc[17]. Make sure that there are no unused intermediate registers. -@N: CL201 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1801:0:1801:5|Trying to extract state machine for register sll_state. -Extracted state machine for register sll_state -State machine has 3 reachable states with original encodings of: - 00 - 01 - 11 - -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 75MB peak: 81MB) - - -Process completed successfully. -# Fri May 10 10:23:32 2019 - -###########################################################] -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug 4 2017 -@N|Running in 64-bit mode -File /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer0.srs changed - recompiling -File /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/layer1.srs changed - recompiling - -======================================================================================= -For a summary of linker messages for components that did not bind, please see log file: -@L: /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_comp.linkerlog -======================================================================================= - - -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 69MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 10:23:32 2019 - -###########################################################] -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 3MB peak: 4MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Fri May 10 10:23:32 2019 - -###########################################################] diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_compiler.srr.db b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_compiler.srr.db deleted file mode 100644 index b41afd23ba561957247397e61c5ae2f6545ea0dd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 32768 zcmeHQOLN=S6$U9$)SI@O)JapPH>%qtu0rBLP$Uw!k!4v?E!Pjp?MyWp3`9Z_DiA;b zP^4VSF4@WSJ(+aTPP6T%UGx|94|LO2yYDiaZqi3IZKg9l_ku4trfiu2D73(cxFiLV z_`dtV`OdxPVEy)*V#{b-H_MWZIO+@)i&1YPL{U@%9^>$6Z!vf>+I|5ge*L&DLA~~` zAI`v0vDA+#_=~oPfrx>Kfrx>Kfrx>Kfrx>Kfrx>Kfrx>Kf&X&`>{#;rg$uF9>$bF| z%4ONIq#fC6m+_@L%ZnS!Xk+op+A?at`-)OR`;uAQmCSjhD%xK6RqK%M8+(e@bFcxQ zR5bflEb9-SsZUZLr#?#kHTB`-`xEbt|19~Fu^%OVF#7Ju_v7D-9a8tat)M1# zZv1@Xu<_0d@ufAE&d+6b^|G9iN~R)dnH|N>*ya{*6*IP6HdM)$Glr>uM=rwqvSo|b zwvn-9vm{%hb)XeR+VzTYzY;Bd+g9}*rI_BI6PN|AAka?1*B4b4nX&{N0_{k$EM-S4 z%bIN=TSr@pjTjc`+h|ADw$wd7ZI+bnZLz4A4Y8uBdU0=);RX8b)&~(i4_~&UShj4! zld`@qm(bP$(hXZFEB7Q@(Y16{GBripu|8;0XG1~(;~xcQLP7!U7X^(6DMEpM+B-tQ zKL%#Q!N7ul49tXsft-H~OoxL3-aiJW!ofh+KL#el!2stU0~6t3z`v{EJL80bb$m5s za)kiRLXM}gS%?e1e#@+2BMnuwiUl@Y*QhHRrXrU0l33goEjx{FNP9A}DyEF=U6?|% zcV&1g!9&yA9|l8PHp_BJ0V}riO;*Fq+g|So>R0T8}MFS7XgFEeF$MRj5-MGUr}r6$ zTcaL_b9{LPpW_1CK1Zw=Gax%{r6^~h=azM?l;P40E!J|`3`kC6OS0q)R8Kvp+5gP^d-`bVX!2;{X#6O7 zG8 z(@X;s@M1^^=(qA_U;-|Lgn)j2w}A=xd`JlB=XD#DfWsFE0eh$YD$sAu&A|9S7Y_XS zJ~QnD<9|LJ`1`Fm8W{g`;lST-z0tt<&xZrQUpCO;XTyQtCljbiJxlPP{>8`rRDcJI zkDmz%1^xPf2NZlJBoy@P1_M)o_5T^_+f-_7c6#RA^m9`$PF|e2G)^aTV{arDN3V@s zkKc;jg#%8!HEIkYS32z`)fjan&z|1G)D`Ty-uS z$UV2=u>L1U>%pWK*`$A6Xl?bz=Ve@w(j&yFm`tFZa)2&NP5Zk}Zj-z0J1~4} zif>&efMY|bKBzlfeH;e-$fkJ0y4!n$Kv@|=-8rLY7K%cr?w~uFmVaZ&-s?j{>x`UP zC|qd$95KrRL3=&;x@GgEnL?3eI&}*bD^?{)kK@}FqCoHt;R?Yzqbd}izCvKwCm&PQ zJi)R$gt~LaR2Ifm+EsUcHq|Q&0Jl1Xpz8t)(Z8^Jl7MT|IzHgKmLqj`>Zh+*@6}L^ z4+(V7xb^YvBD04;Cmrj2v`S%|~x zj*O^~=ub3jVzFd`2S63AO^z%F0Ga5dgh``Ck}D9Ayn^@+3P^%fg59rzHz28?wu2MH z;Itl{AA&3(a#ub!ledP(1ThX+8jOP{U_#X`V4~_L6E}y(1dmNzmgXPBM1`~oqiA7a zBNlyY6O-S-Of(Zi=p9*yiLAgg$Mp_}iB?7xf5}MR7+SL+z9N7oIq)iq^%rsivh5-;%BJrb< z1X(!qtgMx=BURp&_7xqn*FxIY{oawX3Jz85MI{+-!Ix^yV{fXYwFc5{Q!kTo)z%H9 z%KNg~GooI+J|vp5Iq(Pwc>j2sn6&M<8;3{J*M~(D3vMFtd9hDO({NkU>?)z@Ebeqn zAwX9(Ca6u*O(%h{lbfxTUl{j0CoM5|4Vkq^Em5o)F^8-(-Uz zWMxQ%^bX6%6Vfv%`%g$izlI5E1fRo^&w+6-;C*)hemH}p(`A4VY|t8`{Sux=Tf{)bK*T`AK*T`AK*T`AK*T_p7-%eh6EbQa zei!n2!+oWg;AWPXJPjeEko_A9zP@1|kj&pYl54gp!D>=)q(J`vGTdrP(a0^gJNE)W zIQu*KcRSsC^)68v!K*9x&9VC31)*~{tWJ;xvjDDMFZ9*@4T7BH=L%F;o)Gz`?gN(d zhJYrwjtOYu1;J}3-Q>!=#IJQ5 new code - 00 -> 00 - 01 -> 01 - 11 -> 10 -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1350:0:1350:5|Found counter in view:work.serdes_sync_1sll_core_Z1_layer1(verilog) instance rhb_wait_cnt[7:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1304:0:1304:5|Found counter in view:work.serdes_sync_1sll_core_Z1_layer1(verilog) instance rcount[15:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1759:0:1759:5|Found counter in view:work.serdes_sync_1sll_core_Z1_layer1(verilog) instance pcount[21:0] -@N: BN362 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1801:0:1801:5|Removing sequential instance sll_state[0] (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:PrimLib.dffr(prim) because it does not drive other instances. -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":412:3:412:8|Found counter in view:work.serdes_sync_1rsl_core_Z2_layer1(verilog) instance genblk1\.plol_cnt[19:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":909:3:909:8|Found counter in view:work.serdes_sync_1rsl_core_Z2_layer1(verilog) instance genblk2\.genblk3\.rxr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":527:3:527:8|Found counter in view:work.serdes_sync_1rsl_core_Z2_layer1(verilog) instance genblk1\.genblk2\.txr_wt_cnt[11:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":778:3:778:8|Found counter in view:work.serdes_sync_1rsl_core_Z2_layer1(verilog) instance genblk2\.rlols0_cnt[17:0] -@N: MO231 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":680:3:680:8|Found counter in view:work.serdes_sync_1rsl_core_Z2_layer1(verilog) instance genblk2\.rlol1_cnt[18:0] - -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 144MB) - - -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 144MB) - - -Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - - -Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 144MB) - - -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) - - -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 146MB) - - -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 146MB) - - -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 146MB) - - -Finished preparing to map (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:01s; Memory used current: 145MB peak: 146MB) - -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). - -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 148MB) - -Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------- - 1 0h:00m:01s 5.35ns 151 / 220 -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.phb_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.rtc_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). -@N: FX1019 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Adding ASYNC_REG property on synchronizing instance sll_inst.pdiff_sync_inst.data_p1 (in view: work.serdes_sync_1(v1)). - -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 148MB) - -@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. - -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 148MB) - - - -@S |Clock Optimization Summary - - -#### START OF CLOCK OPTIMIZATION REPORT #####[ - -3 non-gated/non-generated clock tree(s) driving 220 clock pin(s) of sequential element(s) -0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) -0 instances converted, 0 sequential instances remain driven by gated/generated clocks - -============================================= Non-Gated/Non-Generated Clocks ============================================= -Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------------------------------------------- -@K:CKID0001 pll_refclki port 90 rsl_inst.genblk1\.genblk2\.mfor\[0\]\.txpr_appd[0] -@K:CKID0002 rxrefclk port 77 rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] -@K:CKID0003 DCU0_inst DCUA 53 sll_inst.pcount_diff[21] -========================================================================================================================== - - -##### END OF CLOCK OPTIMIZATION REPORT ######] - - -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 111MB peak: 148MB) - -Writing Analyst data base /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synwork/serdes_sync_1_m.srm - -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 148MB) - -Writing EDIF Netlist and constraint files -@N: FX1056 |Writing EDF file: /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1.edn -M-2017.03L-SP1-1 -@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF - -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 150MB peak: 152MB) - -Writing Verilog Simulation files - -Finished Writing Verilog Simulation files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 150MB peak: 152MB) - -Writing VHDL Simulation files - -Finished Writing VHDL Simulation files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 150MB peak: 152MB) - - -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 150MB peak: 152MB) - -@W: MT246 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.vhd":160:4:160:12|Blackbox DCUA is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) -@W: MT420 |Found inferred clock serdes_sync_1|rxrefclk with period 10.00ns. Please declare a user-defined clock on object "p:rxrefclk" -@W: MT420 |Found inferred clock serdes_sync_1|pll_refclki with period 10.00ns. Please declare a user-defined clock on object "p:pll_refclki" -@W: MT420 |Found inferred clock serdes_sync_1|tx_pclk_inferred_clock with period 10.00ns. Please declare a user-defined clock on object "n:tx_pclk" - - -##### START OF TIMING REPORT #####[ -# Timing Report written on Fri May 10 10:23:38 2019 -# - - -Top view: serdes_sync_1 -Requested Frequency: 100.0 MHz -Wire load mode: top -Paths requested: 5 -Constraint File(s): /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.fdc - -@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. - -@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock. - - - -Performance Summary -******************* - - -Worst slack in design: 4.079 - -@N: MT286 |System clock period 0.000 stretches to negative invalid value -- ignoring stretching. - Requested Estimated Requested Estimated Clock Clock -Starting Clock Frequency Frequency Period Period Slack Type Group -------------------------------------------------------------------------------------------------------------------------------------------- -serdes_sync_1|pll_refclki 100.0 MHz 168.9 MHz 10.000 5.921 4.079 inferred Inferred_clkgroup_0 -serdes_sync_1|rxrefclk 100.0 MHz 170.5 MHz 10.000 5.864 4.136 inferred Inferred_clkgroup_1 -serdes_sync_1|tx_pclk_inferred_clock 100.0 MHz 237.5 MHz 10.000 4.211 5.789 inferred Inferred_clkgroup_2 -System 100.0 MHz 840.7 MHz 10.000 1.190 8.810 system system_clkgroup -=========================================================================================================================================== - - - - - -Clock Relationships -******************* - -Clocks | rise to rise | fall to fall | rise to fall | fall to rise -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -System System | 10.000 10.000 | No paths - | No paths - | No paths - -System serdes_sync_1|rxrefclk | 10.000 8.811 | No paths - | No paths - | No paths - -serdes_sync_1|pll_refclki System | 10.000 8.307 | No paths - | No paths - | No paths - -serdes_sync_1|pll_refclki serdes_sync_1|pll_refclki | 10.000 4.079 | No paths - | No paths - | No paths - -serdes_sync_1|pll_refclki serdes_sync_1|tx_pclk_inferred_clock | Diff grp - | No paths - | No paths - | No paths - -serdes_sync_1|rxrefclk System | 10.000 8.193 | No paths - | No paths - | No paths - -serdes_sync_1|rxrefclk serdes_sync_1|rxrefclk | 10.000 4.136 | No paths - | No paths - | No paths - -serdes_sync_1|tx_pclk_inferred_clock serdes_sync_1|pll_refclki | Diff grp - | No paths - | No paths - | No paths - -serdes_sync_1|tx_pclk_inferred_clock serdes_sync_1|tx_pclk_inferred_clock | 10.000 5.789 | No paths - | No paths - | No paths - -=================================================================================================================================================================== - Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. - 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. - - - -Interface Information -********************* - -No IO constraint found - - - -==================================== -Detailed Report for Clock: serdes_sync_1|pll_refclki -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------ -rsl_inst.genblk1\.plol_cnt[1] serdes_sync_1|pll_refclki FD1S3DX Q plol_cnt[1] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[6] serdes_sync_1|pll_refclki FD1S3DX Q plol_cnt[6] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[7] serdes_sync_1|pll_refclki FD1S3DX Q plol_cnt[7] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[12] serdes_sync_1|pll_refclki FD1S3DX Q plol_cnt[12] 0.907 4.079 -rsl_inst.genblk1\.plol_cnt[2] serdes_sync_1|pll_refclki FD1S3DX Q plol_cnt[2] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[3] serdes_sync_1|pll_refclki FD1S3DX Q plol_cnt[3] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[4] serdes_sync_1|pll_refclki FD1S3DX Q plol_cnt[4] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[5] serdes_sync_1|pll_refclki FD1S3DX Q plol_cnt[5] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[8] serdes_sync_1|pll_refclki FD1S3DX Q plol_cnt[8] 0.907 4.684 -rsl_inst.genblk1\.plol_cnt[9] serdes_sync_1|pll_refclki FD1S3DX Q plol_cnt[9] 0.907 4.684 -======================================================================================================================= - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock --------------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk1\.plol_cnt[19] serdes_sync_1|pll_refclki FD1S3DX D plol_cnt_s[19] 9.946 4.079 -rsl_inst.genblk1\.plol_cnt[17] serdes_sync_1|pll_refclki FD1S3DX D plol_cnt_s[17] 9.946 4.139 -rsl_inst.genblk1\.plol_cnt[18] serdes_sync_1|pll_refclki FD1S3DX D plol_cnt_s[18] 9.946 4.139 -rsl_inst.genblk1\.plol_cnt[15] serdes_sync_1|pll_refclki FD1S3DX D plol_cnt_s[15] 9.946 4.200 -rsl_inst.genblk1\.plol_cnt[16] serdes_sync_1|pll_refclki FD1S3DX D plol_cnt_s[16] 9.946 4.200 -rsl_inst.genblk1\.plol_cnt[13] serdes_sync_1|pll_refclki FD1S3DX D plol_cnt_s[13] 9.946 4.261 -rsl_inst.genblk1\.plol_cnt[14] serdes_sync_1|pll_refclki FD1S3DX D plol_cnt_s[14] 9.946 4.261 -rsl_inst.genblk1\.plol_cnt[11] serdes_sync_1|pll_refclki FD1S3DX D plol_cnt_s[11] 9.946 4.322 -rsl_inst.genblk1\.plol_cnt[12] serdes_sync_1|pll_refclki FD1S3DX D plol_cnt_s[12] 9.946 4.322 -rsl_inst.genblk1\.plol_cnt[9] serdes_sync_1|pll_refclki FD1S3DX D plol_cnt_s[9] 9.946 4.383 -========================================================================================================================== - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 5.867 - - Clock delay at starting point: 0.000 (ideal) - = Slack (critical) : 4.079 - - Number of logic level(s): 15 - Starting point: rsl_inst.genblk1\.plol_cnt[1] / Q - Ending point: rsl_inst.genblk1\.plol_cnt[19] / D - The start point is clocked by serdes_sync_1|pll_refclki [rising] on pin CK - The end point is clocked by serdes_sync_1|pll_refclki [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) -------------------------------------------------------------------------------------------------------- -rsl_inst.genblk1\.plol_cnt[1] FD1S3DX Q Out 0.907 0.907 - -plol_cnt[1] Net - - - - 2 -rsl_inst.genblk1\.un1_plol_cnt_tc_10 ORCALUT4 A In 0.000 0.907 - -rsl_inst.genblk1\.un1_plol_cnt_tc_10 ORCALUT4 Z Out 0.606 1.513 - -un1_plol_cnt_tc_10 Net - - - - 1 -rsl_inst.genblk1\.un1_plol_cnt_tc_14 ORCALUT4 D In 0.000 1.513 - -rsl_inst.genblk1\.un1_plol_cnt_tc_14 ORCALUT4 Z Out 0.606 2.119 - -un1_plol_cnt_tc_14 Net - - - - 1 -rsl_inst.genblk1\.un1_plol_cnt_tc ORCALUT4 D In 0.000 2.119 - -rsl_inst.genblk1\.un1_plol_cnt_tc ORCALUT4 Z Out 0.762 2.881 - -un1_plol_cnt_tc Net - - - - 5 -rsl_inst.genblk1\.plol_cnt11_i ORCALUT4 B In 0.000 2.881 - -rsl_inst.genblk1\.plol_cnt11_i ORCALUT4 Z Out 0.840 3.721 - -plol_cnt Net - - - - 21 -rsl_inst.genblk1\.plol_cnt_cry_0[0] CCU2C A1 In 0.000 3.721 - -rsl_inst.genblk1\.plol_cnt_cry_0[0] CCU2C COUT Out 0.900 4.621 - -plol_cnt_cry[0] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[1] CCU2C CIN In 0.000 4.621 - -rsl_inst.genblk1\.plol_cnt_cry_0[1] CCU2C COUT Out 0.061 4.682 - -plol_cnt_cry[2] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[3] CCU2C CIN In 0.000 4.682 - -rsl_inst.genblk1\.plol_cnt_cry_0[3] CCU2C COUT Out 0.061 4.743 - -plol_cnt_cry[4] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[5] CCU2C CIN In 0.000 4.743 - -rsl_inst.genblk1\.plol_cnt_cry_0[5] CCU2C COUT Out 0.061 4.804 - -plol_cnt_cry[6] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[7] CCU2C CIN In 0.000 4.804 - -rsl_inst.genblk1\.plol_cnt_cry_0[7] CCU2C COUT Out 0.061 4.865 - -plol_cnt_cry[8] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[9] CCU2C CIN In 0.000 4.865 - -rsl_inst.genblk1\.plol_cnt_cry_0[9] CCU2C COUT Out 0.061 4.926 - -plol_cnt_cry[10] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[11] CCU2C CIN In 0.000 4.926 - -rsl_inst.genblk1\.plol_cnt_cry_0[11] CCU2C COUT Out 0.061 4.987 - -plol_cnt_cry[12] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[13] CCU2C CIN In 0.000 4.987 - -rsl_inst.genblk1\.plol_cnt_cry_0[13] CCU2C COUT Out 0.061 5.048 - -plol_cnt_cry[14] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[15] CCU2C CIN In 0.000 5.048 - -rsl_inst.genblk1\.plol_cnt_cry_0[15] CCU2C COUT Out 0.061 5.109 - -plol_cnt_cry[16] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_cry_0[17] CCU2C CIN In 0.000 5.109 - -rsl_inst.genblk1\.plol_cnt_cry_0[17] CCU2C COUT Out 0.061 5.170 - -plol_cnt_cry[18] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt_s_0[19] CCU2C CIN In 0.000 5.170 - -rsl_inst.genblk1\.plol_cnt_s_0[19] CCU2C S0 Out 0.698 5.867 - -plol_cnt_s[19] Net - - - - 1 -rsl_inst.genblk1\.plol_cnt[19] FD1S3DX D In 0.000 5.867 - -======================================================================================================= - - - - -==================================== -Detailed Report for Clock: serdes_sync_1|rxrefclk -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rlol1_cnt[14] serdes_sync_1|rxrefclk FD1P3DX Q rlol1_cnt[14] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[15] serdes_sync_1|rxrefclk FD1P3DX Q rlol1_cnt[15] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[16] serdes_sync_1|rxrefclk FD1P3DX Q rlol1_cnt[16] 0.907 4.136 -rsl_inst.genblk2\.rlol1_cnt[17] serdes_sync_1|rxrefclk FD1P3DX Q rlol1_cnt[17] 0.907 4.136 -rsl_inst.genblk2\.rlols0_cnt[9] serdes_sync_1|rxrefclk FD1P3DX Q rlols0_cnt[9] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[11] serdes_sync_1|rxrefclk FD1P3DX Q rlols0_cnt[11] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[12] serdes_sync_1|rxrefclk FD1P3DX Q rlols0_cnt[12] 0.907 4.170 -rsl_inst.genblk2\.rlols0_cnt[13] serdes_sync_1|rxrefclk FD1P3DX Q rlols0_cnt[13] 0.907 4.170 -rsl_inst.genblk2\.rlol1_cnt[0] serdes_sync_1|rxrefclk FD1P3DX Q rlol1_cnt[0] 0.907 4.742 -rsl_inst.genblk2\.rlol1_cnt[1] serdes_sync_1|rxrefclk FD1P3DX Q rlol1_cnt[1] 0.907 4.742 -======================================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rlol1_cnt[17] serdes_sync_1|rxrefclk FD1P3DX D rlol1_cnt_s[17] 9.946 4.136 -rsl_inst.genblk2\.rlol1_cnt[18] serdes_sync_1|rxrefclk FD1P3DX D rlol1_cnt_s[18] 9.946 4.136 -rsl_inst.genblk2\.rlols0_cnt[17] serdes_sync_1|rxrefclk FD1P3DX D rlols0_cnt_s[17] 9.946 4.170 -rsl_inst.genblk2\.rlol1_cnt[15] serdes_sync_1|rxrefclk FD1P3DX D rlol1_cnt_s[15] 9.946 4.197 -rsl_inst.genblk2\.rlol1_cnt[16] serdes_sync_1|rxrefclk FD1P3DX D rlol1_cnt_s[16] 9.946 4.197 -rsl_inst.genblk2\.rlols0_cnt[15] serdes_sync_1|rxrefclk FD1P3DX D rlols0_cnt_s[15] 9.946 4.231 -rsl_inst.genblk2\.rlols0_cnt[16] serdes_sync_1|rxrefclk FD1P3DX D rlols0_cnt_s[16] 9.946 4.231 -rsl_inst.genblk2\.rlol1_cnt[13] serdes_sync_1|rxrefclk FD1P3DX D rlol1_cnt_s[13] 9.946 4.258 -rsl_inst.genblk2\.rlol1_cnt[14] serdes_sync_1|rxrefclk FD1P3DX D rlol1_cnt_s[14] 9.946 4.258 -rsl_inst.genblk2\.rlols0_cnt[13] serdes_sync_1|rxrefclk FD1P3DX D rlols0_cnt_s[13] 9.946 4.292 -=========================================================================================================================== - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 5.809 - - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 4.136 - - Number of logic level(s): 14 - Starting point: rsl_inst.genblk2\.rlol1_cnt[14] / Q - Ending point: rsl_inst.genblk2\.rlol1_cnt[18] / D - The start point is clocked by serdes_sync_1|rxrefclk [rising] on pin CK - The end point is clocked by serdes_sync_1|rxrefclk [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.rlol1_cnt[14] FD1P3DX Q Out 0.907 0.907 - -rlol1_cnt[14] Net - - - - 2 -rsl_inst.rlol1_cnt_tc_1_10 ORCALUT4 A In 0.000 0.907 - -rsl_inst.rlol1_cnt_tc_1_10 ORCALUT4 Z Out 0.606 1.513 - -rlol1_cnt_tc_1_10 Net - - - - 1 -rsl_inst.rlol1_cnt_tc_1_14 ORCALUT4 D In 0.000 1.513 - -rsl_inst.rlol1_cnt_tc_1_14 ORCALUT4 Z Out 0.606 2.119 - -rlol1_cnt_tc_1_14 Net - - - - 1 -rsl_inst.rlol1_cnt_tc_1 ORCALUT4 D In 0.000 2.119 - -rsl_inst.rlol1_cnt_tc_1 ORCALUT4 Z Out 0.768 2.887 - -rlol1_cnt_tc_1 Net - - - - 6 -rsl_inst.genblk2\.rlos_db_p1_RNIS0OP ORCALUT4 A In 0.000 2.887 - -rsl_inst.genblk2\.rlos_db_p1_RNIS0OP ORCALUT4 Z Out 0.837 3.724 - -rlol1_cnt Net - - - - 20 -rsl_inst.genblk2\.rlol1_cnt_cry_0[0] CCU2C A1 In 0.000 3.724 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[0] CCU2C COUT Out 0.900 4.624 - -rlol1_cnt_cry[0] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[1] CCU2C CIN In 0.000 4.624 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[1] CCU2C COUT Out 0.061 4.685 - -rlol1_cnt_cry[2] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[3] CCU2C CIN In 0.000 4.685 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[3] CCU2C COUT Out 0.061 4.746 - -rlol1_cnt_cry[4] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[5] CCU2C CIN In 0.000 4.746 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[5] CCU2C COUT Out 0.061 4.807 - -rlol1_cnt_cry[6] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[7] CCU2C CIN In 0.000 4.807 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[7] CCU2C COUT Out 0.061 4.868 - -rlol1_cnt_cry[8] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[9] CCU2C CIN In 0.000 4.868 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[9] CCU2C COUT Out 0.061 4.929 - -rlol1_cnt_cry[10] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[11] CCU2C CIN In 0.000 4.929 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[11] CCU2C COUT Out 0.061 4.990 - -rlol1_cnt_cry[12] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[13] CCU2C CIN In 0.000 4.990 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[13] CCU2C COUT Out 0.061 5.051 - -rlol1_cnt_cry[14] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[15] CCU2C CIN In 0.000 5.051 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[15] CCU2C COUT Out 0.061 5.112 - -rlol1_cnt_cry[16] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt_cry_0[17] CCU2C CIN In 0.000 5.112 - -rsl_inst.genblk2\.rlol1_cnt_cry_0[17] CCU2C S1 Out 0.698 5.809 - -rlol1_cnt_s[18] Net - - - - 1 -rsl_inst.genblk2\.rlol1_cnt[18] FD1P3DX D In 0.000 5.809 - -======================================================================================================== - - - - -==================================== -Detailed Report for Clock: serdes_sync_1|tx_pclk_inferred_clock -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------------------------------------------------- -sll_inst.ppul_sync_p1 serdes_sync_1|tx_pclk_inferred_clock FD1S3DX Q ppul_sync_p1 1.098 5.789 -sll_inst.ppul_sync_p2 serdes_sync_1|tx_pclk_inferred_clock FD1S3DX Q ppul_sync_p2 1.098 5.789 -sll_inst.pcount_diff[0] serdes_sync_1|tx_pclk_inferred_clock FD1P3BX Q un13_lock_0 0.985 6.147 -sll_inst.pcount[0] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX Q pcount[0] 0.955 6.178 -sll_inst.pcount_diff[1] serdes_sync_1|tx_pclk_inferred_clock FD1P3BX Q un13_lock_1 0.955 6.239 -sll_inst.pcount_diff[2] serdes_sync_1|tx_pclk_inferred_clock FD1P3BX Q un13_lock_2 0.955 6.239 -sll_inst.pcount[1] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX Q pcount[1] 0.907 6.287 -sll_inst.pcount[2] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX Q pcount[2] 0.907 6.287 -sll_inst.pcount_diff[3] serdes_sync_1|tx_pclk_inferred_clock FD1P3BX Q un13_lock_3 0.955 6.300 -sll_inst.pcount_diff[4] serdes_sync_1|tx_pclk_inferred_clock FD1P3BX Q un13_lock_4 0.955 6.300 -=========================================================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock --------------------------------------------------------------------------------------------------------------------------------------------- -sll_inst.pcount[21] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX D pcount_s[21] 9.946 5.789 -sll_inst.pcount[19] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX D pcount_s[19] 9.946 5.850 -sll_inst.pcount[20] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX D pcount_s[20] 9.946 5.850 -sll_inst.pcount[17] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX D pcount_s[17] 9.946 5.911 -sll_inst.pcount[18] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX D pcount_s[18] 9.946 5.911 -sll_inst.pcount[15] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX D pcount_s[15] 9.946 5.972 -sll_inst.pcount[16] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX D pcount_s[16] 9.946 5.972 -sll_inst.pcount[13] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX D pcount_s[13] 9.946 6.033 -sll_inst.pcount[14] serdes_sync_1|tx_pclk_inferred_clock FD1S3DX D pcount_s[14] 9.946 6.033 -sll_inst.pcount_diff[21] serdes_sync_1|tx_pclk_inferred_clock FD1P3DX D un1_pcount_diff_1_s_21_0_S0 9.946 6.034 -============================================================================================================================================ - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.054 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.946 - - - Propagation time: 4.157 - - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 5.789 - - Number of logic level(s): 13 - Starting point: sll_inst.ppul_sync_p1 / Q - Ending point: sll_inst.pcount[21] / D - The start point is clocked by serdes_sync_1|tx_pclk_inferred_clock [rising] on pin CK - The end point is clocked by serdes_sync_1|tx_pclk_inferred_clock [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------------- -sll_inst.ppul_sync_p1 FD1S3DX Q Out 1.098 1.098 - -ppul_sync_p1 Net - - - - 25 -sll_inst.pcount10_0_o3 ORCALUT4 A In 0.000 1.098 - -sll_inst.pcount10_0_o3 ORCALUT4 Z Out 0.851 1.950 - -N_8 Net - - - - 25 -sll_inst.pcount_cry_0[0] CCU2C A1 In 0.000 1.950 - -sll_inst.pcount_cry_0[0] CCU2C COUT Out 0.900 2.850 - -pcount_cry[0] Net - - - - 1 -sll_inst.pcount_cry_0[1] CCU2C CIN In 0.000 2.850 - -sll_inst.pcount_cry_0[1] CCU2C COUT Out 0.061 2.911 - -pcount_cry[2] Net - - - - 1 -sll_inst.pcount_cry_0[3] CCU2C CIN In 0.000 2.911 - -sll_inst.pcount_cry_0[3] CCU2C COUT Out 0.061 2.972 - -pcount_cry[4] Net - - - - 1 -sll_inst.pcount_cry_0[5] CCU2C CIN In 0.000 2.972 - -sll_inst.pcount_cry_0[5] CCU2C COUT Out 0.061 3.033 - -pcount_cry[6] Net - - - - 1 -sll_inst.pcount_cry_0[7] CCU2C CIN In 0.000 3.033 - -sll_inst.pcount_cry_0[7] CCU2C COUT Out 0.061 3.094 - -pcount_cry[8] Net - - - - 1 -sll_inst.pcount_cry_0[9] CCU2C CIN In 0.000 3.094 - -sll_inst.pcount_cry_0[9] CCU2C COUT Out 0.061 3.155 - -pcount_cry[10] Net - - - - 1 -sll_inst.pcount_cry_0[11] CCU2C CIN In 0.000 3.155 - -sll_inst.pcount_cry_0[11] CCU2C COUT Out 0.061 3.216 - -pcount_cry[12] Net - - - - 1 -sll_inst.pcount_cry_0[13] CCU2C CIN In 0.000 3.216 - -sll_inst.pcount_cry_0[13] CCU2C COUT Out 0.061 3.277 - -pcount_cry[14] Net - - - - 1 -sll_inst.pcount_cry_0[15] CCU2C CIN In 0.000 3.277 - -sll_inst.pcount_cry_0[15] CCU2C COUT Out 0.061 3.338 - -pcount_cry[16] Net - - - - 1 -sll_inst.pcount_cry_0[17] CCU2C CIN In 0.000 3.338 - -sll_inst.pcount_cry_0[17] CCU2C COUT Out 0.061 3.399 - -pcount_cry[18] Net - - - - 1 -sll_inst.pcount_cry_0[19] CCU2C CIN In 0.000 3.399 - -sll_inst.pcount_cry_0[19] CCU2C COUT Out 0.061 3.460 - -pcount_cry[20] Net - - - - 1 -sll_inst.pcount_s_0[21] CCU2C CIN In 0.000 3.460 - -sll_inst.pcount_s_0[21] CCU2C S0 Out 0.698 4.157 - -pcount_s[21] Net - - - - 1 -sll_inst.pcount[21] FD1S3DX D In 0.000 4.157 - -============================================================================================ - - - - -==================================== -Detailed Report for Clock: System -==================================== - - - -Starting Points with Worst Slack -******************************** - - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock -------------------------------------------------------------------------------------------- -DCU0_inst System DCUA CH0_FFS_RLOL rx_cdr_lol_s 0.000 8.810 -DCU0_inst System DCUA CH0_FFS_RLOS rx_los_low_s 0.000 8.810 -DCU0_inst System DCUA CH0_FF_RX_PCLK rx_pclk 0.000 10.000 -=========================================================================================== - - -Ending Points with Worst Slack -****************************** - - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ---------------------------------------------------------------------------------------------------------------------------------------------------------- -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] System FD1P3DX SP un2_rdo_serdes_rst_dual_c_2_i 9.806 8.810 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] System FD1P3DX D un2_rdo_serdes_rst_dual_c_1 9.946 9.556 -rsl_inst.genblk2\.rlol_p1 System FD1S3DX D rx_cdr_lol_s 9.946 9.946 -rsl_inst.genblk2\.rlos_p1 System FD1S3DX D rx_los_low_s 9.946 9.946 -DCU0_inst System DCUA CH0_FF_RXI_CLK rx_pclk 10.000 10.000 -========================================================================================================================================================= - - - -Worst Path Information -*********************** - - -Path information for path number 1: - Requested Period: 10.000 - - Setup time: 0.194 - + Clock delay at ending point: 0.000 (ideal) - = Required time: 9.806 - - - Propagation time: 0.996 - - Clock delay at starting point: 0.000 (ideal) - - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 8.810 - - Number of logic level(s): 2 - Starting point: DCU0_inst / CH0_FFS_RLOL - Ending point: rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] / SP - The start point is clocked by System [rising] - The end point is clocked by serdes_sync_1|rxrefclk [rising] on pin CK - -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ---------------------------------------------------------------------------------------------------------------------------------- -DCU0_inst DCUA CH0_FFS_RLOL Out 0.000 0.000 - -rx_cdr_lol_s Net - - - - 4 -rsl_inst.un2_rdo_serdes_rst_dual_c_2_0 ORCALUT4 B In 0.000 0.000 - -rsl_inst.un2_rdo_serdes_rst_dual_c_2_0 ORCALUT4 Z Out 0.606 0.606 - -un2_rdo_serdes_rst_dual_c_2_0 Net - - - - 1 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd_RNO[0] ORCALUT4 B In 0.000 0.606 - -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd_RNO[0] ORCALUT4 Z Out 0.390 0.996 - -un2_rdo_serdes_rst_dual_c_2_i Net - - - - 1 -rsl_inst.genblk2\.genblk3\.lfor\[0\]\.rxpr_appd[0] FD1P3DX SP In 0.000 0.996 - -================================================================================================================================= - - - -##### END OF TIMING REPORT #####] - -Timing exceptions that could not be applied -None - -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 150MB peak: 152MB) - - -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 150MB peak: 152MB) - ---------------------------------------- -Resource Usage Report -Part: lfe5um_25f-6 - -Register bits: 220 of 24288 (1%) -PIC Latch: 0 -I/O cells: 0 - - -Details: -CCU2C: 113 -DCUA: 1 -FD1P3BX: 20 -FD1P3DX: 92 -FD1S3BX: 12 -FD1S3DX: 96 -GSR: 1 -INV: 3 -ORCALUT4: 150 -PFUMX: 2 -PUR: 1 -VHI: 6 -VLO: 6 -Mapper successful! - -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 35MB peak: 152MB) - -Process took 0h:00m:03s realtime, 0h:00m:03s cputime -# Fri May 10 10:23:38 2019 - -###########################################################] diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_fpga_mapper.srr.db b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_fpga_mapper.srr.db deleted file mode 100644 index f91149b0137889f30b1864304ece9560b909c129..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16384 zcmeHNPjA~c6t|tUNt32&yQNuI1mJQ?fJTv=*m2y;(ljZC^>31`YtuoXCCcV3lNw3e zaodZ1>IMuOu*GHFkl!k4E)a-Q0bw`si}1Pn!=@!)QFV0Ol0qzS>0G$*;+$e zE7xzWq2ARQ>Z1l0UImM*D5R{~FLkT*FH|YpQ#pbsDO0njfc5nF3(fuD_=~aUXMY(j zk2KFb8*UGMd-~^7KMnpc@O|dH^f#&JM>{;7%!T30?WgUh6PfkT9NSr*p0Ds4na94M zn9Y}|npdKflis`{wJ5}j%twNMK|Hulq;h2t%}XMDB3;>Ho@?(bIr~elpt*xDUy zwIkf7N}xM?p%1?$=*#vpK-b5kfDW@efxZCK{1wn^LoxIR$3E(dP8Oi+<556|QI9~e zlc8Sj4|QkhG~j*ODNkgs-&x3KkI#@f1skSZF+SxsNR2o2-c^z>>x3za!@gj^f2+JG<8w_=9f? z=7PPbVd~T2!W0QiC)d}5HXVpzeti6+xsbDCm~c8|n6TS#Vw%|ZJu6!)Gc-BY)+_mX zKA&F=xmSf<1cN<+q~J^vru}^1LNlwpR>QExn3hDjJW~4ZTtIi+GAN_(!Yvo-Sw3mbLD5`Put7w1Z#cs1@5i-AKw za6!lBFMxAD{`>g$Id1%aGHFkl!k3>XFs1OLK6`HBv7EkU?xD_h8Kwj`uzqBu^4Aa#;*AK8{| z+ej)wlvjbEui}Uih;HeyFJ%oJ#(At^ouIinM9YkeI7pq;hriueuy|j0bX#|{kj?H! z)Sz7qxvUbJ^1`|gkz^LOkRW9Wl88CQ=X(E9gjGc%EG74-4yU0eRTV_ASAmCp+Ms?N zLgKOPz4-IR%g||OEa}wVs!$N0*0g+rL|iBY7chkLBwU3ibpRalQz0!>hx7!m!zG;u zYN2&5AV|lc{oUtfJyh~4((!N|%3Hs8a|JaB^P%R;Z6uxbgqnI!3pI5Gm{`o(NpPC7 zfCvGY66*J5nLblHZsdhk$Wu)!xk;rMes_=@JxWYk=w3)LNaPbQ!~$?=`NW)0K=|F( zAwMsV{kO=|hZ-(0>3atZ_qKt!61$pM9mihEkzBiQ*%TCjmP z7Yq_uVzCZMs$O$_kvbC-5E7@Ic`Z|RF@Kb)Ay%W}L&9_3E-uDLCwm>HYPH07(2dnk zR`gV^Q7QH0PDUNcdK85ehP^vyb?7*>P^<f zI>fw@ha(I(;#BN9qhJT>F#?#d81xG=;I(0aKAdR1mu7eVouSKPouMSpI+uSSsM4U< zZmh>~^ddUs?DH0b*&QR?pNVb}pCuvt`b7(@ARNpK+-HGFc{E$|YG}$c$OVpW zp)o2c_ZRV`m`idBs?*OpT?0`oLm>eNh?V!^?P=%ERLL@AO)8tNH~WGe%INIuN&z3` z!%cVl0RRC1|LlGLbKl1Hg>x4pVuyIVXHHF!aWq@8o%CMVy}NVD6~h); zLm-KkWG9tB{&m}!7%&79GTB@2sHSE-##T$+Qh(D&@@GwREwv|W>SIf|!*8)CyWO*;S3|c#zpj-az!oRoC_94tW#4=SfOAnD0v}^YeFcCl|ts3L0I$XN{In; zxMl@IM@a9ZTWbxOsJXQcceu}GEfCgF`fkm$da+@K-Qy9x7qJsx$eQDYgJZuNj%wp! zDDZziHYunI;J}|srWjI&xNVwO|;3K`d%a;f)a67}P=& z?|Nz=m;;9E>z zPc7`(-2LHc3kFp-R71bybfkDKe%3f+PRT=l)P#y$o_{V3&5j?9!w4xDLLI}xwUGp&an`s%H;5B9R8D&&cdvi2Z7zs8sRMi4Yiqk*}=VaOj#@$YhJ3bdO zs3E(Dmh08bo)M42<8GNj;KlJq&C3$Kq82Sje$`;eR*BOS|o8Ebilw-?>b|g zuYPU#da}oBUK`Hw(sYby>_U}6$*T=puCQvp@+6WO%i0N7jT#G39;hpQUUMV$8&3Bc zALlr|rR+M04dx`IpDBKfV%{52=2a@R^TV&}{)%mhc&9U++N4 zTkuP!8AIH&bsKtJy&s!eNvnIzjY?Mx5?gjIKzKpR9XoExhucU+;(GzVE0;-+^c9Zp z?+0)s!LiIyxnDW@9tPM97+((npsSZ-6YRL(>y7M&t2HB8QrJ3v0L^`~+wWIRJua6Z zr3vi&Gs6o&p0`+bk1=pRceoEq_~2oe9LIN&?Ogi8vcMe%1L1p|d(s8rD{!fWO7aq3 z19$6g$%_~(eUJPJd;`3p1-@GX51i7;0F^KZD`EpR;J!!Ca~uhLC=~O+w_FH3Zb`{p z{LSrPji03;Qs4wkcw!8`8VXe99{=2u9K3qTMbChpeUJ4A#x=Ip6}+Vw421d~1h&oM zgFt?d^;tt#1|4)Pxb)obQ5s+|$<>J*a2J2>psYjz4_vNLw%G1~xf16Cw$Td2V2B*> zCAgl%XL*4A$Nohv}7FHn`VAhw5bY_mB2 zLBQ>>gq{t~1Ph5PPYG_?5Ff=j^dhmBT${K1pET_gT-dkWJH1_j2lRtHPmaOs!l;Vv zCtit9M*7U?ZZvAbL*kQofqOdbk2vvs#}GIm4w^%6=vxflBeTMY_}hW193O||n}n9{ zh2B^Qt{#1j_h14@k7S?BbL3M;NXO9jwL>Y`_{>8IN8gYq`t81^p+NA)nF3Er?*HXX z#|kuu0&OC;pn?i=l38{-(qupnrCs`vg3GY z$H0ELb;VE(O3C~S6zVY>+21qG+vo^{?e8Vm+R?t|+({9ED`-yC;JZXmcbLoln!`~E zpmT&09lDlL>zT)KIAYye>BK{w&4g2vCunDo@p9;50g7pbx_a?-dez1z0w~h09ul0LU*zO$7=)1~=g9uB0Vx z@o@g3p80Oe8019M#vaxfbZU-3UnK14jVd2)MjI9Wos=F99vafFHI^O>xs>3IX%4Vg z80+RyZ#LG=qsHz2o%XW+%i6JXEWKLPW7zMiMBffI{PXzk3ly@Fo~(_zs_~Pc!D>Ua z|7hogszq+_n?PS59ZMc|SoCQ3W3>BoK7m;)DRikFHsCc@BflZ&xSqlJ@wXU@$5J|8 z@3_WBQMHQlG>~84g6@Cj+tc$c(WxGn;|MNsrVal>$IV6e!@EV_L`IQ!Fn$Hy-ZdX} zd$*hF_Ulu5;`k0u{Sw;#aKK!}0s);WketN$WB?ZVMKT(qa4}RT$@scr_viSp7ep-y!s#@^!BDR@I-@q)dWemSlL4|<0lNQn2bl!7 zm22igXJC64yo)u@3S8m?Lm!VuMSz{dae>iA$ov~F^bgo{?*QvQm7hP`;2I0@uEU&A z1+Fl|U&9jWu>)nE;;3}?-SNKCT!}390|y7GC92-PKm?z`)hvOHgZN)i1uc&0+Dxxa z@|MzTGyY_Mx&Zz5vS*v{e#iPVA5(>-7cd5qr-AVvi8XL4*bTE6KiG{s&mEAjJ`f(x zD@gg^+MgBMGxBw?&~Ye(6^6f>IK4{h)I3OXOEBJ-++#ilI&h*|q5SM~FATVSQ;xL{ z@K$|{K76F8w8D)FmhhQ2BZQyiRPl;e!$ zK6pOk7e2a)N%P{9_UYoth6}wnf|~t)r*ZzgEJN4na5orB!#;g)n(Y`RXt%M8 z4e?MJO83-Da+p63Jc`p%hRowW#*skNlOu0$ApUTW_1%TdWxGG#Cq07!W0Z^wWlzwo zT%B|bs}rdMwU7ENI6*ryV8~l;kpkryNk~hb(xpNjis3vDd{1c1c$4vN+FjkYdtKYq z+wQ~N0Au|C$2>=CCQp%Bw)p&c&sD~JeadmeK>aF0-4C6TDX_DrLSmi!8GpwDRjzlk zXP93i497zrcsI7$f3tZV+kG7Oy}ljyU#Fk?m+^`4oG*JlL#g91{LF)7uA6T+*UJsv zZuD%uUA7;{cR;_y3DuSJJq&F zXo-6xyB)vQcvd)XO|r-B8_JG+NOGaWi@^!faIZRW59iDcJirJen#BU6P8Fr!jxP-K zfrlrmgYJz}7nIwnufY}EQ`7!+ zXoGYabG%bI&iW04xu>0CzBwk#_+~EhO~6TqoXDVq*2PsxOo2RcKQdnaz8wRP{1%Rt zkN-5cr@KyGYv{JW*Yz1)&3w!=OrL$FIH$W9=iCYDQ1aEIG0^&MyS16)lbZA#dCY1( zjHE~0kVE!e3Axts9h>rvZ~*}uv?wQrwv_U?=)wf9rb@Fo&xbLu3M*L`rMxofEu4X~ zdz5EJezGKoz5Dp2R}`N#;ev9X_0N0aObsK~J{8HFKpw+)nw@;I`1ME?3 z8YAzYO#9b`4Oh`QN)+xq+oz!SX9bM2!14EJoy=>2>#L#T!VssC1Ebh5HIL24$XoL; z%WaG;AiRa-L7J0~(51?9N#L=n4-=;2Xnh5J(#YwP5$ThaW3snuOm-7FXL*l%xH@+k zAnOC^L@>(@+0_xm?byiWQqY1sL50IL+_Db&Rj~~-o$%B#*-eCww52HOp1=yg`2JoejU3 zX59alzIxm2w<#`f<3HDp2g|eMxDMC<6J9hc@b1qjLVaISobp-Z8?-!(zP-O5{RoO@ zr4xDv<5Ac;N&N@(*L}l6S0FSc93(zJe72YT4D@$RV}D<}`1HyC1@16PGrwufPJPCh zy>GYM4eQ}LSqu4}>-t5d8NQ4Dq}A6*0WY~|97`=PK+oL!i=N5i5z5JVp+tEas8AAK zlizM|%lFMNTAQOY4^H>B{WSJA?|zBF?AxvPuZO#FL)LM*Gd5&!YQ9hU9QSRr-Dukn z*0n|B^!lRyKh1Yxc0pi9vj@48pf{o+bBe9&;;? zIY=>4YkhKaolkDA_Q^*%pS*p$f2^(2SEFN;C&dZjbZrdHg(J!qXo*ko(@&&FY3<`D z_ou|3)XAP){q)lSP%Hu}V~+jO6sx|VXn@W~{L@cKr%lvfNEdHGqha*CpV&`eqH2a3 zBqi4MRl249AKmgF-SYpNZdoxG`a(NO;@3zC$Cm+rA_gmd`Qz6gVGn_A&WQL<y~kwFI+giJEl%q1Ur&Mrg*zwNaNv zCGSM|tajqansY2=%{%T@Su~PWJ}eo`>wJf7la2*8Trd~VOtuLBfx1{(7>oxBXJ{^l zNB*%SS0=HeN@3vDDt(jjM_93kIy5P7l3zcsdob{a!(@H^E0%MpUqj{mvUvwre_S@< z{Bm;k>+RX~<@wpgJE%;~FDpRLX>sdXy@bXr z+E#D_Cy4gQFdgjEy#0}()C8&_lo47@ao}+l?`uFf`LR{Yu<^-zd=J!t5X{=Av3}~s zW7xR9%pL>`T;ZK6tn+)c_G}=26r>VSWV5(qNSI0KS*J>h6SOOohpLHOz6BEezV=c3 zxN(7xA1|t|_h*7VtX+7b`e%~MLb)X}`N&}N%07P7D3^4O`~ZRT#nL&Rk5hr@xa4+T0rLooHYk4{6n#|d=U@0B(Ewu-}} z99Mf+b=vtd#fSYo!iP!^bx%f4P@=s&O_!ksMIFTxJst(9p#qusmU7_n0Ru+kwqs$B z1`ar<{(x|)5k`m_Jg#+bI=SqYrfsw_VWV1QRPQ z@Kgt<@)Q%6$6Y;yrV__VZYc3_kgqu1T`-6prfXMo`KkrnU4`Tsr{@Rfy8`^_G-;4a|wZ|O*pie%IbjWuNpL(%th~A`Q9A|dEf{J z`!+2hzuLNJ$22Smw89)+hELbed+|9uptWbod{k4OGBp3-djfBxHm7ysP86W7Nd0Lw z04^xkWVmpLwgBboJG1xGdG|f-N29fwyX(oH;GL2YQHyn8&myI9BZ+fxZeZx3KTw`J z*aMe)OnIoOB?E5|I2P)1I!i!FF=l9ds#Wf0*9rC&humzhKH(fENUV;Lsum+#;`sZmVBlCW4UPPi{Suc2`*0VfrkzO^W@kzpc`xDe%5Xs+M@?n zFL4mV>0D0d1kF_z1Lrtp7|s+_Se`G&31040dcNhzB%Y}XsM3~i!s@bd`n=bYV=#*4 zS5Ri-Hc8sTJMPONtra}=+pIXyqQMuM;$bWwtHwA<;;Ke38vy7rKjDrj%Erm$F8Rm;!82Pbku()rR^ zV$n>8(0@i?w!!G%!oQRMCVCyF%MAuJ`tX=z@0JaUuK~y-BmGPKJA>4Igs4-;&K~gy z^}&2wlsXnpCn%EDTwBUW9*oASwzOf?owcQBn4^u_V$QcE%5-{31Nnt8?bSM@__CkpmL=% z++13BWYfE-d@$}vtPU+bI!j%n- z_z;m_hj!=jVYqM!Hg!##U=mFs-$$yyUYG`ktz&Mw%pec^dPq2}M)gc5L zpFTGpC^tfy+L@-&`41g|K0)WqqkULOzWN^pQ84*^*zdF8^3g}2GgCCrBKPrW=6(El z=nGEk3xv5vPmg!dTT6USX0Mzd8;uKcUNc|H#n6(WPeVDW>0YxWo-FFqeE*(~u~8pp zvG0@5t||(yl`l`7?EgshNeo>D=O)fovFe!&pGU=;q(+8ch`DDdpPa?g4%B_EFdUpO zMe+Yj%Uj_9(UD!k?m0%}qKc!l3qDZWp3>9i-C_Ng-eKC2|4#pLBgdh=@*i%}c+>#& zA>#7%I>`^Mq&wOP-O<)`%R8Z4-kL7*S%(iVqpRPTAGW2d@1(zaQGZ8TyStKZZzptn zThs0Cgl>0hx{aOCZEQ`qy%W0at?Bl6Lbtz)?u#9k=M*%!ny0->_Dji$>j7u!y3@}t ztEYRu*ILrVPk6e__L{$>{8$kKA=NB_{jF>%mIV8e6~9jTlc&3#&#mvWRNpR3qtUrR zv`1o!MK_}_2A#N{oPh-Wbb8F4GxRWzyFY|G^RV9gCGE-KluzmWVm!S0V5ak<-#5CD znz~KwOnGZNGyNxd)oe`%F{GzQi#%md@GwV5YirUH;(?>GjO|A^egf zj4UUag&t>(nSJf|Q4OVp@xQSiub1^`7qT7|$y(Rqc%7_!JCSv7S=M^j7%6kLth>9B zb+<^?BSSaW%i4Ugtj!g&)_eMTS?jxywO%Cao~G-&wYST%?!yOIl69|Zv_JOVbkB-L zHg-b!0p2=uy^i8TUuv$m(#{gjK(=U|%Ng2+Sgy)y6ITe&^@B-NfnguMuZWfeW5GcNo$wdDDkbTQyXmg z!YL7}vmDA&8<7tOF-YGM4(x025mGyUum=HQdY!=EhhiqCd1Ot43wYdTOy{?ummr)| zabUCkCB8#L%jXv&&qb$eB^Q?Wq0Ps#wf`o0crf+jBJ$(bREAS(m5%EtI-+(+^|jFL z;lO-QJZT@{LuenRg%XF8(yYF9^Hbg^!f}5foQ@Ys(3*eHE405?fJYcZI)j+YfF-#H zI)k`0*VYyK?ncr+M&`lzgBxL&n;!f@`K{?0r8UBWuVlbN$^1SElSK#hS}Ju4$+9Dg z*EL+|(1k|dIoYJ@b2>9LE5i$4q;w9Ggs*@@nm6flkN)Ky_}=BgfV1lUw{i4Tb+ygQ z@*G*)a<*+2+YI0`;dL&i!Rge`HZsoA!x3nYHs!-wzFmOGiLbhNv2=rqo?R$d?vFX} z!mLk0$U}lYV7a`mG_SgJel(Too=A_-1ZjU_`m?G(&!+S165mGj2a9PMvrU*YIs?$W z$P6<(&T)>-00=wa+f2Zl^{2bspTm`WE9}p+>8$3Pjy3c*;M>gM`o?_A9^I60h5dOp zon^k2VU$c4y`8QlujL!84zHiXx5m2uWPJ%uGq-xW(4V8#d@JhDv*|4Jt&O25UG{XN zyhJC{Z{R&?dY-4>%%}UK!k}~$A~RC`+SHr(%~=iCX$1v*E9}p6>D)Ij&lmXCpVH~- z#%LwqaI6J4suZuW4LhHw3;i*R`g74dTgA7+{ydjX0pDUstM9grk-kW0fp7X7@~yWm z--`P4TsrIc)-}pY_Gf`_-8bZ0V_Uuz_2;>C*6}Si+TBGu3w#^BA>Yc|@~x;p&!w}D zZzH4KSfsPSxAq(It-mebiu&_hI_vnRKjvmu@y&#ICF5S-E~KfmE$qT_f1XQcyjK;Gt*AfGr33Y}s=2tnpA6>)+Mjj$jpge6 zrhHqVJD(R@9oHB3=UeR!Nq~Dh#_nbK+fB~6BjQ}E`%-alGNuF7Jw|)>er`d!->&Wi z|JYj(+}jXu031>}@O+lBo{cTyUxhPLf`^2;BO|b@8p4y+c zb$P=x{1(?Eb@jz?OxKyNg{H3jsy*CP%)7(M5(Y;(PGBZ$CRxmv&+8@_@ufA`-1l*^ zweocs^kf}$(wj?=JD}XqG1I(vW4ahg>uyuZ3C3WwsB3g1*WN7FTG*fcc&=c&_B%<| zey81~b)Fp03UPy`)*CIIGf3Y)nzc8zbFc=Re>Zv5eKXP-&&pGt(HdZRx^IrwoJVG_ zP{z!4;yh03IWk65Lv8OD$(Zcc3K_E?PQPnagfG}c#@Y9xvbFjJ8JAUDEaPc=3)k5< zk#WYe6ece3H*0f$U zkEfLLGA?(G?nnJ?Fa_TT$F~c+it?`fs0LEN<5qNyou%jQKJgw}Rz>T(OTTT1>XGo70$<|orZ^LV3Gy8n@Odk3`JThY#RXt@~U zwGnO7;}nyWS7GX&(1#hG?`8eY@YM6P%Vz%x+M^xN9&JTC<9TBTJYR)lH1+lJ9_@hk zXiM6UJn!v*=h=RNdD?Bgv0ERykal+~+8NJ#JK%Y56_!!&zvbRSg7y8(T*ZBu@Ut9N z?;l>V`PKe`G4aH2(ODLBHVp;Ew0Ds96nd=`Pi9j}BDGSpGhL=#Hi5xZ}uA`o6B6?FRZ<{S>Q6XHr;uAWDvpK{99A{(^-zCVY@^U^&9yPx}-tI7cS$<_gmkX#qb*-dx>L=I6g`1o>f?~T6 z{w7Do%;#|~=&X`-oF9TrV10*#HBH;_=xfrq6ez#Bf55((&$liH>MrCjCojPDDbSYe z%BnK&lUL^|$|qrqkbPQid}s57^N_xSWoGB|WTgU^&HL-CbDGGxPaT|1$3m(f=lhl? zI+pBi5}Uy1(Bu-sG{reVSY8ey%B7AWOq^y}m8OBf{mL>Y|| z!*0-_Sj)>a+Eevs+xjT z_WV40oU&(+6P7xrQ#{dbFHtPgb@Vmw&^n1KW_S^w)h}U^vgt{TUvhL!f{81_jAUbA zHPfc$dAcs0TiP01PV0rCD#age(r25{yazp9PP={_x&^upJEkvnXQ3QZD|FpA#`!(^RRIrGTncZdI19LMHg#xb3~OGb z|1RsgQPG+%Jx{;B7sEGCg!!qPX%eP-I-K<$C-i@v+@)=%$ z_1geLR3M|?vWzZ?kLUBc&P*}jN&XcUP&av9NA1t{xfhk^>AD&Bo}5RG74rzrF4C(7 zU6;flQx)`-u3M1NbMSua{5op?%^Fe_;?`-Ygmf_c>6PS>@&*tZ2V(PlDAU79U)T_5z-ejT;nEZwZM z6W>7BJqP!<0iI}CM%kL-rn-(cv(93k6}nDegN=D@U1!GWn&39NE}pV(OI^1$_OCG= zf3QZ^t&3w{Ti0c|?9FvuBZkIOmo|}6qew=pbX|k;tLEAm_8a5>isn(O^EcIXW&>l` zg`EhS$f#T(qxxf8XS%L$j1o_e4QREN~B?`i9+HUkM4k|_GO)b`EP-3y4t%*0vTDxCFTi-El{VCe{ z@5@f{K-UWhq+9&LR?+6(*tcfoUWj^{J%8`5qs$y?tsZGBVPGoJT$ z$Maq>&wE-N7t`+Uj&^r7?Uxz%7nIk=!R-S7wyF?ze0nC%?A|)e`BP`xk_n_f z#Jn$F^v-r?-o2`wUMz2Ew+o8qFeiz%0wZ$yz?=J4`U1{{N5^4+GfWDvqbwytI#cHn z-@9~vSKzq=I>$Mw#jJV{9%Zfs%9^tH0SJZ5@3A2YqD#>|ND197il%sl3)b~a`T_Ps8QnNiP(dy8X6 zhE_-K6z`u{H)bZwV+LhpCbGA9D8o+23{n5Z`1f?L;M|yrM@H{s_wVyb0rEkzZ^)(C zzOxy&Z&eOJ)3iy;=kz_s*}43br=afu48TkAXQrK=okzU=alkAtKuv_S-T802&G?dj z)+%|YUIh{H0ew$XtT|^c$?s^5M9%-Ucy^v8yu!2Uiq6i<&gy*vcbLjin_~JVD)Rkt z()Ts(>^2OuJjFK7oj=HU@p*bh=MUCDrr$<#4?Is*0~v7XgR{RxaT>2C?+h;nC+Kmx zP6ymzEaB7xWCNbot1p<%Y_Sr&TGV?W#{u1m2-mqMYEr0SV47|=D3_g;S(RviS88lih9<+ z^kBgF!TxKW+03es_R@(4iR{eq@!Y{VJ2(yEvNa{brM0Jd=@=b>f_1;bI`i5CFbo@h_&~^*>@+O#sQ^kDrUpzFRZjBXF!IqI$i%v z>`&?+AeKHA_u7D=X1JcIj(&j-LfTiChnmPUB(Hn;Q6+AnVy;DSy$+mr8BZ#Nw;i^ zi|L`yzSQtlJl_@zqxTH60QWbm(=6RA>D<@QE!$#VXq=DqB0R+ko^OY9>6Hz==Q$ZP19?eQ!<9m)mRy<(nkg<&C{x2Jk*m2S!QvF6IF=$376ZR>x}|UQmKwgA=lvy~uhT8v9*&!3UvXBaUVu;BwQh-7I9pHH zMz_S>B3$Z1Ocb)%e9WJ3dM;O*gIVZArdw96RqRr?BlXJ0PrzD}<`tb6A7TTW#;hc#L_*JHL; znc;_WWogZF@vJOuVFhxR=XSj2nxj^&9>&f4WZo04sk}4Nhu6*2p2f|7hxNO=@Un7s zHT_Km$D!q@&_%V8KJ)m!UTC)sQ;T8Sy6aip&T;5E4D0^<^18#jyUOI3unAYmH$W8S z7W3bC&ex3!IDSnW!M8dur=aW<$5(_IID^@q>8ezznn;ylpwk+Ye$6uxx-rg5Sb=)ssZsHH>i*X!A%D18@%e&Qii3ylOIP&LNO`yKi zf1SP~p{#Jao~c5{Eob0|I_*i8)AhghY;SVgG}>_y9-HveJ!kJr$8g*m^(FrD<%1Ea zV-rOO+iyT)v|`_>6Rq3p zTFA47HQRg%)o~D7it1%Wl`X89CTm?QFzl=-x1KMHJS$jdpA`jg?1m1q%=rvqVbd0Q zM(fPl{b4gE-|(qO2Gj48 zeT@G;Yr6~OfA@JWX8)U=_tNeg@wVr^^kbtBqnDodQhg^G9||A!83aq;f}5^~+SNH8 zZgxgYJ6QuUw>l$6pGtPqwPBi1G7dfT+iTPLRKE%vFCg-I$vCM^8q#W zv7sVgh0#r9#dP+JR!=s}Z+un^t0P;*Fk82stvg%7BYep=>&~;YpbEYpmpd!4_7sM3 zZCQ*jUg7(1OJ&8`F8_`5FaTkYom3nvumx+zYo&PaA zqb1ArKt5)F%Kok?EAn}ZHwyE5GlQP9<%7`ERcN^hHjP{li-&{IchGy%3lDV3pM7$) z{BzMcHHAFL{2b31=;*z^zoy1L#{}tNgQA z4~yu|%8ESM;;pCiW!w437~fuGK62rA#j;ZT9l&KCZ0iSCtnebfOnu=MXW(Qdw~w&* zcwW)+r$w}<{P#8GC39iz-poy60V?^}qz=pQqO zyga{yvy0oaKi@%Ra(-FC+h6hL4;seBgi#aXIEct7at1B*Y0xYVEVOdy<)1+0M~)?h z=3ThrPSYgwWD9L($CsW%J`?#qzK_=>#wu_i&_*SAeY6{qh3>c=w_-aU#y|8J+&3pl zEbf5tMZ~NY#P%?bZ5H=_5O6yzxeCO9Spx`^rvx``XdK12;74LFxz=y@(a*h;(!-Yp zVe_c{igJ_(94hE*t*n0n&3m$80v#fT6~-1M4eQx4yZr%#8oDKhZf{`WUrLcLXWlmA zBY_-%J-XJ9v<<(5iceKs!LXhH{ChU82&^AD>}wN+^*W7?80f>WG3rz`p;g9QGI65N zZ$$ybx=y~E-tOvc6Au3GWM4bGYJ%V5LFT~4HSO$DK;YO@bAy9XJJiygt;Xy$cmC+^ znVQ+7{8!!qG!L~q^N7a!44bHH)3YvT&uM)Dm;tn?8oI<*2UxS;_mP z8klGH#qasK)2nEien}r}U`TbRP44a6Uvls16Z^iBf6x54_WRj<-J{>_tIPZ|BVW6X zRD>L1gMbOv`9A|kK#9pAPy@2xszbxMCAAk$8a3C>^L6UJzzgHVRFB6 z+zxN)+Y_Y$-B-atapBnw=-oy~7%}*Jpqq_b?Py3DEQgKL*xDXO@ z%d=&(imfwzIG4G0Jf%J073BwWN1`{~f``Krs^Nta{J wY>_tId!-qqw)j}S$WL3iQGJ`s(T{)t1Rwwb2tWV=5P$##AOHafK;VZ3UKAiP82|tP diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_premap.srr b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_premap.srr deleted file mode 100644 index bee72ed..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_premap.srr +++ /dev/null @@ -1,84 +0,0 @@ -# Fri May 10 10:23:33 2019 - -Synopsys Lattice Technology Pre-mapping, Version maplat, Build 1796R, Built Aug 4 2017 09:36:35 -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited. -Product Version M-2017.03L-SP1-1 - -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB) - -Reading constraint file: /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1.fdc -@L: /home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1_scck.rpt -Printing clock summary report in "/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/serdes_sync_1_scck.rpt" file -@N: MF248 |Running in 64-bit mode. -@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) - -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 104MB) - - -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 104MB) - - -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 115MB) - - -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 114MB peak: 117MB) - -@N: BN362 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1408:0:1408:5|Removing sequential instance pcpri_mod_ch (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:PrimLib.dffre(prim) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1244:27:1244:40|Removing instance div2_sync_inst (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_3(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1252:27:1252:41|Removing instance div11_sync_inst (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_2(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1236:27:1236:40|Removing instance gear_sync_inst (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_4(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1268:27:1268:44|Removing instance pcie_mod_sync_inst (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_0(verilog) because it does not drive other instances. -@N: BN115 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1260:27:1260:44|Removing instance cpri_mod_sync_inst (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) of type view:work.sync_0s_1(verilog) because it does not drive other instances. -ICG Latch Removal Summary: -Number of ICG latches removed: 0 -Number of ICG latches not removed: 0 -syn_allowed_resources : blockrams=56 set on top level netlist serdes_sync_1 - -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 145MB) - - - -Clock Summary -****************** - - Start Requested Requested Clock Clock Clock -Level Clock Frequency Period Type Group Load -------------------------------------------------------------------------------------------------------------------------- -0 - System 100.0 MHz 10.000 system system_clkgroup 0 - -0 - serdes_sync_1|pll_refclki 100.0 MHz 10.000 inferred Inferred_clkgroup_0 92 - -0 - serdes_sync_1|rxrefclk 100.0 MHz 10.000 inferred Inferred_clkgroup_1 77 - -0 - serdes_sync_1|tx_pclk_inferred_clock 100.0 MHz 10.000 inferred Inferred_clkgroup_2 53 -========================================================================================================================= - -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Found inferred clock serdes_sync_1|pll_refclki which controls 92 sequential elements including sll_inst.phb_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":567:3:567:8|Found inferred clock serdes_sync_1|rxrefclk which controls 77 sequential elements including rsl_inst.genblk2\.rlos_db_p1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. -@W: MT529 :"/home/adrian/git/trb5sc/template/project/test_sfp/serdes_sync_0/serdes_sync_1/serdes_sync_1_softlogic.v":1988:0:1988:5|Found inferred clock serdes_sync_1|tx_pclk_inferred_clock which controls 53 sequential elements including sll_inst.rtc_sync_inst.data_p2. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. - -Finished Pre Mapping Phase. - -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 145MB) - -Encoding state machine sll_state[2:0] (in view: work.serdes_sync_1sll_core_Z1_layer1(verilog)) -original code -> new code - 00 -> 00 - 01 -> 01 - 11 -> 10 - -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 145MB) - -None -None - -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 145MB) - -Pre-mapping successful! - -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 59MB peak: 145MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri May 10 10:23:34 2019 - -###########################################################] diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_premap.srr.db b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_premap.srr.db deleted file mode 100644 index 1df133250f99d67da2b94371cc7adb46762f2965..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8192 zcmeI0&2HO95P&7cwUfBEa|sNz2r%_YLSsp!L|KwAO$_H!r)XWD>H@@y97xCGhQml{aRF-!3cb^^X!ojoWd%JMjTIN2C zne+xsK829S!?W5<<*Z@I<8#Uh_2jXxn0NE#duxTY-_{OS;ltmTe|b20u)Xx>;^D%t z)SQ=`!23kt_0#41>&LI&eExCi<$+^&HfjSAVU78cv$)phx~65%QC>}Bl!Q!UEs^3o z^r#(`c2$tn6iOeJtERE%)-Tkoi#1n?K!>8wz3OR67xDP4} zX$YmOQhV8&)0)pTa}&D?2LrBV^ADJUSb$2<;{m5-X&#v&XfmZGBX0uP>=BznB5_Pp znTKPPii;RT0DU6ngOJe27-d>Xm#u)&?=}6HZ`3iI0*?~rX&{!oA47sN{f{#CunH3< zW13m7zW(STnQQXq(_1%})7t7by5`#c2gZ^|5(Bc<4A9&>TU(cyN2-~52v{#1+TT`X zD3t5>+{F6N8|RY;=~;4a-8ff0O9>r<%s~9_7V6lSSZIELl0onPcYsbh_sK>-p7bA= zo_}Fk&Mo>oyH)SnTV2b@W^*4SF*e@BxF1HR9K1wwHxm9CR4DTp#vCVIm9z9Jk>zwsX-LrDfw4jF`8z}@%PDh~eb#x>77(wU( z%K6Gvm7btpJ?Sjn9lQ37UHhHv5|87}v#ZYuU!9$^n6h*4VBa-b89S2A`rG@ik4)a| zo@-u%TkC_A8@mH;j-7EM*|gr~=JT;--7Gl!8sMCTJKJ#0W(JPryEYtwvu~DL;~L!B z_lVo86K*zKqh;S>fN0j!gxCmYzTM7cv-3!i%tHmGzW+{)_)_v{kN2v65J)UjB#J6m z_HonF()!Br;p5WF7p+!nC(Yr{rNbDT_k6z!1M?N3qG4jee`S*`x4AQ59!F F>@P*+<39iZ diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_premap.szr b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/serdes_sync_1_premap.szr deleted file mode 100644 index d9730c2db9cd6aa0794a1e62ca77442afc646761..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3615 zcmV+)4&d=0iwFP!0000015{1B4#F@H+xrz+x*-k*7N#z&OzcsbxNT6{M0Zyt5FbxE zFjTVShb+5oTT?hIy#5)%4l$wWy8HJrMKKjR!C>(@ARNpK+-HGFc{E$|YG}$c$OVpW zp)o2c_ZRV`m`idBs?*OpT?0`oLm>eNh?V!^?P=%ERLL@AO)8tNH~WGujMZOMB&^;*~-E=>nZayhNWwO4we28hff`y`qs`lrT6O4w3FIsb&hQ(2{>^&!s=b-c&}7aI z69X@BJv}^~(K-f$6=c~J`oNru2v`>jB!>%eSr4&{QhE`;-So?UfC}fUVj%AJeTA zWs11WB#59S8}R<#6}a@-TrMk;>_NL<&auy!58kArQSey$%sFEs)ufLcf|LAyzqT!% z4`7a5;WN^FE1dvX3fcM!dV%+ClH`{Ps^&4*d9Pnt6`(C}$tUw7%Ft)f|L&GFDIqe2l)L0qqWmV+oc9Vh^8+6IWq-JL{c0C5aNP&Es&Mr~^7mTfiK1{Vl=@lo0p}(_W$-q>DLm#^ zb6m}lhl-}d+`Gg!&xGOOBEmH zxVv{q{V!HsHZ6BHF?Cw9?UbQC(Zw9k)DF9C!<1Aln`1Bm$&*kRfrKB@Sj7>ls+X3w zM;pnNCZ~abPAUSNz)dQNis+qG5@TT}+o;hN26g30cA08{xPZPQViH`>bZ>a<4}4DA z1y7pNmY|b(3D*>Y8Ybx;u>UqBYqM%r|{SZ zWC+_K0NGE~$MLo$lFO^csyMCQCXR=sQW|~HEAm1~fnvS`AL;;Q;Dj^g=d}|N-*P~BTVn=&eMOk z{th8{NxRw;x1m2FkfQ2x-E{|m&fp~8mj}sivPHJ3P!E<#%~deE;izf>j~yG6=llEh z*W&=phDqTPj@LSn8z_y^3X2nDzV~EZDMdR+Pf+K$@o2H}p-x&`5FOfZ93yAk$7CNb z>-Fkpdb)+LiI;1w2GlFO%J@QIQ9sqcF$9*@jBpcSz#z*oK{oPN1#CFcsJZLW zA4=MPfGs2oHR22uxittE{kiULP4K&p;j6>zPuecv+NYF#kXEW5ZO{RDSz~uFC3ti& z8Shu?-6ToYjv7t^YNfdOS*Q_j9@j^v_k3g(eIzkEJP1yhWU7esN_#aZY-mchXSP6m zYL3J2@cQf(DvB?(TtYUex^7-~ov%A&->oJ1(5DfjC=a2yQj_{u1PSf{p*9noMR+*a zO~j1?hZ3a5CdY68Q?A2lj|%Gft-(fB0_sabvmTkH1VEvH5d$sl0qx1>&P7(GL$0it zHPBCeJL643@_*Y1qX4TK@lvum;bFA-K-eBC1=8|xlP2R@v;$gQ^X-3_6E7eiG>vGR zT!5eTZ8M!^{OZ@uO#%2uDNV)`O-FgyM?AQC3LM0gyd`{Vm!X-$`FDSq( zrn#{NusfeDv7CKPpPW)YHZ3>D&(&7<8kSzhIHS0xw1#pQLz&HE>TC69)7RDMt-h{K zS6izO^`#uCp!L;b>a6?I>8yLBv+koh3)ZQ})>-)*b@t-q5czXsx8@kvZFU6q#(Uyu z_2r`Xv0jQzlD{N)cf~pbl0yF7wS@@qgh(rg z@%xi4=qcYNeusF7IOd*juETit@{u9Nc1WHtNrS)C?}H0&LgXlxA|zhZR~b}w_spH8 zaCaZ@g~Z-{@V><7CaEy{y)I0;?9EbkcuG3+|6b;B;*eTNaBA@el0 zpW`gb{Qd8B?I+1TKCRc&v)RQ4)?f5|=)JRvSZ@|e4tAqh)~DsgXrE9Ww*G`=7u&)GV4GArN0Wfk2Mo*B{Mt_*Wkx?uBew!10^|nNx>AY zCO$CMz=<;qq^By@2Hw>n@%9WJO%>m((8RhXMaEPa?`%Z~I8eevu`h&1`Z4b*kn*0V zI9s1h>x-|K_gvMp&AL9H)mNLR^7T!Rq(IP3sA##duFNJ6ejh++a0H^UlMnV}bMqJX zgxJQoCj&1)=*6U%{&!$Pwr#a=SQck&uEzQ16EuTXsG{h+U@=Ax7C`G-CeR5MVMl+vJ!s4437y#4_m&*~MH=eRy_6EN|9w!1z^WOAu5fj$WSHDzDG=G7QmShOHo1L13!z*kvINZy^z z$J%eygJ}By`YB1c!L# diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/syntax_constraint_check.rpt.rptmap b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/syntax_constraint_check.rpt.rptmap deleted file mode 100644 index 6c6e0b6..0000000 --- a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/synlog/syntax_constraint_check.rpt.rptmap +++ /dev/null @@ -1 +0,0 @@ -./serdes_sync_1_scck.rpt,syntax_constraint_check.rpt,Syntax Constraint Check Report diff --git a/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/syntmp/closed.png b/gbe/cores/test_sfp/serdes_sync_0/serdes_sync_1/syn_results/syntmp/closed.png deleted file mode 100644 index 0d78634f322a904e7bd0c9466498c0a42777589f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3672 zcmeH}={wX77sr2=$PzQiz6{y-jN4KYF_wtIP-F`kBBt#7AfeD8`@T=sp)7-;LX(KG zMk;%mvP`%|gL&rp8=kk%xjyH6uJhu1o$H)eClzjGdX8O~9RPrH=4QsW^a`dY=UFDY zzNFTs(+e}w@P;7(v}Qz8ZL;Y-#M8|71^^^;13(%*Pw1w20|1DL008n+0MJ_p0HM(! zy`(SzVAu6EGJ?Z>0)qpCd;(Drb0Z@N>S3U_um4>DFe8@ah9MB2_>;#8VUZOd)fsIc zf9rV-Oh#q9!tUe?xyv(gK{Z zpEfu4{@LB7t~Lg4z5IixZW6|w1c0nMwY)qQ3kJ*wUP_`~F&Ug`6TAta8N}Vu3V@}9 zNw*s=>MUq@X`W(G>+tzJgd6bXv9Vx^T;JCdX0H}U~+(Mw$+Ww1bsj*JCH zwPXBAzHpwhx1fx7pS&S>BvtoL<~e<;a$8mY{0qH~lp)=^mv-|W+vk6GSW21>rnIDR zK=H-O;6(FfPc%`Wc)i|k#(?a$FuYXy9MG76_k)S5p)2)`{NnyZ!H1*!gy{9V8?C3ES;Qd#s z$CM6$uEqw6ed_P#zM-d<%4pb~-ul47As3uCpur=s&wXN@++Weshm_Xeezr?;(fw_C z=LuT1Swu-i=EnOvWHr=Lw0pTM`A~xOG(?=musEEnY=9T`D77|i!~)b_MEuU)<*Roo z?@w9bA@{&(^$?yvb@C7l_e?`ihbS7vZn4UIlXpCnUD)47;oTr?D!HiK6!}`HMYy&WIXK6&MpqK+*iyS6`Kx__7 z{ASEA(A;eX;&aUGh8O494$$}Dtipy+pEE>5Ih`|Oi55%3T?_$f zYT^$N8Uz-ud?F~tIe6x`X(cB7(X&R=Cm8l>247frrv93&#*A!%)$KKAeZ=nYn@eUm4Q`q zq?U_)DX%w547#U>8CCw>r+H5** zf@8(&_N78R)ugO&8pfV|FN|u>)J-8_~-dOd)h1RU_wD1BQlW2=9NphyM*@U#D zFDn^D7A32aWeO~3r7mYxy=UCzdI&vYJOUr3GsE7Ry|qYCX*H}gsWkF1Tfs}a+S*N; zKWz7E_DWh~8~&9AwGCHLQ4mn5osN7V{36@-f^EoiN?Vi{P{``U$&H$#2(R#RW&+z3Y`X{CL=VWcGb& zu2_wvrb?lJN|M9%%T^+_gxi&`27TF(!v``=7LRjBM^tixp0B48R2z6(bek!miG~4T8=UQ~?svFlT zo;M?Ef(?C53Tij8#nsNu(Iyd2NtGTgZ|xOs>Ne~?SG5SMtRpFr3Hy%rFneqJihG2g z=${FN9Zp!MKBuD3Mj;88jIOv6oC&5e4o0v6>kHOZu+>so*X)V{EdJ(8>mXN)+e*%U z4Gwj)&pV1c@x-0l{1c^uHTt^ZXd5?7k!TIrhpEhOgFqHS42 zzjYi5xbJ@dHPlC4@S?QPB_VI&4#5neiL~hS-SkW8r6PWUrb0U!+t5=Vk2_f&lRgg@ z5$~oKG#6hj?1w?GKyw|_=T(B*@I6N?d#ZZ^Bl~#|a<|+m+q|w^3zrFPpcuutQ=;JI&YyKc*Yx?2VdhvSt z2yttAGe7j-(5i!A>MbhMK^0d$mt`_bGBM+4hCfl3XfEecCtX)%HC}R~_-JfvY)@57 z^_TM)UaDEV8P?1cpb=0JFca|X%gh(vFSoxSuPE!yMi%cGNBs@o-WC0AyXz70Pt?cA z+Yv2$+y6=)F;fv#y@Q#(b!sT}P3*VWo)hs#lJlF}6J+jV!f_b&Dkq8S7B9sUBC8I; z$x_TQzHnE#o4SmS?4cRu7w89O5<{)HRPmNnsy>X{zq|Qs> zGhJ-vusCcj7M~NK^rx{nr6WZ(P29DHpcpliGsE6s=TMfBnRe%0aSDw1m?)kaGro`1 zQvb2iyK-;U+flWV(1`Rm4b_b*^du^*TE1Ht${VtlnUmSH%C`zOYcwlf?nX7>)w1-m ze`bBpKFQ{miM!5b?Q1bq^RBjZZJ3f3LGb?2tvi1P^T5G!_e(U=}Lr0vlhk%QUmO`_9tlL{f{NHr4cFtn-XK=q~`E*+_&1%%@ z+t{)TR}WX7dER<%`p&_^R~sueHO07gIq&hDCwI?>M6pFBMVTtaDD~89)`vO}TC5uT zTvnZ@92#!*5JF=mq83T9#FM6w>mfrSJ6tI4FE9RJcYH|qusSKa`4JRz&s(00ooRtZ zMSO)%iu6TS#DuSi26uJN*W-^;Rx&5~yI^w~F`9oj(s!Kuf8G1XzbUY){k#Md@e#2! zR)Ts$c~G``MNUx8DUNhp(NQw#I?WKW7Cag%8=4Uc3q4o5uRlL^f8pzC)x@)8s~4s9 zx++oK`<%;`ZwjoDLE~d37FV@{^p}srtu4n-IKTn{|FRr#Iq&1ckFuyW95GfgNVNqQ z{g9#WABG#!8cIGXw}kB9-tVM&{kEf`*A0jv4ZmEp8v}#6RVDPGYwE$LwZA@EboK_g z^bl4KmQWj%lFW_FiOZXp?ZWJ~`?~TX0+&zUv2L)!xNF26^lYQ2DKEFj?^oqk)e)VE zeJ}|rKlJPE-bt4zu(kNTbG@lVane-?jGEj0stleZi#?FKp+SNq%IFJ&{2mQ7{K8M?r^xf zI~+v^<&Hr?%EKXOlsh^cg+qe~&p|je1e1b#odP0ZK(F&5Bs%4grF_T|UCY&%udXL*$JVq7utpfAW!Cq~)vfZav1e=9J4)LuwIo$SQ2?#O z>5TN-e>7d4wWND_fn=Z>!aCPx#1ZP1_K>z@;j|==^1poj5AY({UO#lFTCIA3{ga82g0001h=l}q9FaQARU;qF* zm;eA5aGbhPJOBUzLPZp>)DD*xuJrLE&vMd$CAS~p-?-;7v0}#6W zK!*&q^nLraG8##gHcA0$>IMWyA3F6832+TiX>f2vout6F5+Ar6F)sEtHPYQAm=&QB zzRsNDFORoz&Rd@j_9k}3P_@Yj@S4LDdw+c%F*c^MW@FMmL^UFq*q*9=#Vw}!jD6ML zTLufTX7?w3b>Dtd?Gz(`Oz!9Ne%vt|je?%YYT1@aFN29GQVmgR!B8?Xp%fDaA%{f{ uQpjB7cbS-F*)`{!amLtrN{glG@TUKEuz}n1Y}Ahc0000

    -
    -#Build: Synplify Pro (R) M-2017.03L-SP1-1, Build 086R, Aug  4 2017
    -#install: /home/soft/lattice/diamond/3.10_x64/synpbase
    -#OS: Linux 
    -#Hostname: lxhadeb07
    -
    -# Tue Apr 30 12:09:44 2019
    -
    -#Implementation: syn_results
    -
    -Synopsys HDL Compiler, version comp2017q2p1, Build 190R, built Aug  4 2017
    -@N: :  | Running in 64-bit mode 
    -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
    -
    -Synopsys VHDL Compiler, version comp2017q2p1, Build 190R, built Aug  4 2017
    -@N: :  | Running in 64-bit mode 
    -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
    -
    -Running on host :lxhadeb07
    -@N:CD720 : std.vhd(123) | Setting time resolution to ps
    -@N: : PCSD.vhd(24) | Top entity is set to PCSD.
    -VHDL syntax check successful!
    -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd changed - recompiling
    -
    -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 72MB)
    -
    -
    -Process completed successfully.
    -# Tue Apr 30 12:09:44 2019
    -
    -###########################################################]
    -Synopsys Verilog Compiler, version comp2017q2p1, Build 190R, built Aug  4 2017
    -@N: :  | Running in 64-bit mode 
    -Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
    -
    -Running on host :lxhadeb07
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work)
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work)
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__)
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps)
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps)
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps)
    -@I::"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v" (library work)
    -Verilog syntax check successful!
    -
    -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB)
    -
    -
    -Process completed successfully.
    -# Tue Apr 30 12:09:45 2019
    -
    -###########################################################]
    -Running on host :lxhadeb07
    -@N:CD720 : std.vhd(123) | Setting time resolution to ps
    -@N: : PCSD.vhd(24) | Top entity is set to PCSD.
    -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd changed - recompiling
    -File /home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.vhd changed - recompiling
    -VHDL syntax check successful!
    -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD.vhd changed - recompiling
    -@N:CD630 : PCSD.vhd(24) | Synthesizing work.pcsd.v1.
    -Post processing for work.pcsd.v1
    -
    -At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 74MB peak: 76MB)
    -
    -
    -Process completed successfully.
    -# Tue Apr 30 12:09:45 2019
    -
    -###########################################################]
    -Running on host :lxhadeb07
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/ecp5um.v" (library work)
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/lucent/pmi_def.v" (library work)
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/hypermods.v" (library __hyper__lib__)
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/umr_capim.v" (library snps_haps)
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_objects.v" (library snps_haps)
    -@I::"/home/soft/lattice/diamond/3.10_x64/synpbase/lib/vlog/scemi_pipes.svh" (library snps_haps)
    -@I::"/home/adrian/git/trb5sc/template/project/sgmii/PCSD/PCSD_softlogic.v" (library work)
    -Verilog syntax check successful!
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -Could not match passed parameter, trying a case insensitive search ...
    -@N:CG364 : PCSD_softlogic.v(92) | Synthesizing module PCSDrsl_core in library work.
    -
    -	pnum_channels=32'b00000000000000000000000000000001
    -	pprotocol=24'b010001110100001001000101
    -	pserdes_mode=72'b010100100101100000100000010000010100111001000100001000000101010001011000
    -	pport_tx_rdy=64'b0100010001001001010100110100000101000010010011000100010101000100
    -	pwait_tx_rdy=32'b00000000000000000000101110111000
    -	pport_rx_rdy=64'b0100010001001001010100110100000101000010010011000100010101000100
    -	pwait_rx_rdy=32'b00000000000000000000101110111000
    -	wa_num_cycles=32'b00000000000000000000010000000000
    -	dac_num_cycles=32'b00000000000000000000000000000011
    -	lreset_pwidth=32'b00000000000000000000000000000011
    -	lwait_b4_trst=32'b00000000000010111110101111000010
    -	lwait_b4_trst_s=32'b00000000000000000000001100001101
    -	lplol_cnt_width=32'b00000000000000000000000000010100
    -	lwait_after_plol0=32'b00000000000000000000000000000100
    -	lwait_b4_rrst=32'b00000000000000101100000000000000
    -	lrrst_wait_width=32'b00000000000000000000000000010100
    -	lwait_after_rrst=32'b00000000000011000011010100000000
    -	lwait_b4_rrst_s=32'b00000000000000000000000111001100
    -	lrlol_cnt_width=32'b00000000000000000000000000010011
    -	lwait_after_lols=32'b00000000000000001100010000000000
    -	lwait_after_lols_s=32'b00000000000000000000000010010110
    -	llols_cnt_width=32'b00000000000000000000000000010010
    -	lrdb_max=32'b00000000000000000000000000001111
    -	ltxr_wait_width=32'b00000000000000000000000000001100
    -	lrxr_wait_width=32'b00000000000000000000000000001100
    -   Generated name = PCSDrsl_core_Z1_layer1
    -@W:CG360 : PCSD_softlogic.v(274) | Removing wire dual_or_serd_rst, as there is no assignment to it.
    -@W:CG360 : PCSD_softlogic.v(275) | Removing wire tx_any_pcs_rst, as there is no assignment to it.
    -@W:CG360 : PCSD_softlogic.v(276) | Removing wire tx_any_rst, as there is no assignment to it.
    -@W:CG133 : PCSD_softlogic.v(277) | Object txsr_appd is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(278) | Object txdpr_appd is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(279) | Object txpr_appd is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(280) | Object txr_wt_en is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(281) | Object txr_wt_cnt is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG360 : PCSD_softlogic.v(282) | Removing wire txr_wt_tc, as there is no assignment to it.
    -@W:CG133 : PCSD_softlogic.v(283) | Object ruo_tx_rdyr is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(326) | Object rrst_cnt is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG360 : PCSD_softlogic.v(327) | Removing wire rrst_cnt_tc, as there is no assignment to it.
    -@W:CG133 : PCSD_softlogic.v(328) | Object rrst_wait is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(341) | Object rxp_cnt is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(342) | Object rxp_rst is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG360 : PCSD_softlogic.v(343) | Removing wire rxp_cnt_tc, as there is no assignment to it.
    -@W:CG133 : PCSD_softlogic.v(346) | Object rlolsz_cnt is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG360 : PCSD_softlogic.v(347) | Removing wire rlolsz_cnt_tc, as there is no assignment to it.
    -@W:CG360 : PCSD_softlogic.v(350) | Removing wire rxp_cnt2_tc, as there is no assignment to it.
    -@W:CG133 : PCSD_softlogic.v(351) | Object data_loop_b_cnt is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(352) | Object data_loop_b is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG360 : PCSD_softlogic.v(353) | Removing wire data_loop_b_tc, as there is no assignment to it.
    -@W:CG133 : PCSD_softlogic.v(356) | Object rxsr_appd is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(357) | Object rxpr_appd is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(358) | Object rxsdr_appd is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(359) | Object rxdpr_appd is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG360 : PCSD_softlogic.v(360) | Removing wire rxsdr_or_sr_appd, as there is no assignment to it.
    -@W:CG360 : PCSD_softlogic.v(361) | Removing wire dual_or_rserd_rst, as there is no assignment to it.
    -@W:CG360 : PCSD_softlogic.v(362) | Removing wire rx_any_pcs_rst, as there is no assignment to it.
    -@W:CG360 : PCSD_softlogic.v(363) | Removing wire rx_any_rst, as there is no assignment to it.
    -@W:CG133 : PCSD_softlogic.v(364) | Object rxr_wt_en is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(365) | Object rxr_wt_cnt is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG360 : PCSD_softlogic.v(366) | Removing wire rxr_wt_tc, as there is no assignment to it.
    -@W:CG133 : PCSD_softlogic.v(367) | Object ruo_rx_rdyr is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(507) | Object m is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CG133 : PCSD_softlogic.v(880) | Object l is declared but not assigned. Either assign a value or remove the declaration.
    -@W:CL169 : PCSD_softlogic.v(806) | Pruning unused register genblk2.rxp_cnt2[2:0]. Make sure that there are no unused intermediate registers.
    -@W:CL169 : PCSD_softlogic.v(567) | Pruning unused register genblk2.rlol_p3. Make sure that there are no unused intermediate registers.
    -@W:CL169 : PCSD_softlogic.v(567) | Pruning unused register genblk2.rlos_p3. Make sure that there are no unused intermediate registers.
    -@W:CL190 : PCSD_softlogic.v(694) | Optimizing register bit genblk2.rxs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance.
    -@W:CL190 : PCSD_softlogic.v(461) | Optimizing register bit genblk1.txp_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance.
    -@W:CL190 : PCSD_softlogic.v(422) | Optimizing register bit genblk1.txs_cnt[2] to a constant 0. To keep the instance, apply constraint syn_preserve=1 on the instance.
    -@W:CL260 : PCSD_softlogic.v(422) | Pruning register bit 2 of genblk1.txs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level.
    -@W:CL260 : PCSD_softlogic.v(461) | Pruning register bit 2 of genblk1.txp_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level.
    -@W:CL260 : PCSD_softlogic.v(694) | Pruning register bit 2 of genblk2.rxs_cnt[2:0]. If this is not the intended behavior, drive the input with valid values, or an input from the top level.
    -@W:CL246 : PCSD_softlogic.v(200) | Input port bits 3 to 1 of rui_tx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size.
    -@W:CL246 : PCSD_softlogic.v(204) | Input port bits 3 to 1 of rui_rx_serdes_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size.
    -@W:CL246 : PCSD_softlogic.v(205) | Input port bits 3 to 1 of rui_rx_pcs_rst_c[3:0] are unused. Assign logic for all port bits or change the input port size.
    -@W:CL246 : PCSD_softlogic.v(206) | Input port bits 3 to 1 of rdi_rx_los_low_s[3:0] are unused. Assign logic for all port bits or change the input port size.
    -@W:CL246 : PCSD_softlogic.v(207) | Input port bits 3 to 1 of rdi_rx_cdr_lol_s[3:0] are unused. Assign logic for all port bits or change the input port size.
    -
    -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 75MB)
    -
    -
    -Process completed successfully.
    -# Tue Apr 30 12:09:45 2019
    -
    -###########################################################]
    -Synopsys Netlist Linker, version comp2017q2p1, Build 190R, built Aug  4 2017
    -@N: :  | Running in 64-bit mode 
    -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/layer0.srs changed - recompiling
    -File /home/adrian/git/trb5sc/template/project/sgmii/PCSD/syn_results/synwork/layer1.srs changed - recompiling
    -
    -=======================================================================================
    -For a summary of linker messages for components that did not bind, please see log file:
    -Linked File: PCSD_comp.linkerlog
    -=======================================================================================
    -
    -
    -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 69MB)
    -
    -Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    -
    -Process completed successfully.
    -# Tue Apr 30 12:09:46 2019
    -
    -###########################################################]
    -@END
    -
    -At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 3MB peak: 4MB)
    -
    -Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    -
    -Process completed successfully.
    -# Tue Apr 30 12:09:46 2019
    -
    -###########################################################]
    -
    -