From 41d7e25b301d468ae14d6b3613158e68d266a326 Mon Sep 17 00:00:00 2001 From: Adrian Weber Date: Mon, 1 Feb 2021 16:01:01 +0100 Subject: [PATCH] transport the local bhoard address to the calibration to allow for special tratment of onboard TDCs --- src/cri_cbm_rich_calib.vhd | 3 +++ src/cri_data_sender.vhd | 2 ++ 2 files changed, 5 insertions(+) diff --git a/src/cri_cbm_rich_calib.vhd b/src/cri_cbm_rich_calib.vhd index 9f0cb10..fb9f79c 100644 --- a/src/cri_cbm_rich_calib.vhd +++ b/src/cri_cbm_rich_calib.vhd @@ -27,6 +27,8 @@ entity cbm_rich_calib is CRI_APL_DATAREADY_OUT : out std_logic; CRI_CALIB_EOD_OUT : out std_logic; + MY_ADDRESS_IN : in std_logic_vector(15 downto 0); + BUS_RX : in CTRLBUS_RX; BUS_TX : out CTRLBUS_TX ); @@ -379,6 +381,7 @@ begin DIN_TYPE => dtype, DIN_READY => data_rdy, DIN_STAT => (others => '0'), + MY_ADDRESS_IN => MY_ADDRESS_IN, FPGA_in => dirich_addr_i, TRIGG_TYPE => trigger_code_i(11 downto 8), diff --git a/src/cri_data_sender.vhd b/src/cri_data_sender.vhd index a972383..a511271 100644 --- a/src/cri_data_sender.vhd +++ b/src/cri_data_sender.vhd @@ -756,6 +756,8 @@ begin CRI_APL_DATAREADY_OUT => cri_apl_dataready_2api_q, CRI_CALIB_EOD_OUT => calib_finished_q, + MY_ADDRESS_IN => MY_ADDRESS_IN, + BUS_RX => BUS_CALIBRATON_RX, BUS_TX => BUS_CALIBRATON_TX ); -- 2.43.0