From 448fef6183af849ac817d9af145587e7e0a4c53f Mon Sep 17 00:00:00 2001 From: Thomas Gessler Date: Thu, 17 Sep 2020 17:53:50 +0200 Subject: [PATCH] hub_test: Add In-System IBERT core for two links --- hub_test/hub_test.xpr | 7 + .../in_system_ibert_0/in_system_ibert_0.xci | 339 + .../in_system_ibert_0/in_system_ibert_0.xml | 17124 ++++++++++++++++ hub_test/src/hub_test.vhd | 81 +- 4 files changed, 17550 insertions(+), 1 deletion(-) create mode 100644 hub_test/ip/in_system_ibert_0/in_system_ibert_0.xci create mode 100644 hub_test/ip/in_system_ibert_0/in_system_ibert_0.xml diff --git a/hub_test/hub_test.xpr b/hub_test/hub_test.xpr index 4c381b5..6280768 100644 --- a/hub_test/hub_test.xpr +++ b/hub_test/hub_test.xpr @@ -116,6 +116,13 @@ + + + + + + + diff --git a/hub_test/ip/in_system_ibert_0/in_system_ibert_0.xci b/hub_test/ip/in_system_ibert_0/in_system_ibert_0.xci new file mode 100644 index 0000000..9e48b6e --- /dev/null +++ b/hub_test/ip/in_system_ibert_0/in_system_ibert_0.xci @@ -0,0 +1,339 @@ + + + xilinx.com + xci + unknown + 1.0 + + + in_system_ibert_0 + + + + + 100000000 + 0 + 0 + 0.000 + + + + 100000000 + 0 + 0 + 0.000 + true + true + in_system_ibert_0 + 9 + 0 + "0000000000001000" + "0000000000001001" + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + GTHE3 + 2 + "0000000001111110" + "0000000001111110" + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 0000000000000000 + 4 + 0 + kintexu + in_system_ibert_0 + false + X0Y9 X0Y8 + GTH + 1 + 0 + false + in_system_ibert_0 + kintexu + + + xcku115 + flvf1924 + VHDL + + MIXED + -2 + + E + TRUE + TRUE + IP_Flow + 11 + TRUE + . + + . + 2020.1 + OUT_OF_CONTEXT + + + + + + + + + + + + diff --git a/hub_test/ip/in_system_ibert_0/in_system_ibert_0.xml b/hub_test/ip/in_system_ibert_0/in_system_ibert_0.xml new file mode 100644 index 0000000..5845cd8 --- /dev/null +++ b/hub_test/ip/in_system_ibert_0/in_system_ibert_0.xml @@ -0,0 +1,17124 @@ + + + xilinx.com + customized_ip + in_system_ibert_0 + 1.0 + + + system_clock + + + + + + + CLK + + + clk + + + + + + FREQ_HZ + sysclk frequency + system clock frequency + 100000000 + + + FREQ_TOLERANCE_HZ + 0 + + + none + + + + + PHASE + 0.000 + + + none + + + + + CLK_DOMAIN + + + + none + + + + + ASSOCIATED_BUSIF + + + + none + + + + + ASSOCIATED_RESET + + + + none + + + + + INSERT_VIP + 0 + + + simulation.rtl + + + + + + + drpclk + drpclk_o + DRP clock for Ultrascale transceiver + + + + + + + CLK + + + drpclk_o + + + + + + ASSOCIATED_BUSIF + GT0_DRP:GT1_DRP + + + FREQ_HZ + 100000000 + + + none + + + + + FREQ_TOLERANCE_HZ + 0 + + + none + + + + + PHASE + 0.000 + + + none + + + + + CLK_DOMAIN + + + + none + + + + + ASSOCIATED_RESET + + + + none + + + + + INSERT_VIP + 0 + + + simulation.rtl + + + + + + + GT0_DRP + GT0_DRP + DRP IF for Ultrascale GT0 + + + + + + + DADDR + + + gt0_drpaddr_o + + + + + DEN + + + gt0_drpen_o + + + + + DI + + + gt0_drpdi_o + + + + + DO + + + gt0_drpdo_i + + + + + DRDY + + + gt0_drprdy_i + + + + + DWE + + + gt0_drpwe_o + + + + + + + true + + + + + + GT1_DRP + GT1_DRP + DRP IF for Ultrascale GT1 + + + + + + + DADDR + + + gt1_drpaddr_o + + + + + DEN + + + gt1_drpen_o + + + + + DI + + + gt1_drpdi_o + + + + + DO + + + gt1_drpdo_i + + + + + DRDY + + + gt1_drprdy_i + + + + + DWE + + + gt1_drpwe_o + + + + + + + true + + + + + + GT2_DRP + GT2_DRP + DRP IF for Ultrascale GT2 + + + + + + + DADDR + + + gt2_drpaddr_o + + + + + DEN + + + gt2_drpen_o + + + + + DI + + + gt2_drpdi_o + + + + + DO + + + gt2_drpdo_i + + + + + DRDY + + + gt2_drprdy_i + + + + + DWE + + + gt2_drpwe_o + + + + + + + false + + + + + + GT3_DRP + GT3_DRP + DRP IF for Ultrascale GT3 + + + + + + + DADDR + + + gt3_drpaddr_o + + + + + DEN + + + gt3_drpen_o + + + + + DI + + + gt3_drpdi_o + + + + + DO + + + gt3_drpdo_i + + + + + DRDY + + + gt3_drprdy_i + + + + + DWE + + + gt3_drpwe_o + + + + + + + false + + + + + + GT4_DRP + GT4_DRP + DRP IF for Ultrascale GT4 + + + + + + + DADDR + + + gt4_drpaddr_o + + + + + DEN + + + gt4_drpen_o + + + + + DI + + + gt4_drpdi_o + + + + + DO + + + gt4_drpdo_i + + + + + DRDY + + + gt4_drprdy_i + + + + + DWE + + + gt4_drpwe_o + + + + + + + false + + + + + + GT5_DRP + GT5_DRP + DRP IF for Ultrascale GT5 + + + + + + + DADDR + + + gt5_drpaddr_o + + + + + DEN + + + gt5_drpen_o + + + + + DI + + + gt5_drpdi_o + + + + + DO + + + gt5_drpdo_i + + + + + DRDY + + + gt5_drprdy_i + + + + + DWE + + + gt5_drpwe_o + + + + + + + false + + + + + + GT6_DRP + GT6_DRP + DRP IF for Ultrascale GT6 + + + + + + + DADDR + + + gt6_drpaddr_o + + + + + DEN + + + gt6_drpen_o + + + + + DI + + + gt6_drpdi_o + + + + + DO + + + gt6_drpdo_i + + + + + DRDY + + + gt6_drprdy_i + + + + + DWE + + + gt6_drpwe_o + + + + + + + false + + + + + + GT7_DRP + GT7_DRP + DRP IF for Ultrascale GT7 + + + + + + + DADDR + + + gt7_drpaddr_o + + + + + DEN + + + gt7_drpen_o + + + + + DI + + + gt7_drpdi_o + + + + + DO + + + gt7_drpdo_i + + + + + DRDY + + + gt7_drprdy_i + + + + + DWE + + + gt7_drpwe_o + + + + + + + false + + + + + + GT8_DRP + GT8_DRP + DRP IF for Ultrascale GT8 + + + + + + + DADDR + + + gt8_drpaddr_o + + + + + DEN + + + gt8_drpen_o + + + + + DI + + + gt8_drpdi_o + + + + + DO + + + gt8_drpdo_i + + + + + DRDY + + + gt8_drprdy_i + + + + + DWE + + + gt8_drpwe_o + + + + + + + false + + + + + + GT9_DRP + GT9_DRP + DRP IF for Ultrascale GT9 + + + + + + + DADDR + + + gt9_drpaddr_o + + + + + DEN + + + gt9_drpen_o + + + + + DI + + + gt9_drpdi_o + + + + + DO + + + gt9_drpdo_i + + + + + DRDY + + + gt9_drprdy_i + + + + + DWE + + + gt9_drpwe_o + + + + + + + false + + + + + + GT10_DRP + GT10_DRP + DRP IF for Ultrascale GT10 + + + + + + + DADDR + + + gt10_drpaddr_o + + + + + DEN + + + gt10_drpen_o + + + + + DI + + + gt10_drpdi_o + + + + + DO + + + gt10_drpdo_i + + + + + DRDY + + + gt10_drprdy_i + + + + + DWE + + + gt10_drpwe_o + + + + + + + false + + + + + + GT11_DRP + GT11_DRP + DRP IF for Ultrascale GT11 + + + + + + + DADDR + + + gt11_drpaddr_o + + + + + DEN + + + gt11_drpen_o + + + + + DI + + + gt11_drpdi_o + + + + + DO + + + gt11_drpdo_i + + + + + DRDY + + + gt11_drprdy_i + + + + + DWE + + + gt11_drpwe_o + + + + + + + false + + + + + + GT12_DRP + GT12_DRP + DRP IF for Ultrascale GT12 + + + + + + + DADDR + + + gt12_drpaddr_o + + + + + DEN + + + gt12_drpen_o + + + + + DI + + + gt12_drpdi_o + + + + + DO + + + gt12_drpdo_i + + + + + DRDY + + + gt12_drprdy_i + + + + + DWE + + + gt12_drpwe_o + + + + + + + false + + + + + + GT13_DRP + GT13_DRP + DRP IF for Ultrascale GT13 + + + + + + + DADDR + + + gt13_drpaddr_o + + + + + DEN + + + gt13_drpen_o + + + + + DI + + + gt13_drpdi_o + + + + + DO + + + gt13_drpdo_i + + + + + DRDY + + + gt13_drprdy_i + + + + + DWE + + + gt13_drpwe_o + + + + + + + false + + + + + + GT14_DRP + GT14_DRP + DRP IF for Ultrascale GT14 + + + + + + + DADDR + + + gt14_drpaddr_o + + + + + DEN + + + gt14_drpen_o + + + + + DI + + + gt14_drpdi_o + + + + + DO + + + gt14_drpdo_i + + + + + DRDY + + + gt14_drprdy_i + + + + + DWE + + + gt14_drpwe_o + + + + + + + false + + + + + + GT15_DRP + GT15_DRP + DRP IF for Ultrascale GT15 + + + + + + + DADDR + + + gt15_drpaddr_o + + + + + DEN + + + gt15_drpen_o + + + + + DI + + + gt15_drpdi_o + + + + + DO + + + gt15_drpdo_i + + + + + DRDY + + + gt15_drprdy_i + + + + + DWE + + + gt15_drpwe_o + + + + + + + false + + + + + + GT16_DRP + GT16_DRP + DRP IF for Ultrascale GT16 + + + + + + + DADDR + + + gt16_drpaddr_o + + + + + DEN + + + gt16_drpen_o + + + + + DI + + + gt16_drpdi_o + + + + + DO + + + gt16_drpdo_i + + + + + DRDY + + + gt16_drprdy_i + + + + + DWE + + + gt16_drpwe_o + + + + + + + false + + + + + + GT17_DRP + GT17_DRP + DRP IF for Ultrascale GT17 + + + + + + + DADDR + + + gt17_drpaddr_o + + + + + DEN + + + gt17_drpen_o + + + + + DI + + + gt17_drpdi_o + + + + + DO + + + gt17_drpdo_i + + + + + DRDY + + + gt17_drprdy_i + + + + + DWE + + + gt17_drpwe_o + + + + + + + false + + + + + + GT18_DRP + GT18_DRP + DRP IF for Ultrascale GT18 + + + + + + + DADDR + + + gt18_drpaddr_o + + + + + DEN + + + gt18_drpen_o + + + + + DI + + + gt18_drpdi_o + + + + + DO + + + gt18_drpdo_i + + + + + DRDY + + + gt18_drprdy_i + + + + + DWE + + + gt18_drpwe_o + + + + + + + false + + + + + + GT19_DRP + GT19_DRP + DRP IF for Ultrascale GT19 + + + + + + + DADDR + + + gt19_drpaddr_o + + + + + DEN + + + gt19_drpen_o + + + + + DI + + + gt19_drpdi_o + + + + + DO + + + gt19_drpdo_i + + + + + DRDY + + + gt19_drprdy_i + + + + + DWE + + + gt19_drpwe_o + + + + + + + false + + + + + + GT20_DRP + GT20_DRP + DRP IF for Ultrascale GT20 + + + + + + + DADDR + + + gt20_drpaddr_o + + + + + DEN + + + gt20_drpen_o + + + + + DI + + + gt20_drpdi_o + + + + + DO + + + gt20_drpdo_i + + + + + DRDY + + + gt20_drprdy_i + + + + + DWE + + + gt20_drpwe_o + + + + + + + false + + + + + + GT21_DRP + GT21_DRP + DRP IF for Ultrascale GT21 + + + + + + + DADDR + + + gt21_drpaddr_o + + + + + DEN + + + gt21_drpen_o + + + + + DI + + + gt21_drpdi_o + + + + + DO + + + gt21_drpdo_i + + + + + DRDY + + + gt21_drprdy_i + + + + + DWE + + + gt21_drpwe_o + + + + + + + false + + + + + + GT22_DRP + GT22_DRP + DRP IF for Ultrascale GT22 + + + + + + + DADDR + + + gt22_drpaddr_o + + + + + DEN + + + gt22_drpen_o + + + + + DI + + + gt22_drpdi_o + + + + + DO + + + gt22_drpdo_i + + + + + DRDY + + + gt22_drprdy_i + + + + + DWE + + + gt22_drpwe_o + + + + + + + false + + + + + + GT23_DRP + GT23_DRP + DRP IF for Ultrascale GT23 + + + + + + + DADDR + + + gt23_drpaddr_o + + + + + DEN + + + gt23_drpen_o + + + + + DI + + + gt23_drpdi_o + + + + + DO + + + gt23_drpdo_i + + + + + DRDY + + + gt23_drprdy_i + + + + + DWE + + + gt23_drpwe_o + + + + + + + false + + + + + + GT24_DRP + GT24_DRP + DRP IF for Ultrascale GT24 + + + + + + + DADDR + + + gt24_drpaddr_o + + + + + DEN + + + gt24_drpen_o + + + + + DI + + + gt24_drpdi_o + + + + + DO + + + gt24_drpdo_i + + + + + DRDY + + + gt24_drprdy_i + + + + + DWE + + + gt24_drpwe_o + + + + + + + false + + + + + + GT25_DRP + GT25_DRP + DRP IF for Ultrascale GT25 + + + + + + + DADDR + + + gt25_drpaddr_o + + + + + DEN + + + gt25_drpen_o + + + + + DI + + + gt25_drpdi_o + + + + + DO + + + gt25_drpdo_i + + + + + DRDY + + + gt25_drprdy_i + + + + + DWE + + + gt25_drpwe_o + + + + + + + false + + + + + + GT26_DRP + GT26_DRP + DRP IF for Ultrascale GT26 + + + + + + + DADDR + + + gt26_drpaddr_o + + + + + DEN + + + gt26_drpen_o + + + + + DI + + + gt26_drpdi_o + + + + + DO + + + gt26_drpdo_i + + + + + DRDY + + + gt26_drprdy_i + + + + + DWE + + + gt26_drpwe_o + + + + + + + false + + + + + + GT27_DRP + GT27_DRP + DRP IF for Ultrascale GT27 + + + + + + + DADDR + + + gt27_drpaddr_o + + + + + DEN + + + gt27_drpen_o + + + + + DI + + + gt27_drpdi_o + + + + + DO + + + gt27_drpdo_i + + + + + DRDY + + + gt27_drprdy_i + + + + + DWE + + + gt27_drpwe_o + + + + + + + false + + + + + + GT28_DRP + GT28_DRP + DRP IF for Ultrascale GT28 + + + + + + + DADDR + + + gt28_drpaddr_o + + + + + DEN + + + gt28_drpen_o + + + + + DI + + + gt28_drpdi_o + + + + + DO + + + gt28_drpdo_i + + + + + DRDY + + + gt28_drprdy_i + + + + + DWE + + + gt28_drpwe_o + + + + + + + false + + + + + + GT29_DRP + GT29_DRP + DRP IF for Ultrascale GT29 + + + + + + + DADDR + + + gt29_drpaddr_o + + + + + DEN + + + gt29_drpen_o + + + + + DI + + + gt29_drpdi_o + + + + + DO + + + gt29_drpdo_i + + + + + DRDY + + + gt29_drprdy_i + + + + + DWE + + + gt29_drpwe_o + + + + + + + false + + + + + + GT30_DRP + GT30_DRP + DRP IF for Ultrascale GT30 + + + + + + + DADDR + + + gt30_drpaddr_o + + + + + DEN + + + gt30_drpen_o + + + + + DI + + + gt30_drpdi_o + + + + + DO + + + gt30_drpdo_i + + + + + DRDY + + + gt30_drprdy_i + + + + + DWE + + + gt30_drpwe_o + + + + + + + false + + + + + + GT31_DRP + GT31_DRP + DRP IF for Ultrascale GT31 + + + + + + + DADDR + + + gt31_drpaddr_o + + + + + DEN + + + gt31_drpen_o + + + + + DI + + + gt31_drpdi_o + + + + + DO + + + gt31_drpdo_i + + + + + DRDY + + + gt31_drprdy_i + + + + + DWE + + + gt31_drpwe_o + + + + + + + false + + + + + + GT32_DRP + GT32_DRP + DRP IF for Ultrascale GT32 + + + + + + + DADDR + + + gt32_drpaddr_o + + + + + DEN + + + gt32_drpen_o + + + + + DI + + + gt32_drpdi_o + + + + + DO + + + gt32_drpdo_i + + + + + DRDY + + + gt32_drprdy_i + + + + + DWE + + + gt32_drpwe_o + + + + + + + false + + + + + + GT33_DRP + GT33_DRP + DRP IF for Ultrascale GT33 + + + + + + + DADDR + + + gt33_drpaddr_o + + + + + DEN + + + gt33_drpen_o + + + + + DI + + + gt33_drpdi_o + + + + + DO + + + gt33_drpdo_i + + + + + DRDY + + + gt33_drprdy_i + + + + + DWE + + + gt33_drpwe_o + + + + + + + false + + + + + + GT34_DRP + GT34_DRP + DRP IF for Ultrascale GT34 + + + + + + + DADDR + + + gt34_drpaddr_o + + + + + DEN + + + gt34_drpen_o + + + + + DI + + + gt34_drpdi_o + + + + + DO + + + gt34_drpdo_i + + + + + DRDY + + + gt34_drprdy_i + + + + + DWE + + + gt34_drpwe_o + + + + + + + false + + + + + + GT35_DRP + GT35_DRP + DRP IF for Ultrascale GT35 + + + + + + + DADDR + + + gt35_drpaddr_o + + + + + DEN + + + gt35_drpen_o + + + + + DI + + + gt35_drpdi_o + + + + + DO + + + gt35_drpdo_i + + + + + DRDY + + + gt35_drprdy_i + + + + + DWE + + + gt35_drpwe_o + + + + + + + false + + + + + + GT36_DRP + GT36_DRP + DRP IF for Ultrascale GT36 + + + + + + + DADDR + + + gt36_drpaddr_o + + + + + DEN + + + gt36_drpen_o + + + + + DI + + + gt36_drpdi_o + + + + + DO + + + gt36_drpdo_i + + + + + DRDY + + + gt36_drprdy_i + + + + + DWE + + + gt36_drpwe_o + + + + + + + false + + + + + + GT37_DRP + GT37_DRP + DRP IF for Ultrascale GT37 + + + + + + + DADDR + + + gt37_drpaddr_o + + + + + DEN + + + gt37_drpen_o + + + + + DI + + + gt37_drpdi_o + + + + + DO + + + gt37_drpdo_i + + + + + DRDY + + + gt37_drprdy_i + + + + + DWE + + + gt37_drpwe_o + + + + + + + false + + + + + + GT38_DRP + GT38_DRP + DRP IF for Ultrascale GT38 + + + + + + + DADDR + + + gt38_drpaddr_o + + + + + DEN + + + gt38_drpen_o + + + + + DI + + + gt38_drpdi_o + + + + + DO + + + gt38_drpdo_i + + + + + DRDY + + + gt38_drprdy_i + + + + + DWE + + + gt38_drpwe_o + + + + + + + false + + + + + + GT39_DRP + GT39_DRP + DRP IF for Ultrascale GT39 + + + + + + + DADDR + + + gt39_drpaddr_o + + + + + DEN + + + gt39_drpen_o + + + + + DI + + + gt39_drpdi_o + + + + + DO + + + gt39_drpdo_i + + + + + DRDY + + + gt39_drprdy_i + + + + + DWE + + + gt39_drpwe_o + + + + + + + false + + + + + + GT40_DRP + GT40_DRP + DRP IF for Ultrascale GT40 + + + + + + + DADDR + + + gt40_drpaddr_o + + + + + DEN + + + gt40_drpen_o + + + + + DI + + + gt40_drpdi_o + + + + + DO + + + gt40_drpdo_i + + + + + DRDY + + + gt40_drprdy_i + + + + + DWE + + + gt40_drpwe_o + + + + + + + false + + + + + + GT41_DRP + GT41_DRP + DRP IF for Ultrascale GT41 + + + + + + + DADDR + + + gt41_drpaddr_o + + + + + DEN + + + gt41_drpen_o + + + + + DI + + + gt41_drpdi_o + + + + + DO + + + gt41_drpdo_i + + + + + DRDY + + + gt41_drprdy_i + + + + + DWE + + + gt41_drpwe_o + + + + + + + false + + + + + + GT42_DRP + GT42_DRP + DRP IF for Ultrascale GT42 + + + + + + + DADDR + + + gt42_drpaddr_o + + + + + DEN + + + gt42_drpen_o + + + + + DI + + + gt42_drpdi_o + + + + + DO + + + gt42_drpdo_i + + + + + DRDY + + + gt42_drprdy_i + + + + + DWE + + + gt42_drpwe_o + + + + + + + false + + + + + + GT43_DRP + GT43_DRP + DRP IF for Ultrascale GT43 + + + + + + + DADDR + + + gt43_drpaddr_o + + + + + DEN + + + gt43_drpen_o + + + + + DI + + + gt43_drpdi_o + + + + + DO + + + gt43_drpdo_i + + + + + DRDY + + + gt43_drprdy_i + + + + + DWE + + + gt43_drpwe_o + + + + + + + false + + + + + + GT44_DRP + GT44_DRP + DRP IF for Ultrascale GT44 + + + + + + + DADDR + + + gt44_drpaddr_o + + + + + DEN + + + gt44_drpen_o + + + + + DI + + + gt44_drpdi_o + + + + + DO + + + gt44_drpdo_i + + + + + DRDY + + + gt44_drprdy_i + + + + + DWE + + + gt44_drpwe_o + + + + + + + false + + + + + + GT45_DRP + GT45_DRP + DRP IF for Ultrascale GT45 + + + + + + + DADDR + + + gt45_drpaddr_o + + + + + DEN + + + gt45_drpen_o + + + + + DI + + + gt45_drpdi_o + + + + + DO + + + gt45_drpdo_i + + + + + DRDY + + + gt45_drprdy_i + + + + + DWE + + + gt45_drpwe_o + + + + + + + false + + + + + + GT46_DRP + GT46_DRP + DRP IF for Ultrascale GT46 + + + + + + + DADDR + + + gt46_drpaddr_o + + + + + DEN + + + gt46_drpen_o + + + + + DI + + + gt46_drpdi_o + + + + + DO + + + gt46_drpdo_i + + + + + DRDY + + + gt46_drprdy_i + + + + + DWE + + + gt46_drpwe_o + + + + + + + false + + + + + + GT47_DRP + GT47_DRP + DRP IF for Ultrascale GT47 + + + + + + + DADDR + + + gt47_drpaddr_o + + + + + DEN + + + gt47_drpen_o + + + + + DI + + + gt47_drpdi_o + + + + + DO + + + gt47_drpdo_i + + + + + DRDY + + + gt47_drprdy_i + + + + + DWE + + + gt47_drpwe_o + + + + + + + false + + + + + + GT48_DRP + GT48_DRP + DRP IF for Ultrascale GT48 + + + + + + + DADDR + + + gt48_drpaddr_o + + + + + DEN + + + gt48_drpen_o + + + + + DI + + + gt48_drpdi_o + + + + + DO + + + gt48_drpdo_i + + + + + DRDY + + + gt48_drprdy_i + + + + + DWE + + + gt48_drpwe_o + + + + + + + false + + + + + + GT49_DRP + GT49_DRP + DRP IF for Ultrascale GT49 + + + + + + + DADDR + + + gt49_drpaddr_o + + + + + DEN + + + gt49_drpen_o + + + + + DI + + + gt49_drpdi_o + + + + + DO + + + gt49_drpdo_i + + + + + DRDY + + + gt49_drprdy_i + + + + + DWE + + + gt49_drpwe_o + + + + + + + false + + + + + + GT50_DRP + GT50_DRP + DRP IF for Ultrascale GT50 + + + + + + + DADDR + + + gt50_drpaddr_o + + + + + DEN + + + gt50_drpen_o + + + + + DI + + + gt50_drpdi_o + + + + + DO + + + gt50_drpdo_i + + + + + DRDY + + + gt50_drprdy_i + + + + + DWE + + + gt50_drpwe_o + + + + + + + false + + + + + + GT51_DRP + GT51_DRP + DRP IF for Ultrascale GT51 + + + + + + + DADDR + + + gt51_drpaddr_o + + + + + DEN + + + gt51_drpen_o + + + + + DI + + + gt51_drpdi_o + + + + + DO + + + gt51_drpdo_i + + + + + DRDY + + + gt51_drprdy_i + + + + + DWE + + + gt51_drpwe_o + + + + + + + false + + + + + + GT52_DRP + GT52_DRP + DRP IF for Ultrascale GT52 + + + + + + + DADDR + + + gt52_drpaddr_o + + + + + DEN + + + gt52_drpen_o + + + + + DI + + + gt52_drpdi_o + + + + + DO + + + gt52_drpdo_i + + + + + DRDY + + + gt52_drprdy_i + + + + + DWE + + + gt52_drpwe_o + + + + + + + false + + + + + + GT53_DRP + GT53_DRP + DRP IF for Ultrascale GT53 + + + + + + + DADDR + + + gt53_drpaddr_o + + + + + DEN + + + gt53_drpen_o + + + + + DI + + + gt53_drpdi_o + + + + + DO + + + gt53_drpdo_i + + + + + DRDY + + + gt53_drprdy_i + + + + + DWE + + + gt53_drpwe_o + + + + + + + false + + + + + + GT54_DRP + GT54_DRP + DRP IF for Ultrascale GT54 + + + + + + + DADDR + + + gt54_drpaddr_o + + + + + DEN + + + gt54_drpen_o + + + + + DI + + + gt54_drpdi_o + + + + + DO + + + gt54_drpdo_i + + + + + DRDY + + + gt54_drprdy_i + + + + + DWE + + + gt54_drpwe_o + + + + + + + false + + + + + + GT55_DRP + GT55_DRP + DRP IF for Ultrascale GT55 + + + + + + + DADDR + + + gt55_drpaddr_o + + + + + DEN + + + gt55_drpen_o + + + + + DI + + + gt55_drpdi_o + + + + + DO + + + gt55_drpdo_i + + + + + DRDY + + + gt55_drprdy_i + + + + + DWE + + + gt55_drpwe_o + + + + + + + false + + + + + + GT56_DRP + GT56_DRP + DRP IF for Ultrascale GT56 + + + + + + + DADDR + + + gt56_drpaddr_o + + + + + DEN + + + gt56_drpen_o + + + + + DI + + + gt56_drpdi_o + + + + + DO + + + gt56_drpdo_i + + + + + DRDY + + + gt56_drprdy_i + + + + + DWE + + + gt56_drpwe_o + + + + + + + false + + + + + + GT57_DRP + GT57_DRP + DRP IF for Ultrascale GT57 + + + + + + + DADDR + + + gt57_drpaddr_o + + + + + DEN + + + gt57_drpen_o + + + + + DI + + + gt57_drpdi_o + + + + + DO + + + gt57_drpdo_i + + + + + DRDY + + + gt57_drprdy_i + + + + + DWE + + + gt57_drpwe_o + + + + + + + false + + + + + + GT58_DRP + GT58_DRP + DRP IF for Ultrascale GT58 + + + + + + + DADDR + + + gt58_drpaddr_o + + + + + DEN + + + gt58_drpen_o + + + + + DI + + + gt58_drpdi_o + + + + + DO + + + gt58_drpdo_i + + + + + DRDY + + + gt58_drprdy_i + + + + + DWE + + + gt58_drpwe_o + + + + + + + false + + + + + + GT59_DRP + GT59_DRP + DRP IF for Ultrascale GT59 + + + + + + + DADDR + + + gt59_drpaddr_o + + + + + DEN + + + gt59_drpen_o + + + + + DI + + + gt59_drpdi_o + + + + + DO + + + gt59_drpdo_i + + + + + DRDY + + + gt59_drprdy_i + + + + + DWE + + + gt59_drpwe_o + + + + + + + false + + + + + + GT60_DRP + GT60_DRP + DRP IF for Ultrascale GT60 + + + + + + + DADDR + + + gt60_drpaddr_o + + + + + DEN + + + gt60_drpen_o + + + + + DI + + + gt60_drpdi_o + + + + + DO + + + gt60_drpdo_i + + + + + DRDY + + + gt60_drprdy_i + + + + + DWE + + + gt60_drpwe_o + + + + + + + false + + + + + + GT61_DRP + GT61_DRP + DRP IF for Ultrascale GT61 + + + + + + + DADDR + + + gt61_drpaddr_o + + + + + DEN + + + gt61_drpen_o + + + + + DI + + + gt61_drpdi_o + + + + + DO + + + gt61_drpdo_i + + + + + DRDY + + + gt61_drprdy_i + + + + + DWE + + + gt61_drpwe_o + + + + + + + false + + + + + + GT62_DRP + GT62_DRP + DRP IF for Ultrascale GT62 + + + + + + + DADDR + + + gt62_drpaddr_o + + + + + DEN + + + gt62_drpen_o + + + + + DI + + + gt62_drpdi_o + + + + + DO + + + gt62_drpdo_i + + + + + DRDY + + + gt62_drprdy_i + + + + + DWE + + + gt62_drpwe_o + + + + + + + false + + + + + + GT63_DRP + GT63_DRP + DRP IF for Ultrascale GT63 + + + + + + + DADDR + + + gt63_drpaddr_o + + + + + DEN + + + gt63_drpen_o + + + + + DI + + + gt63_drpdi_o + + + + + DO + + + gt63_drpdo_i + + + + + DRDY + + + gt63_drprdy_i + + + + + DWE + + + gt63_drpwe_o + + + + + + + false + + + + + + GT64_DRP + GT64_DRP + DRP IF for Ultrascale GT64 + + + + + + + DADDR + + + gt64_drpaddr_o + + + + + DEN + + + gt64_drpen_o + + + + + DI + + + gt64_drpdi_o + + + + + DO + + + gt64_drpdo_i + + + + + DRDY + + + gt64_drprdy_i + + + + + DWE + + + gt64_drpwe_o + + + + + + + false + + + + + + GT65_DRP + GT65_DRP + DRP IF for Ultrascale GT65 + + + + + + + DADDR + + + gt65_drpaddr_o + + + + + DEN + + + gt65_drpen_o + + + + + DI + + + gt65_drpdi_o + + + + + DO + + + gt65_drpdo_i + + + + + DRDY + + + gt65_drprdy_i + + + + + DWE + + + gt65_drpwe_o + + + + + + + false + + + + + + GT66_DRP + GT66_DRP + DRP IF for Ultrascale GT66 + + + + + + + DADDR + + + gt66_drpaddr_o + + + + + DEN + + + gt66_drpen_o + + + + + DI + + + gt66_drpdi_o + + + + + DO + + + gt66_drpdo_i + + + + + DRDY + + + gt66_drprdy_i + + + + + DWE + + + gt66_drpwe_o + + + + + + + false + + + + + + GT67_DRP + GT67_DRP + DRP IF for Ultrascale GT67 + + + + + + + DADDR + + + gt67_drpaddr_o + + + + + DEN + + + gt67_drpen_o + + + + + DI + + + gt67_drpdi_o + + + + + DO + + + gt67_drpdo_i + + + + + DRDY + + + gt67_drprdy_i + + + + + DWE + + + gt67_drpwe_o + + + + + + + false + + + + + + GT68_DRP + GT68_DRP + DRP IF for Ultrascale GT68 + + + + + + + DADDR + + + gt68_drpaddr_o + + + + + DEN + + + gt68_drpen_o + + + + + DI + + + gt68_drpdi_o + + + + + DO + + + gt68_drpdo_i + + + + + DRDY + + + gt68_drprdy_i + + + + + DWE + + + gt68_drpwe_o + + + + + + + false + + + + + + GT69_DRP + GT69_DRP + DRP IF for Ultrascale GT69 + + + + + + + DADDR + + + gt69_drpaddr_o + + + + + DEN + + + gt69_drpen_o + + + + + DI + + + gt69_drpdi_o + + + + + DO + + + gt69_drpdo_i + + + + + DRDY + + + gt69_drprdy_i + + + + + DWE + + + gt69_drpwe_o + + + + + + + false + + + + + + GT70_DRP + GT70_DRP + DRP IF for Ultrascale GT70 + + + + + + + DADDR + + + gt70_drpaddr_o + + + + + DEN + + + gt70_drpen_o + + + + + DI + + + gt70_drpdi_o + + + + + DO + + + gt70_drpdo_i + + + + + DRDY + + + gt70_drprdy_i + + + + + DWE + + + gt70_drpwe_o + + + + + + + false + + + + + + GT71_DRP + GT71_DRP + DRP IF for Ultrascale GT71 + + + + + + + DADDR + + + gt71_drpaddr_o + + + + + DEN + + + gt71_drpen_o + + + + + DI + + + gt71_drpdi_o + + + + + DO + + + gt71_drpdo_i + + + + + DRDY + + + gt71_drprdy_i + + + + + DWE + + + gt71_drpwe_o + + + + + + + false + + + + + + GT72_DRP + GT72_DRP + DRP IF for Ultrascale GT72 + + + + + + + DADDR + + + gt72_drpaddr_o + + + + + DEN + + + gt72_drpen_o + + + + + DI + + + gt72_drpdi_o + + + + + DO + + + gt72_drpdo_i + + + + + DRDY + + + gt72_drprdy_i + + + + + DWE + + + gt72_drpwe_o + + + + + + + false + + + + + + GT73_DRP + GT73_DRP + DRP IF for Ultrascale GT73 + + + + + + + DADDR + + + gt73_drpaddr_o + + + + + DEN + + + gt73_drpen_o + + + + + DI + + + gt73_drpdi_o + + + + + DO + + + gt73_drpdo_i + + + + + DRDY + + + gt73_drprdy_i + + + + + DWE + + + gt73_drpwe_o + + + + + + + false + + + + + + GT74_DRP + GT74_DRP + DRP IF for Ultrascale GT74 + + + + + + + DADDR + + + gt74_drpaddr_o + + + + + DEN + + + gt74_drpen_o + + + + + DI + + + gt74_drpdi_o + + + + + DO + + + gt74_drpdo_i + + + + + DRDY + + + gt74_drprdy_i + + + + + DWE + + + gt74_drpwe_o + + + + + + + false + + + + + + GT75_DRP + GT75_DRP + DRP IF for Ultrascale GT75 + + + + + + + DADDR + + + gt75_drpaddr_o + + + + + DEN + + + gt75_drpen_o + + + + + DI + + + gt75_drpdi_o + + + + + DO + + + gt75_drpdo_i + + + + + DRDY + + + gt75_drprdy_i + + + + + DWE + + + gt75_drpwe_o + + + + + + + false + + + + + + GT76_DRP + GT76_DRP + DRP IF for Ultrascale GT76 + + + + + + + DADDR + + + gt76_drpaddr_o + + + + + DEN + + + gt76_drpen_o + + + + + DI + + + gt76_drpdi_o + + + + + DO + + + gt76_drpdo_i + + + + + DRDY + + + gt76_drprdy_i + + + + + DWE + + + gt76_drpwe_o + + + + + + + false + + + + + + GT77_DRP + GT77_DRP + DRP IF for Ultrascale GT77 + + + + + + + DADDR + + + gt77_drpaddr_o + + + + + DEN + + + gt77_drpen_o + + + + + DI + + + gt77_drpdi_o + + + + + DO + + + gt77_drpdo_i + + + + + DRDY + + + gt77_drprdy_i + + + + + DWE + + + gt77_drpwe_o + + + + + + + false + + + + + + GT78_DRP + GT78_DRP + DRP IF for Ultrascale GT78 + + + + + + + DADDR + + + gt78_drpaddr_o + + + + + DEN + + + gt78_drpen_o + + + + + DI + + + gt78_drpdi_o + + + + + DO + + + gt78_drpdo_i + + + + + DRDY + + + gt78_drprdy_i + + + + + DWE + + + gt78_drpwe_o + + + + + + + false + + + + + + GT79_DRP + GT79_DRP + DRP IF for Ultrascale GT79 + + + + + + + DADDR + + + gt79_drpaddr_o + + + + + DEN + + + gt79_drpen_o + + + + + DI + + + gt79_drpdi_o + + + + + DO + + + gt79_drpdo_i + + + + + DRDY + + + gt79_drprdy_i + + + + + DWE + + + gt79_drpwe_o + + + + + + + false + + + + + + + + + drpclk_o + + out + + 1 + 0 + + + + wire + dummy_view + + + + + + gt0_drpen_o + + out + + + wire + dummy_view + + + + + + gt0_drpwe_o + + out + + + wire + dummy_view + + + + + + gt0_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + gt0_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + gt0_drprdy_i + + in + + + wire + dummy_view + + + + + + gt0_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + gt1_drpen_o + + out + + + wire + dummy_view + + + + + + gt1_drpwe_o + + out + + + wire + dummy_view + + + + + + gt1_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + gt1_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + gt1_drprdy_i + + in + + + wire + dummy_view + + + + + + gt1_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + gt2_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt2_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt2_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt2_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt2_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt2_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt3_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt3_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt3_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt3_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt3_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt3_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt4_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt4_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt4_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt4_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt4_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt4_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt5_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt5_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt5_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt5_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt5_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt5_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt6_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt6_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt6_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt6_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt6_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt6_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt7_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt7_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt7_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt7_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt7_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt7_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt8_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt8_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt8_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt8_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt8_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt8_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt9_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt9_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt9_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt9_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt9_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt9_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt10_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt10_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt10_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt10_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt10_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt10_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt11_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt11_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt11_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt11_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt11_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt11_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt12_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt12_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt12_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt12_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt12_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt12_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt13_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt13_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt13_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt13_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt13_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt13_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt14_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt14_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt14_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt14_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt14_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt14_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt15_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt15_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt15_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt15_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt15_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt15_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt16_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt16_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt16_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt16_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt16_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt16_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt17_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt17_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt17_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt17_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt17_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt17_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt18_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt18_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt18_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt18_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt18_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt18_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt19_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt19_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt19_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt19_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt19_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt19_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt20_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt20_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt20_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt20_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt20_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt20_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt21_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt21_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt21_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt21_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt21_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt21_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt22_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt22_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt22_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt22_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt22_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt22_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt23_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt23_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt23_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt23_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt23_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt23_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt24_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt24_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt24_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt24_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt24_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt24_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt25_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt25_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt25_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt25_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt25_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt25_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt26_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt26_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt26_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt26_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt26_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt26_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt27_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt27_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt27_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt27_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt27_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt27_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt28_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt28_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt28_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt28_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt28_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt28_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt29_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt29_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt29_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt29_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt29_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt29_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt30_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt30_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt30_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt30_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt30_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt30_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt31_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt31_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt31_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt31_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt31_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt31_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt32_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt32_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt32_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt32_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt32_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt32_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt33_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt33_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt33_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt33_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt33_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt33_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt34_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt34_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt34_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt34_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt34_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt34_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt35_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt35_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt35_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt35_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt35_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt35_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt36_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt36_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt36_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt36_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt36_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt36_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt37_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt37_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt37_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt37_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt37_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt37_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt38_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt38_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt38_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt38_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt38_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt38_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt39_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt39_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt39_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt39_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt39_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt39_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt40_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt40_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt40_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt40_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt40_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt40_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt41_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt41_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt41_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt41_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt41_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt41_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt42_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt42_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt42_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt42_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt42_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt42_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt43_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt43_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt43_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt43_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt43_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt43_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt44_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt44_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt44_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt44_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt44_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt44_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt45_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt45_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt45_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt45_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt45_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt45_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt46_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt46_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt46_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt46_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt46_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt46_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt47_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt47_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt47_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt47_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt47_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt47_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt48_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt48_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt48_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt48_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt48_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt48_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt49_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt49_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt49_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt49_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt49_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt49_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt50_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt50_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt50_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt50_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt50_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt50_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt51_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt51_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt51_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt51_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt51_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt51_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt52_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt52_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt52_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt52_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt52_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt52_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt53_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt53_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt53_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt53_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt53_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt53_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt54_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt54_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt54_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt54_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt54_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt54_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt55_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt55_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt55_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt55_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt55_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt55_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt56_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt56_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt56_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt56_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt56_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt56_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt57_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt57_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt57_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt57_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt57_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt57_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt58_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt58_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt58_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt58_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt58_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt58_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt59_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt59_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt59_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt59_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt59_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt59_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt60_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt60_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt60_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt60_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt60_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt60_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt61_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt61_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt61_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt61_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt61_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt61_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt62_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt62_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt62_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt62_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt62_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt62_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt63_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt63_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt63_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt63_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt63_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt63_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt64_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt64_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt64_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt64_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt64_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt64_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt65_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt65_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt65_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt65_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt65_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt65_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt66_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt66_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt66_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt66_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt66_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt66_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt67_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt67_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt67_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt67_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt67_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt67_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt68_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt68_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt68_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt68_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt68_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt68_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt69_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt69_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt69_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt69_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt69_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt69_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt70_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt70_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt70_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt70_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt70_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt70_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt71_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt71_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt71_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt71_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt71_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt71_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt72_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt72_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt72_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt72_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt72_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt72_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt73_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt73_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt73_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt73_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt73_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt73_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt74_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt74_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt74_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt74_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt74_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt74_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt75_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt75_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt75_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt75_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt75_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt75_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt76_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt76_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt76_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt76_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt76_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt76_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt77_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt77_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt77_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt77_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt77_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt77_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt78_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt78_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt78_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt78_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt78_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt78_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt79_drpen_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt79_drpwe_o + + out + + + wire + dummy_view + + + + + + + false + + + + + + gt79_drpaddr_o + + out + + 8 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt79_drpdi_o + + out + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + gt79_drprdy_i + + in + + + wire + dummy_view + + + + + + + false + + + + + + gt79_drpdo_i + + in + + 15 + 0 + + + + wire + dummy_view + + + + + + + false + + + + + + eyescanreset_o + + out + + 1 + 0 + + + + wire + dummy_view + + + + + + rxrate_o + + out + + 5 + 0 + + + + wire + dummy_view + + + + + + txdiffctrl_o + + out + + 7 + 0 + + + + wire + dummy_view + + + + + + txprecursor_o + + out + + 9 + 0 + + + + wire + dummy_view + + + + + + txpostcursor_o + + out + + 9 + 0 + + + + wire + dummy_view + + + + + + rxlpmen_o + + out + + 1 + 0 + + + + wire + dummy_view + + + + + + rxrate_i + + in + + 5 + 0 + + + + wire + dummy_view + + + + 0 + + + + + + false + + + + + + txdiffctrl_i + + in + + 7 + 0 + + + + wire + dummy_view + + + + 0 + + + + + + false + + + + + + txprecursor_i + + in + + 9 + 0 + + + + wire + dummy_view + + + + 0 + + + + + + false + + + + + + txpostcursor_i + + in + + 9 + 0 + + + + wire + dummy_view + + + + 0 + + + + + + false + + + + + + rxlpmen_i + + in + + 1 + 0 + + + + wire + dummy_view + + + + 0 + + + + + + false + + + + + + drpclk_i + + in + + 1 + 0 + + + + wire + dummy_view + + + + 0 + + + + + + false + + + + + + rxoutclk_i + + in + + 1 + 0 + + + + wire + dummy_view + + + + + + clk + + in + + + wire + dummy_view + + + + + + + + C_COMPONENT_NAME + in_system_ibert_0 + + + C_GT_TYPE + GT Type + GTHE3 + + + C_NUM_GT + Num GT + 2 + + + C_ENABLE_INPUT_PORTS + C Enable Input Ports + 0 + + + C_USE_MDM + C Use Mdm + 0 + + + C_GT_COORDINATE_79 + GT Coordinate 79 + 0000000000000000 + + + C_GT_COORDINATE_78 + GT Coordinate 78 + 0000000000000000 + + + C_GT_COORDINATE_77 + GT Coordinate 77 + 0000000000000000 + + + C_GT_COORDINATE_76 + GT Coordinate 76 + 0000000000000000 + + + C_GT_COORDINATE_75 + GT Coordinate 75 + 0000000000000000 + + + C_GT_COORDINATE_74 + GT Coordinate 74 + 0000000000000000 + + + C_GT_COORDINATE_73 + GT Coordinate 73 + 0000000000000000 + + + C_GT_COORDINATE_72 + GT Coordinate 72 + 0000000000000000 + + + C_GT_COORDINATE_71 + GT Coordinate 71 + 0000000000000000 + + + C_GT_COORDINATE_70 + GT Coordinate 70 + 0000000000000000 + + + C_GT_COORDINATE_69 + GT Coordinate 69 + 0000000000000000 + + + C_GT_COORDINATE_68 + GT Coordinate 68 + 0000000000000000 + + + C_GT_COORDINATE_67 + GT Coordinate 67 + 0000000000000000 + + + C_GT_COORDINATE_66 + GT Coordinate 66 + 0000000000000000 + + + C_GT_COORDINATE_65 + GT Coordinate 65 + 0000000000000000 + + + C_GT_COORDINATE_64 + GT Coordinate 64 + 0000000000000000 + + + C_GT_COORDINATE_63 + GT Coordinate 63 + 0000000000000000 + + + C_GT_COORDINATE_62 + GT Coordinate 62 + 0000000000000000 + + + C_GT_COORDINATE_61 + GT Coordinate 61 + 0000000000000000 + + + C_GT_COORDINATE_60 + GT Coordinate 60 + 0000000000000000 + + + C_GT_COORDINATE_59 + GT Coordinate 59 + 0000000000000000 + + + C_GT_COORDINATE_58 + GT Coordinate 58 + 0000000000000000 + + + C_GT_COORDINATE_57 + GT Coordinate 57 + 0000000000000000 + + + C_GT_COORDINATE_56 + GT Coordinate 56 + 0000000000000000 + + + C_GT_COORDINATE_55 + GT Coordinate 55 + 0000000000000000 + + + C_GT_COORDINATE_54 + GT Coordinate 54 + 0000000000000000 + + + C_GT_COORDINATE_53 + GT Coordinate 53 + 0000000000000000 + + + C_GT_COORDINATE_52 + GT Coordinate 52 + 0000000000000000 + + + C_GT_COORDINATE_51 + GT Coordinate 51 + 0000000000000000 + + + C_GT_COORDINATE_50 + GT Coordinate 50 + 0000000000000000 + + + C_GT_COORDINATE_49 + GT Coordinate 49 + 0000000000000000 + + + C_GT_COORDINATE_48 + GT Coordinate 48 + 0000000000000000 + + + C_GT_COORDINATE_47 + GT Coordinate 47 + 0000000000000000 + + + C_GT_COORDINATE_46 + GT Coordinate 46 + 0000000000000000 + + + C_GT_COORDINATE_45 + GT Coordinate 45 + 0000000000000000 + + + C_GT_COORDINATE_44 + GT Coordinate 44 + 0000000000000000 + + + C_GT_COORDINATE_43 + GT Coordinate 43 + 0000000000000000 + + + C_GT_COORDINATE_42 + GT Coordinate 42 + 0000000000000000 + + + C_GT_COORDINATE_41 + GT Coordinate 41 + 0000000000000000 + + + C_GT_COORDINATE_40 + GT Coordinate 40 + 0000000000000000 + + + C_GT_COORDINATE_39 + GT Coordinate 39 + 0000000000000000 + + + C_GT_COORDINATE_38 + GT Coordinate 38 + 0000000000000000 + + + C_GT_COORDINATE_37 + GT Coordinate 37 + 0000000000000000 + + + C_GT_COORDINATE_36 + GT Coordinate 36 + 0000000000000000 + + + C_GT_COORDINATE_35 + GT Coordinate 35 + 0000000000000000 + + + C_GT_COORDINATE_34 + GT Coordinate 34 + 0000000000000000 + + + C_GT_COORDINATE_33 + GT Coordinate 33 + 0000000000000000 + + + C_GT_COORDINATE_32 + GT Coordinate 32 + 0000000000000000 + + + C_GT_COORDINATE_31 + GT Coordinate 31 + 0000000000000000 + + + C_GT_COORDINATE_30 + GT Coordinate 30 + 0000000000000000 + + + C_GT_COORDINATE_29 + GT Coordinate 29 + 0000000000000000 + + + C_GT_COORDINATE_28 + GT Coordinate 28 + 0000000000000000 + + + C_GT_COORDINATE_27 + GT Coordinate 27 + 0000000000000000 + + + C_GT_COORDINATE_26 + GT Coordinate 26 + 0000000000000000 + + + C_GT_COORDINATE_25 + GT Coordinate 25 + 0000000000000000 + + + C_GT_COORDINATE_24 + GT Coordinate 24 + 0000000000000000 + + + C_GT_COORDINATE_23 + GT Coordinate 23 + 0000000000000000 + + + C_GT_COORDINATE_22 + GT Coordinate 22 + 0000000000000000 + + + C_GT_COORDINATE_21 + GT Coordinate 21 + 0000000000000000 + + + C_GT_COORDINATE_20 + GT Coordinate 20 + 0000000000000000 + + + C_GT_COORDINATE_19 + GT Coordinate 19 + 0000000000000000 + + + C_GT_COORDINATE_18 + GT Coordinate 18 + 0000000000000000 + + + C_GT_COORDINATE_17 + GT Coordinate 17 + 0000000000000000 + + + C_GT_COORDINATE_16 + GT Coordinate 16 + 0000000000000000 + + + C_GT_COORDINATE_15 + GT Coordinate 15 + 0000000000000000 + + + C_GT_COORDINATE_14 + GT Coordinate 14 + 0000000000000000 + + + C_GT_COORDINATE_13 + GT Coordinate 13 + 0000000000000000 + + + C_GT_COORDINATE_12 + GT Coordinate 12 + 0000000000000000 + + + C_GT_COORDINATE_11 + GT Coordinate 11 + 0000000000000000 + + + C_GT_COORDINATE_10 + GT Coordinate 10 + 0000000000000000 + + + C_GT_COORDINATE_9 + GT Coordinate 9 + 0000000000000000 + + + C_GT_COORDINATE_8 + GT Coordinate 8 + 0000000000000000 + + + C_GT_COORDINATE_7 + GT Coordinate 7 + 0000000000000000 + + + C_GT_COORDINATE_6 + GT Coordinate 6 + 0000000000000000 + + + C_GT_COORDINATE_5 + GT Coordinate 5 + 0000000000000000 + + + C_GT_COORDINATE_4 + GT Coordinate 4 + 0000000000000000 + + + C_GT_COORDINATE_3 + GT Coordinate 3 + 0000000000000000 + + + C_GT_COORDINATE_2 + GT Coordinate 2 + 0000000000000000 + + + C_GT_COORDINATE_1 + GT Coordinate 1 + "0000000000001001" + + + C_GT_COORDINATE_0 + GT Coordinate 0 + "0000000000001000" + + + C_GT_COORDINATE_80 + GT Coordinate 80 + 0000000000000000 + + + C_GT_COORDINATE_81 + GT Coordinate 81 + 0000000000000000 + + + C_GT_COORDINATE_82 + GT Coordinate 82 + 0000000000000000 + + + C_GT_COORDINATE_83 + GT Coordinate 83 + 0000000000000000 + + + C_GT_COORDINATE_84 + GT Coordinate 84 + 0000000000000000 + + + C_GT_COORDINATE_85 + GT Coordinate 85 + 0000000000000000 + + + C_GT_COORDINATE_86 + GT Coordinate 86 + 0000000000000000 + + + C_GT_COORDINATE_87 + GT Coordinate 87 + 0000000000000000 + + + C_GT_COORDINATE_88 + GT Coordinate 88 + 0000000000000000 + + + C_GT_COORDINATE_89 + GT Coordinate 89 + 0000000000000000 + + + C_GT_COORDINATE_90 + GT Coordinate 90 + 0000000000000000 + + + C_GT_COORDINATE_91 + GT Coordinate 91 + 0000000000000000 + + + C_GT_COORDINATE_92 + GT Coordinate 92 + 0000000000000000 + + + C_GT_COORDINATE_93 + GT Coordinate 93 + 0000000000000000 + + + C_GT_COORDINATE_94 + GT Coordinate 94 + 0000000000000000 + + + C_GT_COORDINATE_95 + GT Coordinate 95 + 0000000000000000 + + + C_GT_COORDINATE_96 + GT Coordinate 96 + 0000000000000000 + + + C_GT_COORDINATE_97 + GT Coordinate 97 + 0000000000000000 + + + C_GT_COORDINATE_98 + GT Coordinate 98 + 0000000000000000 + + + C_GT_COORDINATE_99 + GT Coordinate 99 + 0000000000000000 + + + C_GT_COORDINATE_100 + GT Coordinate 100 + 0000000000000000 + + + C_GT_COORDINATE_101 + GT Coordinate 101 + 0000000000000000 + + + C_GT_COORDINATE_102 + GT Coordinate 102 + 0000000000000000 + + + C_GT_COORDINATE_103 + GT Coordinate 103 + 0000000000000000 + + + C_GT_COORDINATE_104 + GT Coordinate 104 + 0000000000000000 + + + C_GT_COORDINATE_105 + GT Coordinate 105 + 0000000000000000 + + + C_GT_COORDINATE_106 + GT Coordinate 106 + 0000000000000000 + + + C_GT_COORDINATE_107 + GT Coordinate 107 + 0000000000000000 + + + C_GT_COORDINATE_108 + GT Coordinate 108 + 0000000000000000 + + + C_GT_COORDINATE_109 + GT Coordinate 109 + 0000000000000000 + + + C_GT_COORDINATE_110 + GT Coordinate 110 + 0000000000000000 + + + C_GT_COORDINATE_111 + GT Coordinate 111 + 0000000000000000 + + + C_GT_COORDINATE_112 + GT Coordinate 112 + 0000000000000000 + + + C_GT_COORDINATE_113 + GT Coordinate 113 + 0000000000000000 + + + C_GT_COORDINATE_114 + GT Coordinate 114 + 0000000000000000 + + + C_GT_COORDINATE_115 + GT Coordinate 115 + 0000000000000000 + + + C_GT_COORDINATE_116 + GT Coordinate 116 + 0000000000000000 + + + C_GT_COORDINATE_117 + GT Coordinate 117 + 0000000000000000 + + + C_GT_COORDINATE_118 + GT Coordinate 118 + 0000000000000000 + + + C_GT_COORDINATE_119 + GT Coordinate 119 + 0000000000000000 + + + C_GT_COORDINATE_120 + GT Coordinate 120 + 0000000000000000 + + + C_GT_COORDINATE_121 + GT Coordinate 121 + 0000000000000000 + + + C_GT_COORDINATE_122 + GT Coordinate 122 + 0000000000000000 + + + C_GT_COORDINATE_123 + GT Coordinate 123 + 0000000000000000 + + + C_GT_COORDINATE_124 + GT Coordinate 124 + 0000000000000000 + + + C_GT_COORDINATE_125 + GT Coordinate 125 + 0000000000000000 + + + C_GT_COORDINATE_126 + GT Coordinate 126 + 0000000000000000 + + + C_GT_COORDINATE_127 + GT Coordinate 127 + 0000000000000000 + + + C_GT_COORDINATE_128 + GT Coordinate 128 + 0000000000000000 + + + C_GT_COORDINATE_129 + GT Coordinate 129 + 0000000000000000 + + + C_GT_COORDINATE_130 + GT Coordinate 130 + 0000000000000000 + + + C_GT_COORDINATE_131 + GT Coordinate 131 + 0000000000000000 + + + C_QUAD_NUMBER_80 + Quad Number 80 + 0000000000000000 + + + C_QUAD_NUMBER_81 + Quad Number 81 + 0000000000000000 + + + C_QUAD_NUMBER_82 + Quad Number 82 + 0000000000000000 + + + C_QUAD_NUMBER_83 + Quad Number 83 + 0000000000000000 + + + C_QUAD_NUMBER_84 + Quad Number 84 + 0000000000000000 + + + C_QUAD_NUMBER_85 + Quad Number 85 + 0000000000000000 + + + C_QUAD_NUMBER_86 + Quad Number 86 + 0000000000000000 + + + C_QUAD_NUMBER_87 + Quad Number 87 + 0000000000000000 + + + C_QUAD_NUMBER_88 + Quad Number 88 + 0000000000000000 + + + C_QUAD_NUMBER_89 + Quad Number 89 + 0000000000000000 + + + C_QUAD_NUMBER_90 + Quad Number 90 + 0000000000000000 + + + C_QUAD_NUMBER_91 + Quad Number 91 + 0000000000000000 + + + C_QUAD_NUMBER_92 + Quad Number 92 + 0000000000000000 + + + C_QUAD_NUMBER_93 + Quad Number 93 + 0000000000000000 + + + C_QUAD_NUMBER_94 + Quad Number 94 + 0000000000000000 + + + C_QUAD_NUMBER_95 + Quad Number 95 + 0000000000000000 + + + C_QUAD_NUMBER_96 + Quad Number 96 + 0000000000000000 + + + C_QUAD_NUMBER_97 + Quad Number 97 + 0000000000000000 + + + C_QUAD_NUMBER_98 + Quad Number 98 + 0000000000000000 + + + C_QUAD_NUMBER_99 + Quad Number 99 + 0000000000000000 + + + C_QUAD_NUMBER_100 + Quad Number 100 + 0000000000000000 + + + C_QUAD_NUMBER_101 + Quad Number 101 + 0000000000000000 + + + C_QUAD_NUMBER_102 + Quad Number 102 + 0000000000000000 + + + C_QUAD_NUMBER_103 + Quad Number 103 + 0000000000000000 + + + C_QUAD_NUMBER_104 + Quad Number 104 + 0000000000000000 + + + C_QUAD_NUMBER_105 + Quad Number 105 + 0000000000000000 + + + C_QUAD_NUMBER_106 + Quad Number 106 + 0000000000000000 + + + C_QUAD_NUMBER_107 + Quad Number 107 + 0000000000000000 + + + C_QUAD_NUMBER_108 + Quad Number 108 + 0000000000000000 + + + C_QUAD_NUMBER_109 + Quad Number 109 + 0000000000000000 + + + C_QUAD_NUMBER_110 + Quad Number 110 + 0000000000000000 + + + C_QUAD_NUMBER_111 + Quad Number 111 + 0000000000000000 + + + C_QUAD_NUMBER_112 + Quad Number 112 + 0000000000000000 + + + C_QUAD_NUMBER_113 + Quad Number 113 + 0000000000000000 + + + C_QUAD_NUMBER_114 + Quad Number 114 + 0000000000000000 + + + C_QUAD_NUMBER_115 + Quad Number 115 + 0000000000000000 + + + C_QUAD_NUMBER_116 + Quad Number 116 + 0000000000000000 + + + C_QUAD_NUMBER_117 + Quad Number 117 + 0000000000000000 + + + C_QUAD_NUMBER_118 + Quad Number 118 + 0000000000000000 + + + C_QUAD_NUMBER_119 + Quad Number 119 + 0000000000000000 + + + C_QUAD_NUMBER_120 + Quad Number 120 + 0000000000000000 + + + C_QUAD_NUMBER_121 + Quad Number 121 + 0000000000000000 + + + C_QUAD_NUMBER_122 + Quad Number 122 + 0000000000000000 + + + C_QUAD_NUMBER_123 + Quad Number 123 + 0000000000000000 + + + C_QUAD_NUMBER_124 + Quad Number 124 + 0000000000000000 + + + C_QUAD_NUMBER_125 + Quad Number 125 + 0000000000000000 + + + C_QUAD_NUMBER_126 + Quad Number 126 + 0000000000000000 + + + C_QUAD_NUMBER_127 + Quad Number 127 + 0000000000000000 + + + C_QUAD_NUMBER_128 + Quad Number 128 + 0000000000000000 + + + C_QUAD_NUMBER_129 + Quad Number 129 + 0000000000000000 + + + C_QUAD_NUMBER_130 + Quad Number 130 + 0000000000000000 + + + C_QUAD_NUMBER_131 + Quad Number 131 + 0000000000000000 + + + C_QUAD_NUMBER_79 + Quad Number 79 + 0000000000000000 + + + C_QUAD_NUMBER_78 + Quad Number 78 + 0000000000000000 + + + C_QUAD_NUMBER_77 + Quad Number 77 + 0000000000000000 + + + C_QUAD_NUMBER_76 + Quad Number 76 + 0000000000000000 + + + C_QUAD_NUMBER_75 + Quad Number 75 + 0000000000000000 + + + C_QUAD_NUMBER_74 + Quad Number 74 + 0000000000000000 + + + C_QUAD_NUMBER_73 + Quad Number 73 + 0000000000000000 + + + C_QUAD_NUMBER_72 + Quad Number 72 + 0000000000000000 + + + C_QUAD_NUMBER_71 + Quad Number 71 + 0000000000000000 + + + C_QUAD_NUMBER_70 + Quad Number 70 + 0000000000000000 + + + C_QUAD_NUMBER_69 + Quad Number 69 + 0000000000000000 + + + C_QUAD_NUMBER_68 + Quad Number 68 + 0000000000000000 + + + C_QUAD_NUMBER_67 + Quad Number 67 + 0000000000000000 + + + C_QUAD_NUMBER_66 + Quad Number 66 + 0000000000000000 + + + C_QUAD_NUMBER_65 + Quad Number 65 + 0000000000000000 + + + C_QUAD_NUMBER_64 + Quad Number 64 + 0000000000000000 + + + C_QUAD_NUMBER_63 + Quad Number 63 + 0000000000000000 + + + C_QUAD_NUMBER_62 + Quad Number 62 + 0000000000000000 + + + C_QUAD_NUMBER_61 + Quad Number 61 + 0000000000000000 + + + C_QUAD_NUMBER_60 + Quad Number 60 + 0000000000000000 + + + C_QUAD_NUMBER_59 + Quad Number 59 + 0000000000000000 + + + C_QUAD_NUMBER_58 + Quad Number 58 + 0000000000000000 + + + C_QUAD_NUMBER_57 + Quad Number 57 + 0000000000000000 + + + C_QUAD_NUMBER_56 + Quad Number 56 + 0000000000000000 + + + C_QUAD_NUMBER_55 + Quad Number 55 + 0000000000000000 + + + C_QUAD_NUMBER_54 + Quad Number 54 + 0000000000000000 + + + C_QUAD_NUMBER_53 + Quad Number 53 + 0000000000000000 + + + C_QUAD_NUMBER_52 + Quad Number 52 + 0000000000000000 + + + C_QUAD_NUMBER_51 + Quad Number 51 + 0000000000000000 + + + C_QUAD_NUMBER_50 + Quad Number 50 + 0000000000000000 + + + C_QUAD_NUMBER_49 + Quad Number 49 + 0000000000000000 + + + C_QUAD_NUMBER_48 + Quad Number 48 + 0000000000000000 + + + C_QUAD_NUMBER_47 + Quad Number 47 + 0000000000000000 + + + C_QUAD_NUMBER_46 + Quad Number 46 + 0000000000000000 + + + C_QUAD_NUMBER_45 + Quad Number 45 + 0000000000000000 + + + C_QUAD_NUMBER_44 + Quad Number 44 + 0000000000000000 + + + C_QUAD_NUMBER_43 + Quad Number 43 + 0000000000000000 + + + C_QUAD_NUMBER_42 + Quad Number 42 + 0000000000000000 + + + C_QUAD_NUMBER_41 + Quad Number 41 + 0000000000000000 + + + C_QUAD_NUMBER_40 + Quad Number 40 + 0000000000000000 + + + C_QUAD_NUMBER_39 + Quad Number 39 + 0000000000000000 + + + C_QUAD_NUMBER_38 + Quad Number 38 + 0000000000000000 + + + C_QUAD_NUMBER_37 + Quad Number 37 + 0000000000000000 + + + C_QUAD_NUMBER_36 + Quad Number 36 + 0000000000000000 + + + C_QUAD_NUMBER_35 + Quad Number 35 + 0000000000000000 + + + C_QUAD_NUMBER_34 + Quad Number 34 + 0000000000000000 + + + C_QUAD_NUMBER_33 + Quad Number 33 + 0000000000000000 + + + C_QUAD_NUMBER_32 + Quad Number 32 + 0000000000000000 + + + C_QUAD_NUMBER_31 + Quad Number 31 + 0000000000000000 + + + C_QUAD_NUMBER_30 + Quad Number 30 + 0000000000000000 + + + C_QUAD_NUMBER_29 + Quad Number 29 + 0000000000000000 + + + C_QUAD_NUMBER_28 + Quad Number 28 + 0000000000000000 + + + C_QUAD_NUMBER_27 + Quad Number 27 + 0000000000000000 + + + C_QUAD_NUMBER_26 + Quad Number 26 + 0000000000000000 + + + C_QUAD_NUMBER_25 + Quad Number 25 + 0000000000000000 + + + C_QUAD_NUMBER_24 + Quad Number 24 + 0000000000000000 + + + C_QUAD_NUMBER_23 + Quad Number 23 + 0000000000000000 + + + C_QUAD_NUMBER_22 + Quad Number 22 + 0000000000000000 + + + C_QUAD_NUMBER_21 + Quad Number 21 + 0000000000000000 + + + C_QUAD_NUMBER_20 + Quad Number 20 + 0000000000000000 + + + C_QUAD_NUMBER_19 + Quad Number 19 + 0000000000000000 + + + C_QUAD_NUMBER_18 + Quad Number 18 + 0000000000000000 + + + C_QUAD_NUMBER_17 + Quad Number 17 + 0000000000000000 + + + C_QUAD_NUMBER_16 + Quad Number 16 + 0000000000000000 + + + C_QUAD_NUMBER_15 + Quad Number 15 + 0000000000000000 + + + C_QUAD_NUMBER_14 + Quad Number 14 + 0000000000000000 + + + C_QUAD_NUMBER_13 + Quad Number 13 + 0000000000000000 + + + C_QUAD_NUMBER_12 + Quad Number 12 + 0000000000000000 + + + C_QUAD_NUMBER_11 + Quad Number 11 + 0000000000000000 + + + C_QUAD_NUMBER_10 + Quad Number 10 + 0000000000000000 + + + C_QUAD_NUMBER_9 + Quad Number 9 + 0000000000000000 + + + C_QUAD_NUMBER_8 + Quad Number 8 + 0000000000000000 + + + C_QUAD_NUMBER_7 + Quad Number 7 + 0000000000000000 + + + C_QUAD_NUMBER_6 + Quad Number 6 + 0000000000000000 + + + C_QUAD_NUMBER_5 + Quad Number 5 + 0000000000000000 + + + C_QUAD_NUMBER_4 + Quad Number 4 + 0000000000000000 + + + C_QUAD_NUMBER_3 + Quad Number 3 + 0000000000000000 + + + C_QUAD_NUMBER_2 + Quad Number 2 + 0000000000000000 + + + C_QUAD_NUMBER_1 + Quad Number 1 + "0000000001111110" + + + C_QUAD_NUMBER_0 + Quad Number 0 + "0000000001111110" + + + C_BUILD_REVISION + Build Revision + 0 + + + C_CORE_MAJOR_VER + Core Major Ver + 3 + + + C_CORE_MINOR_VER + Core Minor Ver + 0 + + + C_DRPADDR_WIDTH + Drpaddr Width + 9 + + + + true + + + + + + C_TXDIFFCTRL_WIDTH + Txdiffctrl Width + 4 + + + + true + + + + + + C_XDEVICEFAMILY + Device Family + kintexu + + + + + + choice_list_afcf1f92 + GTH + + + choice_list_e51a3d4a + GTHE3 + GTHE4 + GTYE3 + GTYE4 + + + The In-System IBERT core is customizable and designed for evaluating and monitoring FPGA transceivers. This core provides eye scan plot for selected GTs and acess to ports related to eyescan and the dynamic reconfiguration port attributes transceivers. Communication logic is also included to allow the design to be run-time accessible through Joint Test Action Group (JTAG). Run-time interaction with this core requires the use of the Vivado serial I/O analyzer feature. + + + C_COMPONENT_NAME + in_system_ibert_0 + + + C_GT_TYPE + GT Type + GTH + + + C_GTS_USED + Enable channel + Indicate whether this transceiver channel is instantiated and enabled for use + X0Y9 X0Y8 + + + C_NUM_GT + Num GT + 1 + + + C_ENABLE_INPUT_PORTS + C Enable Input Ports + false + + + C_USE_MDM + C Use Mdm + 0 + + + C_USE_PCIE_ELF + C Use PCIe ELF + false + + + Component_Name + in_system_ibert_0 + + + + + In System IBERT + 11 + + + + + + + 2020.1 + + + + + + + + diff --git a/hub_test/src/hub_test.vhd b/hub_test/src/hub_test.vhd index a4767ee..31e1f76 100644 --- a/hub_test/src/hub_test.vhd +++ b/hub_test/src/hub_test.vhd @@ -75,6 +75,32 @@ architecture behavioral of hub_test is ); end component; + component in_system_ibert_0 + port ( + drpclk_o : out std_logic_vector(1 downto 0); + gt0_drpen_o : out std_logic; + gt0_drpwe_o : out std_logic; + gt0_drpaddr_o : out std_logic_vector(8 downto 0); + gt0_drpdi_o : out std_logic_vector(15 downto 0); + gt0_drprdy_i : in std_logic; + gt0_drpdo_i : in std_logic_vector(15 downto 0); + gt1_drpen_o : out std_logic; + gt1_drpwe_o : out std_logic; + gt1_drpaddr_o : out std_logic_vector(8 downto 0); + gt1_drpdi_o : out std_logic_vector(15 downto 0); + gt1_drprdy_i : in std_logic; + gt1_drpdo_i : in std_logic_vector(15 downto 0); + eyescanreset_o : out std_logic_vector(1 downto 0); + rxrate_o : out std_logic_vector(5 downto 0); + txdiffctrl_o : out std_logic_vector(7 downto 0); + txprecursor_o : out std_logic_vector(9 downto 0); + txpostcursor_o : out std_logic_vector(9 downto 0); + rxlpmen_o : out std_logic_vector(1 downto 0); + rxoutclk_i : in std_logic_vector(1 downto 0); + clk : in std_logic + ); + end component; + signal clk_200_ibuf : std_logic; signal baseclk_100 : std_logic; signal baseclk_out : std_logic; @@ -206,6 +232,20 @@ architecture behavioral of hub_test is signal ms_count : integer range 0 to MS_PERIOD_COUNTS - 1 := 0; signal trg_out : std_logic := '0'; signal dlm : std_logic := '0'; + + signal drpaddr : std_logic_vector(35 downto 0) := (others => '0'); + signal drpclk : std_logic_vector(3 downto 0) := (others => '0'); + signal drpdi : std_logic_vector(63 downto 0) := (others => '0'); + signal drpen : std_logic_vector(3 downto 0) := (others => '0'); + signal drpwe : std_logic_vector(3 downto 0) := (others => '0'); + signal drpdo : std_logic_vector(63 downto 0); + signal drprdy : std_logic_vector(3 downto 0); + signal eyescanreset : std_logic_vector(3 downto 0) := (others => '0'); + signal rxlpmen : std_logic_vector(3 downto 0) := (others => '0'); + signal rxrate : std_logic_vector(11 downto 0) := (others => '0'); + signal txdiffctrl : std_logic_vector(15 downto 0) := b"1100_1100_1100_1100"; + signal txpostcursor : std_logic_vector(19 downto 0) := (others => '0'); + signal txprecursor : std_logic_vector(19 downto 0) := (others => '0'); begin IBUFDS_baseclk : IBUFDS port map ( @@ -368,7 +408,46 @@ begin BUS_RX => bussci2_rx, BUS_TX => bussci2_tx, STAT_DEBUG => open, - CTRL_DEBUG => (others => '0') + CTRL_DEBUG => (others => '0'), + DRPADDR => drpaddr, + DRPCLK => drpclk, + DRPDI => drpdi, + DRPEN => drpen, + DRPWE => drpwe, + DRPDO => drpdo, + DRPRDY => drprdy, + EYESCANRESET => eyescanreset, + RXLPMEN => rxlpmen, + RXRATE => rxrate, + TXDIFFCTRL => txdiffctrl, + TXPOSTCURSOR => txpostcursor, + TXPRECURSOR => txprecursor + ); + + in_system_ibert_0_i : in_system_ibert_0 + port map ( + drpclk_o => drpclk(1 downto 0), + gt0_drpen_o => drpen(0), + gt0_drpwe_o => drpwe(0), + gt0_drpaddr_o => drpaddr(8 downto 0), + gt0_drpdi_o => drpdi(15 downto 0), + gt0_drprdy_i => drprdy(0), + gt0_drpdo_i => drpdo(15 downto 0), + gt1_drpen_o => drpen(1), + gt1_drpwe_o => drpwe(1), + gt1_drpaddr_o => drpaddr(17 downto 9), + gt1_drpdi_o => drpdi(31 downto 16), + gt1_drprdy_i => drprdy(1), + gt1_drpdo_i => drpdo(31 downto 16), + eyescanreset_o => eyescanreset(1 downto 0), + rxrate_o => rxrate(5 downto 0), + txdiffctrl_o => txdiffctrl(7 downto 0), + txprecursor_o => txprecursor(9 downto 0), + txpostcursor_o => txpostcursor(9 downto 0), + rxlpmen_o => rxlpmen(1 downto 0), + rxoutclk_i(0) => sysclk_100, + rxoutclk_i(1) => sysclk_100, + clk => baseclk_100 ); -- 2.43.0