From 44a110802aa9685982a354e2db42906c7b27d1ac Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Thu, 19 Jul 2012 06:57:13 +0000 Subject: [PATCH] *** empty log message *** --- gbe2_ecp3/trb_net16_gbe_response_constructor_SCTRL.vhd | 9 +++------ 1 file changed, 3 insertions(+), 6 deletions(-) diff --git a/gbe2_ecp3/trb_net16_gbe_response_constructor_SCTRL.vhd b/gbe2_ecp3/trb_net16_gbe_response_constructor_SCTRL.vhd index 3d8c2c9..0424887 100644 --- a/gbe2_ecp3/trb_net16_gbe_response_constructor_SCTRL.vhd +++ b/gbe2_ecp3/trb_net16_gbe_response_constructor_SCTRL.vhd @@ -139,8 +139,7 @@ signal too_much_data : std_logic; signal divide_ctr : std_logic_vector(7 downto 0); -signal temp_src_port : std_logic_vector(15 downto 0); - + begin MAKE_RESET_OUT <= make_reset; @@ -290,13 +289,11 @@ PS_RESPONSE_READY_OUT <= '1' when (dissect_current_state = WAIT_FOR_LOAD or diss dissect_current_state = LOAD_ACK or dissect_current_state = DIVIDE) else '0'; -temp_src_port <= PS_SRC_UDP_PORT_IN + x"1"; - TC_FRAME_TYPE_OUT <= x"0008"; TC_DEST_MAC_OUT <= PS_SRC_MAC_ADDRESS_IN; TC_DEST_IP_OUT <= PS_SRC_IP_ADDRESS_IN; -TC_DEST_UDP_OUT(7 downto 0) <= temp_src_port(15 downto 8); --x"a861"; -TC_DEST_UDP_OUT(15 downto 8) <= temp_src_port(7 downto 0); --x"a861"; +TC_DEST_UDP_OUT(7 downto 0) <= PS_SRC_UDP_PORT_IN(15 downto 8); --x"a861"; +TC_DEST_UDP_OUT(15 downto 8) <= PS_SRC_UDP_PORT_IN(7 downto 0); --x"a861"; TC_SRC_MAC_OUT <= g_MY_MAC; TC_SRC_IP_OUT <= g_MY_IP; TC_SRC_UDP_OUT <= x"a861"; -- 2.43.0