From 459468bb0188e9dc0a0165a2839c4b8fd9a42af2 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Tue, 16 Aug 2022 13:43:03 +0200 Subject: [PATCH] update MDC TDC: new TDC constraints, add automatic SPI loading --- DBO/config.vhd | 4 +- DBO/config_compile_frankfurt.pl | 6 +- DBO/mdctdc.lpf | 600 +++++++++++++++++++++----------- DBO/mdctdc.prj | 109 ++++-- DBO/mdctdc.vhd | 48 ++- DBO/project/mdcdbo.ldf | 23 +- OEP/mdcoep.prj | 19 +- 7 files changed, 537 insertions(+), 272 deletions(-) diff --git a/DBO/config.vhd b/DBO/config.vhd index 3dc2c8e..4d4e5d9 100644 --- a/DBO/config.vhd +++ b/DBO/config.vhd @@ -20,7 +20,7 @@ package config is constant NUM_TDC_CHANNELS : integer range 1 to 65 := 33; -- number of tdc channels per module constant NUM_TDC_CHANNELS_POWER2 : integer range 0 to 6 := 5; --the nearest power of two, for convenience reasons - constant EVENT_BUFFER_SIZE : integer range 9 to 13 := 10; -- size of the event buffer, 2**N + constant EVENT_BUFFER_SIZE : integer range 9 to 13 := 13; -- size of the event buffer, 2**N constant EVENT_MAX_SIZE : integer := 400; --maximum event size. Must not exceed EVENT_BUFFER_SIZE/2 @@ -33,7 +33,7 @@ package config is constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"91"; constant INCLUDE_UART : integer := c_NO; --300 slices - constant INCLUDE_SPI : integer := c_YES; --300 slices + constant INCLUDE_SPI : integer := c_NO; --300 slices constant INCLUDE_LCD : integer := c_NO; --800 slices constant INCLUDE_DEBUG_INTERFACE: integer := c_NO; --300 slices diff --git a/DBO/config_compile_frankfurt.pl b/DBO/config_compile_frankfurt.pl index 92ade55..1b4ddb9 100644 --- a/DBO/config_compile_frankfurt.pl +++ b/DBO/config_compile_frankfurt.pl @@ -7,13 +7,13 @@ Speedgrade => '8', TOPNAME => "mdctdc", lm_license_file_for_synplify => "27020\@jspc29", #"27000\@lxcad01.gsi.de"; lm_license_file_for_par => "1710\@jspc29", -lattice_path => '/d/jspc29/lattice/diamond/3.11_x64', -synplify_path => '/d/jspc29/lattice/synplify/R-2020.09-SP1/', +lattice_path => '/d/jspc29/lattice/diamond/3.12', +synplify_path => '/d/jspc29/lattice/synplify/S-2021.09-SP2/', nodelist_file => '../nodelist_frankfurt.txt', pinout_file => 'dbo', par_options => '../par.p2t', - +mapper_options => ' ', #Include only necessary lpf files include_TDC => 0, diff --git a/DBO/mdctdc.lpf b/DBO/mdctdc.lpf index dcd8152..abab132 100644 --- a/DBO/mdctdc.lpf +++ b/DBO/mdctdc.lpf @@ -11,7 +11,7 @@ BLOCK PATH TO PORT "PROGRAMN"; # FREQUENCY NET "med2int_0.clk_full" 200 MHz; FREQUENCY NET "THE_MEDIA_INTERFACE/clk_rx_full" 200 MHz; -FREQUENCY NET "THE_MEDIA_INTERFACE/clk_rx_full" 200 MHz; +FREQUENCY NET "THE_MEDIA_INTERFACE/clk_tx_full" 200 MHz; MULTICYCLE TO CELL "THE_MEDIA_INTERFACE/THE_SCI_READER/PROC_SCI_CTRL.BUS_TX*" 10 ns; MULTICYCLE TO CELL "THE_MEDIA_INTERFACE/THE_MED_CONTROL/THE_TX/STAT_REG_OUT*" 10 ns; @@ -19,6 +19,13 @@ MULTICYCLE TO CELL "THE_MEDIA_INTERFACE/THE_MED_CONTROL/THE_TX/STAT_REG_OUT*" 10 REGION "MEDIA" "R57C34D" 13 30; LOCATE UGROUP "THE_MEDIA_INTERFACE/media_interface_group" REGION "MEDIA" ; +#REGION "RESTAREA" "R2C12D" 65 67; +#UGROUP "REST" +# BLKNAME THE_SPI +# BLKNAME THE_TOOLS +# BLKNAME THE_BUS_HANDLER +# BLKNAME THE_ENDPOINT; +#LOCATE UGROUP "REST" REGION "RESTAREA"; # USE PRIMARY NET THE_TDC/calibration_pulse ; @@ -54,410 +61,583 @@ PRIORITIZE NET THE_TDC/gen_CHANNELS.28.THE_CHANNEL/gated_inp 100; PRIORITIZE NET THE_TDC/gen_CHANNELS.29.THE_CHANNEL/gated_inp 100; PRIORITIZE NET THE_TDC/gen_CHANNELS.30.THE_CHANNEL/gated_inp 100; PRIORITIZE NET THE_TDC/gen_CHANNELS.31.THE_CHANNEL/gated_inp 100; - -# MAXDELAY NET "THE_TDC/gen_CHANNELS.16.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.17.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.18.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.19.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.20.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.21.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.22.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.23.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.24.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.25.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.26.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.27.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.28.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.29.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.30.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.31.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.0.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.1.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.2.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.3.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.4.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.5.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.6.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.7.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.8.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.9.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.10.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.11.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.12.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.13.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.14.THE_CHANNEL/gated_inp" 0.500000 ns ; -# MAXDELAY NET "THE_TDC/gen_CHANNELS.15.THE_CHANNEL/gated_inp" 0.500000 ns ; +PRIORITIZE NET THE_TDC/THE_REF_CHANNEL/gated_inp 100; + +MAXDELAY NET "THE_TDC/gen_CHANNELS.16.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.17.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.18.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.19.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.20.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.21.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.22.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.23.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.24.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.25.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.26.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.27.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.28.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.29.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.30.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.31.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.0.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.1.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.2.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.3.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.4.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.5.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.6.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.7.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.8.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.9.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.10.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.11.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.12.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.13.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.14.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/gen_CHANNELS.15.THE_CHANNEL/gated_inp" 0.500000 ns ; +MAXDELAY NET "THE_TDC/THE_REF_CHANNEL/gated_inp" 0.5 ns ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.0.THE_CHANNEL/THE_INP/InpLut" SITE "R59C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.0.THE_CHANNEL/THE_FF/FFregs" SITE "R59C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.0.THE_CHANNEL/THE_FFF/FFregs" SITE "R59C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.0.THE_CHANNEL/THE_FF2/FFregs2" SITE "R59C82D";## -PROHIBIT SITE "R59C86C"; +PROHIBIT SITE "R59C85B"; +PROHIBIT SITE "R59C85C"; +PROHIBIT SITE "R59C84B"; +PROHIBIT SITE "R59C84C"; +PROHIBIT SITE "R59C88B"; +PROHIBIT SITE "R59C88C"; +PROHIBIT SITE "R59C87B"; +PROHIBIT SITE "R59C87C"; +PROHIBIT SITE "R59C86C"; +PROHIBIT SITE "R59C86B"; +PROHIBIT SITE "R59C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.1.THE_CHANNEL/THE_INP/InpLut" SITE "R61C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.1.THE_CHANNEL/THE_FF/FFregs" SITE "R61C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.1.THE_CHANNEL/THE_FFF/FFregs" SITE "R61C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.1.THE_CHANNEL/THE_FF2/FFregs2" SITE "R61C82D";## -PROHIBIT SITE "R61C86C"; +PROHIBIT SITE "R61C85B"; +PROHIBIT SITE "R61C85C"; +PROHIBIT SITE "R61C84B"; +PROHIBIT SITE "R61C84C"; +PROHIBIT SITE "R61C88B"; +PROHIBIT SITE "R61C88C"; +PROHIBIT SITE "R61C87B"; +PROHIBIT SITE "R61C87C"; +PROHIBIT SITE "R61C86C"; +PROHIBIT SITE "R61C86B"; +PROHIBIT SITE "R61C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.2.THE_CHANNEL/THE_INP/InpLut" SITE "R63C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.2.THE_CHANNEL/THE_FF/FFregs" SITE "R63C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.2.THE_CHANNEL/THE_FFF/FFregs" SITE "R63C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.2.THE_CHANNEL/THE_FF2/FFregs2" SITE "R63C82D";## -PROHIBIT SITE "R63C86C"; +PROHIBIT SITE "R63C85B"; +PROHIBIT SITE "R63C85C"; +PROHIBIT SITE "R63C84B"; +PROHIBIT SITE "R63C84C"; +PROHIBIT SITE "R63C88B"; +PROHIBIT SITE "R63C88C"; +PROHIBIT SITE "R63C87B"; +PROHIBIT SITE "R63C87C"; +PROHIBIT SITE "R63C86C"; +PROHIBIT SITE "R63C86B"; +PROHIBIT SITE "R63C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.3.THE_CHANNEL/THE_INP/InpLut" SITE "R39C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.3.THE_CHANNEL/THE_FF/FFregs" SITE "R39C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.3.THE_CHANNEL/THE_FFF/FFregs" SITE "R39C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.3.THE_CHANNEL/THE_FF2/FFregs2" SITE "R39C82D";## -PROHIBIT SITE "R39C86C"; +PROHIBIT SITE "R39C85B"; +PROHIBIT SITE "R39C85C"; +PROHIBIT SITE "R39C84B"; +PROHIBIT SITE "R39C84C"; +PROHIBIT SITE "R39C88B"; +PROHIBIT SITE "R39C88C"; +PROHIBIT SITE "R39C87B"; +PROHIBIT SITE "R39C87C"; +PROHIBIT SITE "R39C86C"; +PROHIBIT SITE "R39C86B"; +PROHIBIT SITE "R39C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.4.THE_CHANNEL/THE_INP/InpLut" SITE "R42C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.4.THE_CHANNEL/THE_FF/FFregs" SITE "R42C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.4.THE_CHANNEL/THE_FFF/FFregs" SITE "R42C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.4.THE_CHANNEL/THE_FF2/FFregs2" SITE "R42C82D";## -PROHIBIT SITE "R42C86C"; +PROHIBIT SITE "R42C85B"; +PROHIBIT SITE "R42C85C"; +PROHIBIT SITE "R42C84B"; +PROHIBIT SITE "R42C84C"; +PROHIBIT SITE "R42C88B"; +PROHIBIT SITE "R42C88C"; +PROHIBIT SITE "R42C87B"; +PROHIBIT SITE "R42C87C"; +PROHIBIT SITE "R42C86C"; +PROHIBIT SITE "R42C86B"; +PROHIBIT SITE "R42C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.5.THE_CHANNEL/THE_INP/InpLut" SITE "R68C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.5.THE_CHANNEL/THE_FF/FFregs" SITE "R68C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.5.THE_CHANNEL/THE_FFF/FFregs" SITE "R68C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.5.THE_CHANNEL/THE_FF2/FFregs2" SITE "R68C82D";## -PROHIBIT SITE "R68C86C"; +PROHIBIT SITE "R68C85B"; +PROHIBIT SITE "R68C85C"; +PROHIBIT SITE "R68C84B"; +PROHIBIT SITE "R68C84C"; +PROHIBIT SITE "R68C88B"; +PROHIBIT SITE "R68C88C"; +PROHIBIT SITE "R68C87B"; +PROHIBIT SITE "R68C87C"; +PROHIBIT SITE "R68C86C"; +PROHIBIT SITE "R68C86B"; +PROHIBIT SITE "R68C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.6.THE_CHANNEL/THE_INP/InpLut" SITE "R65C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.6.THE_CHANNEL/THE_FF/FFregs" SITE "R65C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.6.THE_CHANNEL/THE_FFF/FFregs" SITE "R65C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.6.THE_CHANNEL/THE_FF2/FFregs2" SITE "R65C82D";## -PROHIBIT SITE "R65C86C"; +PROHIBIT SITE "R65C85B"; +PROHIBIT SITE "R65C85C"; +PROHIBIT SITE "R65C84B"; +PROHIBIT SITE "R65C84C"; +PROHIBIT SITE "R65C88B"; +PROHIBIT SITE "R65C88C"; +PROHIBIT SITE "R65C87B"; +PROHIBIT SITE "R65C87C"; +PROHIBIT SITE "R65C86C"; +PROHIBIT SITE "R65C86B"; +PROHIBIT SITE "R65C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.7.THE_CHANNEL/THE_INP/InpLut" SITE "R44C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.7.THE_CHANNEL/THE_FF/FFregs" SITE "R44C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.7.THE_CHANNEL/THE_FFF/FFregs" SITE "R44C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.7.THE_CHANNEL/THE_FF2/FFregs2" SITE "R44C82D";## -PROHIBIT SITE "R44C86C"; +PROHIBIT SITE "R44C85B"; +PROHIBIT SITE "R44C85C"; +PROHIBIT SITE "R44C84B"; +PROHIBIT SITE "R44C84C"; +PROHIBIT SITE "R44C88B"; +PROHIBIT SITE "R44C88C"; +PROHIBIT SITE "R44C87B"; +PROHIBIT SITE "R44C87C"; +PROHIBIT SITE "R44C86C"; +PROHIBIT SITE "R44C86B"; +PROHIBIT SITE "R44C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.8.THE_CHANNEL/THE_INP/InpLut" SITE "R28C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.8.THE_CHANNEL/THE_FF/FFregs" SITE "R28C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.8.THE_CHANNEL/THE_FFF/FFregs" SITE "R28C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.8.THE_CHANNEL/THE_FF2/FFregs2" SITE "R28C82D" ; -PROHIBIT SITE "R28C86C"; +PROHIBIT SITE "R28C85B"; +PROHIBIT SITE "R28C85C"; +PROHIBIT SITE "R28C84B"; +PROHIBIT SITE "R28C84C"; +PROHIBIT SITE "R28C88B"; +PROHIBIT SITE "R28C88C"; +PROHIBIT SITE "R28C87B"; +PROHIBIT SITE "R28C87C"; +PROHIBIT SITE "R28C86C"; +PROHIBIT SITE "R28C86B"; +PROHIBIT SITE "R28C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.9.THE_CHANNEL/THE_INP/InpLut" SITE "R27C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.9.THE_CHANNEL/THE_FF/FFregs" SITE "R27C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.9.THE_CHANNEL/THE_FFF/FFregs" SITE "R27C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.9.THE_CHANNEL/THE_FF2/FFregs2" SITE "R27C82D";## -PROHIBIT SITE "R27C86C"; +PROHIBIT SITE "R27C85B"; +PROHIBIT SITE "R27C85C"; +PROHIBIT SITE "R27C84B"; +PROHIBIT SITE "R27C84C"; +PROHIBIT SITE "R27C88B"; +PROHIBIT SITE "R27C88C"; +PROHIBIT SITE "R27C87B"; +PROHIBIT SITE "R27C87C"; +PROHIBIT SITE "R27C86C"; +PROHIBIT SITE "R27C86B"; +PROHIBIT SITE "R27C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.10.THE_CHANNEL/THE_INP/InpLut" SITE "R35C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.10.THE_CHANNEL/THE_FF/FFregs" SITE "R35C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.10.THE_CHANNEL/THE_FFF/FFregs" SITE "R35C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.10.THE_CHANNEL/THE_FF2/FFregs2" SITE "R35C82D";## +PROHIBIT SITE "R35C85B"; +PROHIBIT SITE "R35C85C"; +PROHIBIT SITE "R35C84B"; +PROHIBIT SITE "R35C84C"; +PROHIBIT SITE "R35C88B"; +PROHIBIT SITE "R35C88C"; +PROHIBIT SITE "R35C87B"; +PROHIBIT SITE "R35C87C"; PROHIBIT SITE "R35C86C"; +PROHIBIT SITE "R35C86B"; +PROHIBIT SITE "R35C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.11.THE_CHANNEL/THE_INP/InpLut" SITE "R25C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.11.THE_CHANNEL/THE_FF/FFregs" SITE "R25C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.11.THE_CHANNEL/THE_FFF/FFregs" SITE "R25C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.11.THE_CHANNEL/THE_FF2/FFregs2" SITE "R25C82D";## +PROHIBIT SITE "R25C85B"; +PROHIBIT SITE "R25C85C"; +PROHIBIT SITE "R25C84B"; +PROHIBIT SITE "R25C84C"; +PROHIBIT SITE "R25C88B"; +PROHIBIT SITE "R25C88C"; +PROHIBIT SITE "R25C87B"; +PROHIBIT SITE "R25C87C"; PROHIBIT SITE "R25C86C"; +PROHIBIT SITE "R25C86B"; +PROHIBIT SITE "R25C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.12.THE_CHANNEL/THE_INP/InpLut" SITE "R31C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.12.THE_CHANNEL/THE_FF/FFregs" SITE "R31C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.12.THE_CHANNEL/THE_FFF/FFregs" SITE "R31C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.12.THE_CHANNEL/THE_FF2/FFregs2" SITE "R31C82D";## +PROHIBIT SITE "R31C85B"; +PROHIBIT SITE "R31C85C"; +PROHIBIT SITE "R31C84B"; +PROHIBIT SITE "R31C84C"; +PROHIBIT SITE "R31C88B"; +PROHIBIT SITE "R31C88C"; +PROHIBIT SITE "R31C87B"; +PROHIBIT SITE "R31C87C"; PROHIBIT SITE "R31C86C"; +PROHIBIT SITE "R31C86B"; +PROHIBIT SITE "R31C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.13.THE_CHANNEL/THE_INP/InpLut" SITE "R23C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.13.THE_CHANNEL/THE_FF/FFregs" SITE "R23C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.13.THE_CHANNEL/THE_FFF/FFregs" SITE "R23C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.13.THE_CHANNEL/THE_FF2/FFregs2" SITE "R23C82D";## +PROHIBIT SITE "R23C85B"; +PROHIBIT SITE "R23C85C"; +PROHIBIT SITE "R23C84B"; +PROHIBIT SITE "R23C84C"; +PROHIBIT SITE "R23C88B"; +PROHIBIT SITE "R23C88C"; +PROHIBIT SITE "R23C87B"; +PROHIBIT SITE "R23C87C"; PROHIBIT SITE "R23C86C"; +PROHIBIT SITE "R23C86B"; +PROHIBIT SITE "R23C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.14.THE_CHANNEL/THE_INP/InpLut" SITE "R37C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.14.THE_CHANNEL/THE_FF/FFregs" SITE "R37C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.14.THE_CHANNEL/THE_FFF/FFregs" SITE "R37C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.14.THE_CHANNEL/THE_FF2/FFregs2" SITE "R37C82D";## +PROHIBIT SITE "R37C85B"; +PROHIBIT SITE "R37C85C"; +PROHIBIT SITE "R37C84B"; +PROHIBIT SITE "R37C84C"; +PROHIBIT SITE "R37C88B"; +PROHIBIT SITE "R37C88C"; +PROHIBIT SITE "R37C87B"; +PROHIBIT SITE "R37C87C"; PROHIBIT SITE "R37C86C"; +PROHIBIT SITE "R37C86B"; +PROHIBIT SITE "R37C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.15.THE_CHANNEL/THE_INP/InpLut" SITE "R15C86D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.15.THE_CHANNEL/THE_FF/FFregs" SITE "R15C84D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.15.THE_CHANNEL/THE_FFF/FFregs" SITE "R15C87D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.15.THE_CHANNEL/THE_FF2/FFregs2" SITE "R15C82D";## +PROHIBIT SITE "R15C85B"; +PROHIBIT SITE "R15C85C"; +PROHIBIT SITE "R15C84B"; +PROHIBIT SITE "R15C84C"; +PROHIBIT SITE "R15C88B"; +PROHIBIT SITE "R15C88C"; +PROHIBIT SITE "R15C87B"; +PROHIBIT SITE "R15C87C"; PROHIBIT SITE "R15C86C"; +PROHIBIT SITE "R15C86B"; +PROHIBIT SITE "R15C86A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.16.THE_CHANNEL/THE_INP/InpLut" SITE "R61C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.16.THE_CHANNEL/THE_FF/FFregs" SITE "R61C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.16.THE_CHANNEL/THE_FFF/FFregs" SITE "R61C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.16.THE_CHANNEL/THE_FF2/FFregs2" SITE "R61C8D"; -# PROHIBIT SITE "R61C3B"; -# PROHIBIT SITE "R61C3C"; -# PROHIBIT SITE "R61C4B"; -# PROHIBIT SITE "R61C4C"; -# PROHIBIT SITE "R61C6B"; -# PROHIBIT SITE "R61C6C"; -# PROHIBIT SITE "R61C7B"; -# PROHIBIT SITE "R61C7C"; +PROHIBIT SITE "R61C3B"; +PROHIBIT SITE "R61C3C"; +PROHIBIT SITE "R61C4B"; +PROHIBIT SITE "R61C4C"; +PROHIBIT SITE "R61C6B"; +PROHIBIT SITE "R61C6C"; +PROHIBIT SITE "R61C7B"; +PROHIBIT SITE "R61C7C"; PROHIBIT SITE "R61C5C"; -# PROHIBIT SITE "R61C5B"; -# PROHIBIT SITE "R61C5D"; +PROHIBIT SITE "R61C5B"; +PROHIBIT SITE "R61C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.17.THE_CHANNEL/THE_INP/InpLut" SITE "R65C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.17.THE_CHANNEL/THE_FF/FFregs" SITE "R65C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.17.THE_CHANNEL/THE_FFF/FFregs" SITE "R65C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.17.THE_CHANNEL/THE_FF2/FFregs2" SITE "R65C8D"; -# PROHIBIT SITE "R65C3B"; -# PROHIBIT SITE "R65C3C"; -# PROHIBIT SITE "R65C4B"; -# PROHIBIT SITE "R65C4C"; -# PROHIBIT SITE "R65C6B"; -# PROHIBIT SITE "R65C6C"; -# PROHIBIT SITE "R65C7B"; -# PROHIBIT SITE "R65C7C"; +PROHIBIT SITE "R65C3B"; +PROHIBIT SITE "R65C3C"; +PROHIBIT SITE "R65C4B"; +PROHIBIT SITE "R65C4C"; +PROHIBIT SITE "R65C6B"; +PROHIBIT SITE "R65C6C"; +PROHIBIT SITE "R65C7B"; +PROHIBIT SITE "R65C7C"; PROHIBIT SITE "R65C5C"; -# PROHIBIT SITE "R65C5B"; -# PROHIBIT SITE "R65C5D"; +PROHIBIT SITE "R65C5B"; +PROHIBIT SITE "R65C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.18.THE_CHANNEL/THE_INP/InpLut" SITE "R41C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.18.THE_CHANNEL/THE_FF/FFregs" SITE "R41C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.18.THE_CHANNEL/THE_FFF/FFregs" SITE "R41C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.18.THE_CHANNEL/THE_FF2/FFregs2" SITE "R41C8D"; -# PROHIBIT SITE "R41C3B"; -# PROHIBIT SITE "R41C3C"; -# PROHIBIT SITE "R41C4B"; -# PROHIBIT SITE "R41C4C"; -# PROHIBIT SITE "R41C6B"; -# PROHIBIT SITE "R41C6C"; -# PROHIBIT SITE "R41C7B"; -# PROHIBIT SITE "R41C7C"; +PROHIBIT SITE "R41C3B"; +PROHIBIT SITE "R41C3C"; +PROHIBIT SITE "R41C4B"; +PROHIBIT SITE "R41C4C"; +PROHIBIT SITE "R41C6B"; +PROHIBIT SITE "R41C6C"; +PROHIBIT SITE "R41C7B"; +PROHIBIT SITE "R41C7C"; PROHIBIT SITE "R41C5C"; -# PROHIBIT SITE "R41C5B"; -# PROHIBIT SITE "R41C5D"; +PROHIBIT SITE "R41C5B"; +PROHIBIT SITE "R41C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.19.THE_CHANNEL/THE_INP/InpLut" SITE "R68C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.19.THE_CHANNEL/THE_FF/FFregs" SITE "R68C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.19.THE_CHANNEL/THE_FFF/FFregs" SITE "R68C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.19.THE_CHANNEL/THE_FF2/FFregs2" SITE "R68C8D"; -# PROHIBIT SITE "R68C3B"; -# PROHIBIT SITE "R68C3C"; -# PROHIBIT SITE "R68C4B"; -# PROHIBIT SITE "R68C4C"; -# PROHIBIT SITE "R68C6B"; -# PROHIBIT SITE "R68C6C"; -# PROHIBIT SITE "R68C7B"; -# PROHIBIT SITE "R68C7C"; +PROHIBIT SITE "R68C3B"; +PROHIBIT SITE "R68C3C"; +PROHIBIT SITE "R68C4B"; +PROHIBIT SITE "R68C4C"; +PROHIBIT SITE "R68C6B"; +PROHIBIT SITE "R68C6C"; +PROHIBIT SITE "R68C7B"; +PROHIBIT SITE "R68C7C"; PROHIBIT SITE "R68C5C"; -# PROHIBIT SITE "R68C5B"; -# PROHIBIT SITE "R68C5D"; +PROHIBIT SITE "R68C5B"; +PROHIBIT SITE "R68C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.20.THE_CHANNEL/THE_INP/InpLut" SITE "R63C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.20.THE_CHANNEL/THE_FF/FFregs" SITE "R63C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.20.THE_CHANNEL/THE_FFF/FFregs" SITE "R63C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.20.THE_CHANNEL/THE_FF2/FFregs2" SITE "R63C8D"; -# PROHIBIT SITE "R63C3B"; -# PROHIBIT SITE "R63C3C"; -# PROHIBIT SITE "R63C4B"; -# PROHIBIT SITE "R63C4C"; -# PROHIBIT SITE "R63C6B"; -# PROHIBIT SITE "R63C6C"; -# PROHIBIT SITE "R63C7B"; -# PROHIBIT SITE "R63C7C"; +PROHIBIT SITE "R63C3B"; +PROHIBIT SITE "R63C3C"; +PROHIBIT SITE "R63C4B"; +PROHIBIT SITE "R63C4C"; +PROHIBIT SITE "R63C6B"; +PROHIBIT SITE "R63C6C"; +PROHIBIT SITE "R63C7B"; +PROHIBIT SITE "R63C7C"; PROHIBIT SITE "R63C5C"; -# PROHIBIT SITE "R63C5B"; -# PROHIBIT SITE "R63C5D"; +PROHIBIT SITE "R63C5B"; +PROHIBIT SITE "R63C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.21.THE_CHANNEL/THE_INP/InpLut" SITE "R39C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.21.THE_CHANNEL/THE_FF/FFregs" SITE "R39C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.21.THE_CHANNEL/THE_FFF/FFregs" SITE "R39C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.21.THE_CHANNEL/THE_FF2/FFregs2" SITE "R39C8D"; -# PROHIBIT SITE "R39C3B"; -# PROHIBIT SITE "R39C3C"; -# PROHIBIT SITE "R39C4B"; -# PROHIBIT SITE "R39C4C"; -# PROHIBIT SITE "R39C6B"; -# PROHIBIT SITE "R39C6C"; -# PROHIBIT SITE "R39C7B"; -# PROHIBIT SITE "R39C7C"; +PROHIBIT SITE "R39C3B"; +PROHIBIT SITE "R39C3C"; +PROHIBIT SITE "R39C4B"; +PROHIBIT SITE "R39C4C"; +PROHIBIT SITE "R39C6B"; +PROHIBIT SITE "R39C6C"; +PROHIBIT SITE "R39C7B"; +PROHIBIT SITE "R39C7C"; PROHIBIT SITE "R39C5C"; -# PROHIBIT SITE "R39C5B"; -# PROHIBIT SITE "R39C5D"; +PROHIBIT SITE "R39C5B"; +PROHIBIT SITE "R39C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.22.THE_CHANNEL/THE_INP/InpLut" SITE "R37C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.22.THE_CHANNEL/THE_FF/FFregs" SITE "R37C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.22.THE_CHANNEL/THE_FFF/FFregs" SITE "R37C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.22.THE_CHANNEL/THE_FF2/FFregs2" SITE "R37C8D"; -# PROHIBIT SITE "R37C3B"; -# PROHIBIT SITE "R37C3C"; -# PROHIBIT SITE "R37C4B"; -# PROHIBIT SITE "R37C4C"; -# PROHIBIT SITE "R37C6B"; -# PROHIBIT SITE "R37C6C"; -# PROHIBIT SITE "R37C7B"; -# PROHIBIT SITE "R37C7C"; +PROHIBIT SITE "R37C3B"; +PROHIBIT SITE "R37C3C"; +PROHIBIT SITE "R37C4B"; +PROHIBIT SITE "R37C4C"; +PROHIBIT SITE "R37C6B"; +PROHIBIT SITE "R37C6C"; +PROHIBIT SITE "R37C7B"; +PROHIBIT SITE "R37C7C"; PROHIBIT SITE "R37C5C"; -# PROHIBIT SITE "R37C5B"; -# PROHIBIT SITE "R37C5D"; +PROHIBIT SITE "R37C5B"; +PROHIBIT SITE "R37C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.23.THE_CHANNEL/THE_INP/InpLut" SITE "R35C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.23.THE_CHANNEL/THE_FF/FFregs" SITE "R35C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.23.THE_CHANNEL/THE_FFF/FFregs" SITE "R35C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.23.THE_CHANNEL/THE_FF2/FFregs2" SITE "R35C8D"; -# PROHIBIT SITE "R35C3B"; -# PROHIBIT SITE "R35C3C"; -# PROHIBIT SITE "R35C4B"; -# PROHIBIT SITE "R35C4C"; -# PROHIBIT SITE "R35C6B"; -# PROHIBIT SITE "R35C6C"; -# PROHIBIT SITE "R35C7B"; -# PROHIBIT SITE "R35C7C"; +PROHIBIT SITE "R35C3B"; +PROHIBIT SITE "R35C3C"; +PROHIBIT SITE "R35C4B"; +PROHIBIT SITE "R35C4C"; +PROHIBIT SITE "R35C6B"; +PROHIBIT SITE "R35C6C"; +PROHIBIT SITE "R35C7B"; +PROHIBIT SITE "R35C7C"; PROHIBIT SITE "R35C5C"; -# PROHIBIT SITE "R35C5B"; -# PROHIBIT SITE "R35C5D"; +PROHIBIT SITE "R35C5B"; +PROHIBIT SITE "R35C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.24.THE_CHANNEL/THE_INP/InpLut" SITE "R11C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.24.THE_CHANNEL/THE_FF/FFregs" SITE "R11C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.24.THE_CHANNEL/THE_FFF/FFregs" SITE "R11C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.24.THE_CHANNEL/THE_FF2/FFregs2" SITE "R11C8D"; -# PROHIBIT SITE "R11C3B"; -# PROHIBIT SITE "R11C3C"; -# PROHIBIT SITE "R11C4B"; -# PROHIBIT SITE "R11C4C"; -# PROHIBIT SITE "R11C6B"; -# PROHIBIT SITE "R11C6C"; -# PROHIBIT SITE "R11C7B"; -# PROHIBIT SITE "R11C7C"; +PROHIBIT SITE "R11C3B"; +PROHIBIT SITE "R11C3C"; +PROHIBIT SITE "R11C4B"; +PROHIBIT SITE "R11C4C"; +PROHIBIT SITE "R11C6B"; +PROHIBIT SITE "R11C6C"; +PROHIBIT SITE "R11C7B"; +PROHIBIT SITE "R11C7C"; PROHIBIT SITE "R11C5C"; -# PROHIBIT SITE "R11C5B"; -# PROHIBIT SITE "R11C5D"; +PROHIBIT SITE "R11C5B"; +PROHIBIT SITE "R11C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.25.THE_CHANNEL/THE_INP/InpLut" SITE "R8C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.25.THE_CHANNEL/THE_FF/FFregs" SITE "R8C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.25.THE_CHANNEL/THE_FFF/FFregs" SITE "R8C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.25.THE_CHANNEL/THE_FF2/FFregs2" SITE "R8C8D"; -# PROHIBIT SITE "R8C3B"; -# PROHIBIT SITE "R8C3C"; -# PROHIBIT SITE "R8C4B"; -# PROHIBIT SITE "R8C4C"; -# PROHIBIT SITE "R8C6B"; -# PROHIBIT SITE "R8C6C"; -# PROHIBIT SITE "R8C7B"; -# PROHIBIT SITE "R8C7C"; +PROHIBIT SITE "R8C3B"; +PROHIBIT SITE "R8C3C"; +PROHIBIT SITE "R8C4B"; +PROHIBIT SITE "R8C4C"; +PROHIBIT SITE "R8C6B"; +PROHIBIT SITE "R8C6C"; +PROHIBIT SITE "R8C7B"; +PROHIBIT SITE "R8C7C"; PROHIBIT SITE "R8C5C"; -# PROHIBIT SITE "R8C5B"; -# PROHIBIT SITE "R8C5D"; +PROHIBIT SITE "R8C5B"; +PROHIBIT SITE "R8C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.26.THE_CHANNEL/THE_INP/InpLut" SITE "R32C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.26.THE_CHANNEL/THE_FF/FFregs" SITE "R32C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.26.THE_CHANNEL/THE_FFF/FFregs" SITE "R32C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.26.THE_CHANNEL/THE_FF2/FFregs2" SITE "R32C8D"; -# PROHIBIT SITE "R32C3B"; -# PROHIBIT SITE "R32C3C"; -# PROHIBIT SITE "R32C4B"; -# PROHIBIT SITE "R32C4C"; -# PROHIBIT SITE "R32C6B"; -# PROHIBIT SITE "R32C6C"; -# PROHIBIT SITE "R32C7B"; -# PROHIBIT SITE "R32C7C"; +PROHIBIT SITE "R32C3B"; +PROHIBIT SITE "R32C3C"; +PROHIBIT SITE "R32C4B"; +PROHIBIT SITE "R32C4C"; +PROHIBIT SITE "R32C6B"; +PROHIBIT SITE "R32C6C"; +PROHIBIT SITE "R32C7B"; +PROHIBIT SITE "R32C7C"; PROHIBIT SITE "R32C5C"; -# PROHIBIT SITE "R32C5B"; -# PROHIBIT SITE "R32C5D"; +PROHIBIT SITE "R32C5B"; +PROHIBIT SITE "R32C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.27.THE_CHANNEL/THE_INP/InpLut" SITE "R14C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.27.THE_CHANNEL/THE_FF/FFregs" SITE "R14C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.27.THE_CHANNEL/THE_FFF/FFregs" SITE "R14C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.27.THE_CHANNEL/THE_FF2/FFregs2" SITE "R14C8D"; -# PROHIBIT SITE "R14C3B"; -# PROHIBIT SITE "R14C3C"; -# PROHIBIT SITE "R14C4B"; -# PROHIBIT SITE "R14C4C"; -# PROHIBIT SITE "R14C6B"; -# PROHIBIT SITE "R14C6C"; -# PROHIBIT SITE "R14C7B"; -# PROHIBIT SITE "R14C7C"; +PROHIBIT SITE "R14C3B"; +PROHIBIT SITE "R14C3C"; +PROHIBIT SITE "R14C4B"; +PROHIBIT SITE "R14C4C"; +PROHIBIT SITE "R14C6B"; +PROHIBIT SITE "R14C6C"; +PROHIBIT SITE "R14C7B"; +PROHIBIT SITE "R14C7C"; PROHIBIT SITE "R14C5C"; -# PROHIBIT SITE "R14C5B"; -# PROHIBIT SITE "R14C5D"; +PROHIBIT SITE "R14C5B"; +PROHIBIT SITE "R14C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.28.THE_CHANNEL/THE_INP/InpLut" SITE "R26C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.28.THE_CHANNEL/THE_FF/FFregs" SITE "R26C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.28.THE_CHANNEL/THE_FFF/FFregs" SITE "R26C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.28.THE_CHANNEL/THE_FF2/FFregs2" SITE "R26C8D"; -# PROHIBIT SITE "R26C3B"; -# PROHIBIT SITE "R26C3C"; -# PROHIBIT SITE "R26C4B"; -# PROHIBIT SITE "R26C4C"; -# PROHIBIT SITE "R26C6B"; -# PROHIBIT SITE "R26C6C"; -# PROHIBIT SITE "R26C7B"; -# PROHIBIT SITE "R26C7C"; +PROHIBIT SITE "R26C3B"; +PROHIBIT SITE "R26C3C"; +PROHIBIT SITE "R26C4B"; +PROHIBIT SITE "R26C4C"; +PROHIBIT SITE "R26C6B"; +PROHIBIT SITE "R26C6C"; +PROHIBIT SITE "R26C7B"; +PROHIBIT SITE "R26C7C"; PROHIBIT SITE "R26C5C"; -# PROHIBIT SITE "R26C5B"; -# PROHIBIT SITE "R26C5D"; +PROHIBIT SITE "R26C5B"; +PROHIBIT SITE "R26C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.29.THE_CHANNEL/THE_INP/InpLut" SITE "R16C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.29.THE_CHANNEL/THE_FF/FFregs" SITE "R16C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.29.THE_CHANNEL/THE_FFF/FFregs" SITE "R16C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.29.THE_CHANNEL/THE_FF2/FFregs2" SITE "R16C8D"; -# PROHIBIT SITE "R16C3B"; -# PROHIBIT SITE "R16C3C"; -# PROHIBIT SITE "R16C4B"; -# PROHIBIT SITE "R16C4C"; -# PROHIBIT SITE "R16C6B"; -# PROHIBIT SITE "R16C6C"; -# PROHIBIT SITE "R16C7B"; -# PROHIBIT SITE "R16C7C"; +PROHIBIT SITE "R16C3B"; +PROHIBIT SITE "R16C3C"; +PROHIBIT SITE "R16C4B"; +PROHIBIT SITE "R16C4C"; +PROHIBIT SITE "R16C6B"; +PROHIBIT SITE "R16C6C"; +PROHIBIT SITE "R16C7B"; +PROHIBIT SITE "R16C7C"; PROHIBIT SITE "R16C5C"; -# PROHIBIT SITE "R16C5B"; -# PROHIBIT SITE "R16C5D"; +PROHIBIT SITE "R16C5B"; +PROHIBIT SITE "R16C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.30.THE_CHANNEL/THE_INP/InpLut" SITE "R18C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.30.THE_CHANNEL/THE_FF/FFregs" SITE "R18C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.30.THE_CHANNEL/THE_FFF/FFregs" SITE "R18C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.30.THE_CHANNEL/THE_FF2/FFregs2" SITE "R18C8D"; -# PROHIBIT SITE "R18C3B"; -# PROHIBIT SITE "R18C3C"; -# PROHIBIT SITE "R18C4B"; -# PROHIBIT SITE "R18C4C"; -# PROHIBIT SITE "R18C6B"; -# PROHIBIT SITE "R18C6C"; -# PROHIBIT SITE "R18C7B"; -# PROHIBIT SITE "R18C7C"; +PROHIBIT SITE "R18C3B"; +PROHIBIT SITE "R18C3C"; +PROHIBIT SITE "R18C4B"; +PROHIBIT SITE "R18C4C"; +PROHIBIT SITE "R18C6B"; +PROHIBIT SITE "R18C6C"; +PROHIBIT SITE "R18C7B"; +PROHIBIT SITE "R18C7C"; PROHIBIT SITE "R18C5C"; -# PROHIBIT SITE "R18C5B"; -# PROHIBIT SITE "R18C5D"; +PROHIBIT SITE "R18C5B"; +PROHIBIT SITE "R18C5A"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.31.THE_CHANNEL/THE_INP/InpLut" SITE "R23C5D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.31.THE_CHANNEL/THE_FF/FFregs" SITE "R23C6D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.31.THE_CHANNEL/THE_FFF/FFregs" SITE "R23C3D" ; LOCATE UGROUP "THE_TDC/gen_CHANNELS.31.THE_CHANNEL/THE_FF2/FFregs2" SITE "R23C8D"; -# PROHIBIT SITE "R23C3B"; -# PROHIBIT SITE "R23C3C"; -# PROHIBIT SITE "R23C4B"; -# PROHIBIT SITE "R23C4C"; -# PROHIBIT SITE "R23C6B"; -# PROHIBIT SITE "R23C6C"; -# PROHIBIT SITE "R23C7B"; -# PROHIBIT SITE "R23C7C"; +PROHIBIT SITE "R23C3B"; +PROHIBIT SITE "R23C3C"; +PROHIBIT SITE "R23C4B"; +PROHIBIT SITE "R23C4C"; +PROHIBIT SITE "R23C6B"; +PROHIBIT SITE "R23C6C"; +PROHIBIT SITE "R23C7B"; +PROHIBIT SITE "R23C7C"; PROHIBIT SITE "R23C5C"; -# PROHIBIT SITE "R23C5B"; -# PROHIBIT SITE "R23C5D"; - -LOCATE UGROUP "THE_TDC/THE_REF_CHANNEL/THE_INP/InpLut" SITE "R12C86D" ; -LOCATE UGROUP "THE_TDC/THE_REF_CHANNEL/THE_FF/FFregs" SITE "R12C84D" ; -LOCATE UGROUP "THE_TDC/THE_REF_CHANNEL/THE_FFF/FFregs" SITE "R12C87D" ; -LOCATE UGROUP "THE_TDC/THE_REF_CHANNEL/THE_FF2/FFregs2" SITE "R12C82D";## +PROHIBIT SITE "R23C5B"; +PROHIBIT SITE "R23C5A"; + +LOCATE UGROUP "THE_TDC/THE_REF_CHANNEL/THE_INP/InpLut" SITE "R11C86D" ; +LOCATE UGROUP "THE_TDC/THE_REF_CHANNEL/THE_FF/FFregs" SITE "R11C84D" ; +LOCATE UGROUP "THE_TDC/THE_REF_CHANNEL/THE_FFF/FFregs" SITE "R11C87D" ; +LOCATE UGROUP "THE_TDC/THE_REF_CHANNEL/THE_FF2/FFregs2" SITE "R11C82D";## +PROHIBIT SITE "R11C85B"; +PROHIBIT SITE "R11C85C"; +PROHIBIT SITE "R11C84B"; +PROHIBIT SITE "R11C84C"; +PROHIBIT SITE "R11C88B"; +PROHIBIT SITE "R11C88C"; +PROHIBIT SITE "R11C87B"; +PROHIBIT SITE "R11C87C"; +PROHIBIT SITE "R11C86C"; +PROHIBIT SITE "R11C86B"; +PROHIBIT SITE "R11C86A"; # REGION "TDCLEFT" "R2C16D" 68 4; # PROHIBIT REGION "TDCLEFT"; # REGION "TDCRIGHT" "R2C68D" 68 4; # PROHIBIT REGION "TDCRIGHT"; -REGION "DECODERLEFT" "R9C2D" 60 10; +REGION "DECODERLEFT" "R7C3D" 60 10; REGION "DECODERRIGHT" "R7C78D" 60 10; LOCATE UGROUP "THE_TDC/gen_CHANNELS.16.THE_CHANNEL/THE_DECODER/Decoder" REGION "DECODERLEFT"; LOCATE UGROUP "THE_TDC/gen_CHANNELS.17.THE_CHANNEL/THE_DECODER/Decoder" REGION "DECODERLEFT"; diff --git a/DBO/mdctdc.prj b/DBO/mdctdc.prj index 78d14ed..707b2fc 100644 --- a/DBO/mdctdc.prj +++ b/DBO/mdctdc.prj @@ -9,37 +9,82 @@ set_option -package BG381C set_option -speed_grade -8 set_option -part_companion "" -# compilation/mapping options -set_option -default_enum_encoding sequential -set_option -symbolic_fsm_compiler 1 -set_option -top_module "mdctdc" -set_option -resource_sharing false -set_option -vhdl2008 true - -# map options -set_option -frequency 120 -set_option -fanout_limit 100 -set_option -disable_io_insertion 0 -set_option -retiming 1 -set_option -pipe 1 -set_option -forcegsr false -set_option -fixgatedclocks 3 -set_option -fixgeneratedclocks 3 -set_option -compiler_compatible true -set_option -multi_file_compilation_unit 1 - -set_option -max_parallel_jobs 3 -#set_option -automatic_compile_point 1 -#set_option -continue_on_error 1 -set_option -resolve_multiple_driver 1 - -# simulation options -set_option -write_verilog 0 -set_option -write_vhdl 1 - -# automatic place and route (vendor) options -set_option -write_apr_constraint 0 +#compilation/mapping options +set_option -symbolic_fsm_compiler true +set_option -resource_sharing true + +#use verilog 2001 standard option +set_option -vlog_std v2001 + +#map options +set_option -frequency 200 +set_option -maxfan 1000 +set_option -auto_constrain_io 0 +set_option -disable_io_insertion false +set_option -retiming false; set_option -pipe true +set_option -force_gsr false +set_option -compiler_compatible 0 +set_option -dup false + +set_option -default_enum_encoding default + +#simulation options + + +#timing analysis options + + + +#automatic place and route (vendor) options +set_option -write_apr_constraint 1 + +#synplifyPro options +set_option -fix_gated_and_generated_clocks 1 +set_option -update_models_cp 0 +set_option -resolve_multiple_driver 0 +set_option -vhdl2008 1 + + +# +# # compilation/mapping options +# set_option -default_enum_encoding sequential +# set_option -symbolic_fsm_compiler 1 + +# set_option -resource_sharing true +# #false +# set_option -vhdl2008 true +# +# # map options +# set_option -frequency 200 +# #120 +# set_option -fanout_limit 1000 +# #100 +# set_option -disable_io_insertion 0 +# set_option -retiming 0 +# #1 +# set_option -pipe 1 +# set_option -forcegsr false +# #set_option -fixgatedclocks 3 +# #set_option -fixgeneratedclocks 3 +# set_option -compiler_compatible false +# #0 +# set_option -multi_file_compilation_unit 1 +# +# set_option -max_parallel_jobs 3 +# #set_option -automatic_compile_point 1 +# #set_option -continue_on_error 1 +# set_option -resolve_multiple_driver 1 +# +# # simulation options +# set_option -write_verilog 0 +# set_option -write_vhdl 1 +# +# # automatic place and route (vendor) options +# set_option -write_apr_constraint 0 + + +set_option -top_module "mdctdc" # set result format/file last project -result_format "edif" project -result_file "workdir/mdctdc.edf" @@ -206,6 +251,10 @@ add_file -vhdl -lib work "../../clocked_tdc/code/Decoder.vhd" add_file -vhdl -lib work "../../clocked_tdc/code/HitBuffer.vhd" add_file -vhdl -lib work "../../clocked_tdc/code/ReadoutHandler.vhd" +add_file -vhdl -lib work "../../mdcupgrade_spi_pasttrec/SPI/code/ram_dp_pasttrec.vhd" +add_file -vhdl -lib work "../../mdcupgrade_spi_pasttrec/SPI/code/spi_ltc2600_pasttrec.vhd" +add_file -vhdl -lib work "../../mdcupgrade_spi_pasttrec/SPI/code/pasttrec_spi.vhd" + add_file -vhdl -lib work "./mdctdc.vhd" diff --git a/DBO/mdctdc.vhd b/DBO/mdctdc.vhd index 75ff429..f6318e1 100644 --- a/DBO/mdctdc.vhd +++ b/DBO/mdctdc.vhd @@ -79,8 +79,8 @@ architecture arch of mdctdc is signal readout_rx : READOUT_RX; signal readout_tx : readout_tx_array_t(0 to 1); - signal ctrlbus_tx, bustdc_tx, bussci_tx, bustools_tx, bustc_tx, bus_master_in : CTRLBUS_TX; - signal ctrlbus_rx, bustdc_rx, bussci_rx, bustools_rx, bustc_rx, bus_master_out : CTRLBUS_RX; + signal ctrlbus_tx, bustdc_tx, bussci_tx, bustools_tx, bustc_tx, busspi_tx, bus_master_in : CTRLBUS_TX; + signal ctrlbus_rx, bustdc_rx, bussci_rx, bustools_rx, bustc_rx, busspi_rx, bus_master_out : CTRLBUS_RX; signal common_stat_reg : std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0'); signal common_ctrl_reg : std_logic_vector(std_COMCTRLREG*32-1 downto 0); @@ -220,9 +220,9 @@ begin THE_BUS_HANDLER : entity work.trb_net16_regio_bus_handler_record generic map( - PORT_NUMBER => 3, - PORT_ADDRESSES => (0 => x"d000", 1 => x"b000", 2 => x"c000", others => x"0000"), - PORT_ADDR_MASK => (0 => 12, 1 => 9, 2 => 12, others => 0), + PORT_NUMBER => 4, + PORT_ADDRESSES => (0 => x"d000", 1 => x"b000", 2 => x"c000", 3 => x"a000", others => x"0000"), + PORT_ADDR_MASK => (0 => 12, 1 => 9, 2 => 12, 3 => 12, others => 0), PORT_MASK_ENABLE => 1 ) port map( @@ -235,10 +235,11 @@ begin BUS_RX(0) => bustools_rx, --Flash, SPI, UART, ADC, SED BUS_RX(1) => bussci_rx, --SCI Serdes BUS_RX(2) => bustdc_rx, --Clock switch + BUS_RX(3) => busspi_rx, BUS_TX(0) => bustools_tx, BUS_TX(1) => bussci_tx, BUS_TX(2) => bustdc_tx, - + BUS_TX(3) => busspi_tx, STAT_DEBUG => open ); @@ -246,6 +247,9 @@ begin -- Control Tools --------------------------------------------------------------------------- THE_TOOLS : entity work.trb3sc_tools + generic map( + HEADER_PAGE_ADDR => x"3000" + ) port map( CLK => clk_sys, RESET => reset_i, @@ -258,10 +262,10 @@ begin PROGRAMN => PROGRAMN, REBOOT_IN => common_ctrl_reg(15), --SPI - SPI_CS_OUT(1 downto 0) => RSTN, - SPI_MOSI_OUT(1 downto 0) => MOSI, - SPI_MISO_IN(1 downto 0) => MISO, - SPI_CLK_OUT(1 downto 0) => SCK, + --SPI_CS_OUT(1 downto 0) => RSTN, + --SPI_MOSI_OUT(1 downto 0) => MOSI, + --SPI_MISO_IN(1 downto 0) => MISO, + --SPI_CLK_OUT(1 downto 0) => SCK, --Header HEADER_IO => open, ADDITIONAL_REG => additional_reg, @@ -312,7 +316,7 @@ begin -------------------------------------------------------------------------- -- Controls --------------------------------------------------------------------------- - PTEN <= "11"; + PTEN <= not additional_reg(31 downto 30); INJ <= additional_reg(19 downto 16); --"0000"; TEST <= additional_reg(27 downto 24) or (calibration_pulse & calibration_pulse & calibration_pulse & calibration_pulse); --"0000"; @@ -340,7 +344,27 @@ THE_TDC : entity work.TDC_FF ); - +------------------------------------------------------------------------------- +-- THE automatic SPI +------------------------------------------------------------------------------- +THE_SPI : entity work.pasttrec_spi + generic map( + SPI_BUNCHES => 2, + SPI_PASTTREC_PER_BUNCH => 2, + SPI_CHIP_IDs => x"000000" & "1001" & "1001" + )port map( + CLK => clk_sys, + BUS_RX => busspi_rx, + BUS_TX => busspi_tx, + + RST_IN => reset_i, + + SPI_CS_OUT => open, + SPI_SDI_IN => MISO, + SPI_SDO_OUT => MOSI, + SPI_SCK_OUT => SCK, + SPI_RST_OUT => RSTN + ); ------------------------------------------------------------------------------- diff --git a/DBO/project/mdcdbo.ldf b/DBO/project/mdcdbo.ldf index 96ab3fc..f0b04bb 100644 --- a/DBO/project/mdcdbo.ldf +++ b/DBO/project/mdcdbo.ldf @@ -2,7 +2,7 @@ - + @@ -357,6 +357,27 @@ + + + + + + + + + + + + + + + + + + + + + diff --git a/OEP/mdcoep.prj b/OEP/mdcoep.prj index bab32a0..d1d4f54 100644 --- a/OEP/mdcoep.prj +++ b/OEP/mdcoep.prj @@ -132,25 +132,16 @@ add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/sci_reader.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/med_sync_control.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/med_ecp5_sfp_sync.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/med_ecp5_sfp_sync_2.vhd" -#add_file -vhdl -lib work "project/oepserdes/oepserdes.vhd" -#add_file -vhdl -lib work "project/oepserdes/pcs/pcs.vhd" -#add_file -vhdl -lib work "project/oepserdes/pcs1/pcs1.vhd" -#add_file -vhdl -lib work "project/oepserdes/pcs2/pcs2.vhd" -#add_file -vhdl -lib work "project/oepserdes/pcs3/pcs3.vhd" - -#add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/chan0_0/serdes_sync_0.vhd" -#add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/chan0_1/serdes_sync_1.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/chan1_0/serdes_sync_2.vhd" -add_file -vhdl -lib work "./project/dual_serdes/dual_serdes.vhd" -add_file -vhdl -lib work "./project/dual_serdes/serdes0/serdes0.vhd" -add_file -vhdl -lib work "./project/dual_serdes/serdes1/serdes1.vhd" -add_file -verilog -lib work "./project/dual_serdes/serdes0/serdes0_softlogic.v" -add_file -verilog -lib work "./project/dual_serdes/serdes1/serdes1_softlogic.v" +add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/dual_serdes/dual_serdes.vhd" +add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/dual_serdes/serdes0/serdes0.vhd" +add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/dual_serdes/serdes1/serdes1.vhd" +add_file -verilog -lib work "../../trbnet/media_interfaces/ecp5/dual_serdes/serdes0/serdes0_softlogic.v" +add_file -verilog -lib work "../../trbnet/media_interfaces/ecp5/dual_serdes/serdes1/serdes1_softlogic.v" add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/pcs.vhd" -#add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/pcs1.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp5/pcs2.vhd" add_file -verilog -lib work "../../trbnet/media_interfaces/ecp5/serdes_sync_0_softlogic.v" -- 2.43.0