From 4a415c38fd5536e7adbf0d729749c8c0ec6c63b0 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Wed, 23 Mar 2016 13:01:29 +0100 Subject: [PATCH] Removing old Serdes I/O ports from designs --- hubaddon/trb3sc_hubaddon.vhd | 11 +---------- padiwa/trb3sc_padiwa.vhd | 9 --------- pulser/trb3sc_pulser.vhd | 29 ++++++++++++----------------- tdctemplate/trb3sc_tdctemplate.vhd | 15 ++++----------- template/trb3sc_basic.vhd | 2 -- 5 files changed, 17 insertions(+), 49 deletions(-) diff --git a/hubaddon/trb3sc_hubaddon.vhd b/hubaddon/trb3sc_hubaddon.vhd index 68e238e..4e65326 100644 --- a/hubaddon/trb3sc_hubaddon.vhd +++ b/hubaddon/trb3sc_hubaddon.vhd @@ -41,10 +41,7 @@ entity trb3sc_hubaddon is SFP_MOD1 : inout std_logic_vector( 1 downto 0) := (others => 'Z'); SFP_MOD2 : inout std_logic_vector( 1 downto 0) := (others => 'Z'); SFP_TX_DIS : out std_logic_vector( 1 downto 0) := (others => '0'); - - SERDES_TX : out std_logic_vector(13 downto 0); - SERDES_RX : in std_logic_vector(13 downto 0); - + LED_HUB_LINKOK : out std_logic_vector(6 downto 1); LED_HUB_RX : out std_logic_vector(6 downto 1); LED_HUB_TX : out std_logic_vector(6 downto 1); @@ -215,12 +212,6 @@ THE_MEDIA_INTERFACE : entity work.med_ecp3_sfp_sync TX_DLM_WORD => open, --SFP Connection - SD_RXD_P_IN => SERDES_RX(0), - SD_RXD_N_IN => SERDES_RX(1), - SD_TXD_P_OUT => SERDES_TX(0), - SD_TXD_N_OUT => SERDES_TX(1), - SD_REFCLK_P_IN => '0', - SD_REFCLK_N_IN => '0', SD_PRSNT_N_IN => SFP_MOD0(1), SD_LOS_IN => SFP_LOS(1), SD_TXDIS_OUT => SFP_TX_DIS(1), diff --git a/padiwa/trb3sc_padiwa.vhd b/padiwa/trb3sc_padiwa.vhd index b3ff098..451cdca 100644 --- a/padiwa/trb3sc_padiwa.vhd +++ b/padiwa/trb3sc_padiwa.vhd @@ -63,9 +63,6 @@ entity trb3sc_padiwa is SFP_MOD2 : inout std_logic_vector(1 downto 0) := (others => 'Z'); SFP_TX_DIS : out std_logic_vector(1 downto 0) := (others => '0'); - SERDES_TX : out std_logic_vector(1 downto 0); - SERDES_RX : in std_logic_vector(1 downto 0); - --Serdes switch PCSSW_ENSMB : out std_logic; PCSSW_EQ : out std_logic_vector(3 downto 0); @@ -220,12 +217,6 @@ begin TX_DLM_WORD => open, --SFP Connection - SD_RXD_P_IN => SERDES_RX(0), - SD_RXD_N_IN => SERDES_RX(1), - SD_TXD_P_OUT => SERDES_TX(0), - SD_TXD_N_OUT => SERDES_TX(1), - SD_REFCLK_P_IN => '0', - SD_REFCLK_N_IN => '0', SD_PRSNT_N_IN => SFP_MOD0(1), SD_LOS_IN => SFP_LOS(1), SD_TXDIS_OUT => SFP_TX_DIS(1), diff --git a/pulser/trb3sc_pulser.vhd b/pulser/trb3sc_pulser.vhd index c7dadc7..c79f583 100644 --- a/pulser/trb3sc_pulser.vhd +++ b/pulser/trb3sc_pulser.vhd @@ -71,10 +71,7 @@ entity trb3sc_pulser is SFP_MOD1 : inout std_logic_vector( 1 downto 0) := (others => 'Z'); SFP_MOD2 : inout std_logic_vector( 1 downto 0) := (others => 'Z'); SFP_TX_DIS : out std_logic_vector( 1 downto 0) := (others => '0'); - - SERDES_TX : out std_logic_vector(1 downto 0); - SERDES_RX : in std_logic_vector(1 downto 0); - + --Serdes switch PCSSW_ENSMB : out std_logic; PCSSW_EQ : out std_logic_vector( 3 downto 0); @@ -220,19 +217,17 @@ THE_MEDIA_INTERFACE : entity work.med_ecp3_sfp_sync TX_DLM => open, TX_DLM_WORD => open, - --SFP Connection - SD_REFCLK_P_IN => '0', - SD_REFCLK_N_IN => '0', - SD_PRSNT_N_IN => sfp_prsnt_i, - SD_LOS_IN => sfp_los_i, - SD_TXDIS_OUT => sfp_txdis_i, - --Control Interface - BUS_RX => bussci_rx, - BUS_TX => bussci_tx, - -- Status and control port - STAT_DEBUG => med_stat_debug(63 downto 0), - CTRL_DEBUG => open - ); + --SFP Connection + SD_PRSNT_N_IN => sfp_prsnt_i, + SD_LOS_IN => sfp_los_i, + SD_TXDIS_OUT => sfp_txdis_i, + --Control Interface + BUS_RX => bussci_rx, + BUS_TX => bussci_tx, + -- Status and control port + STAT_DEBUG => med_stat_debug(63 downto 0), + CTRL_DEBUG => open + ); SFP_TX_DIS(0) <= '1'; gen_sfp_con : if SERDES_NUM = 3 generate diff --git a/tdctemplate/trb3sc_tdctemplate.vhd b/tdctemplate/trb3sc_tdctemplate.vhd index 7f5359c..9180ee5 100644 --- a/tdctemplate/trb3sc_tdctemplate.vhd +++ b/tdctemplate/trb3sc_tdctemplate.vhd @@ -66,9 +66,6 @@ entity trb3sc_tdctemplate is SFP_MOD2 : inout std_logic_vector(1 downto 0) := (others => 'Z'); SFP_TX_DIS : out std_logic_vector(1 downto 0) := (others => '0'); - SERDES_TX : out std_logic_vector(1 downto 0); - SERDES_RX : in std_logic_vector(1 downto 0); - --Serdes switch PCSSW_ENSMB : out std_logic; PCSSW_EQ : out std_logic_vector(3 downto 0); @@ -100,7 +97,7 @@ entity trb3sc_tdctemplate is attribute syn_useioff of FLASH_CS : signal is true; attribute syn_useioff of FLASH_IN : signal is true; attribute syn_useioff of FLASH_OUT : signal is true; - + attribute syn_useioff of KEL : signal is false; --Serdes: Backplane --Backplane A2,A3,A0,A1 Slave 3,4,1,2, A0: TrbNet from backplane @@ -178,7 +175,7 @@ begin RESET_OUT => reset_i, CLEAR_OUT => clear_i, - GSR_OUT => GSR_N, + GSR_OUT => open, FULL_CLK_OUT => clk_full, SYS_CLK_OUT => clk_sys, @@ -196,6 +193,8 @@ begin CLKOP => clk_cal, LOCK => open); +GSR_N <= reset_i; + --------------------------------------------------------------------------- -- TrbNet Uplink --------------------------------------------------------------------------- @@ -222,12 +221,6 @@ begin TX_DLM_WORD => open, --SFP Connection --- SD_RXD_P_IN => SERDES_RX(0), --- SD_RXD_N_IN => SERDES_RX(1), --- SD_TXD_P_OUT => SERDES_TX(0), --- SD_TXD_N_OUT => SERDES_TX(1), --- SD_REFCLK_P_IN => '0', --- SD_REFCLK_N_IN => '0', SD_PRSNT_N_IN => SFP_MOD0(1), SD_LOS_IN => SFP_LOS(1), SD_TXDIS_OUT => SFP_TX_DIS(1), diff --git a/template/trb3sc_basic.vhd b/template/trb3sc_basic.vhd index 3dfd1f3..4590ca3 100644 --- a/template/trb3sc_basic.vhd +++ b/template/trb3sc_basic.vhd @@ -212,8 +212,6 @@ THE_CLOCK_RESET : entity work.clock_reset_handler TX_DLM_WORD => open, --SFP Connection - SD_REFCLK_P_IN => '0', - SD_REFCLK_N_IN => '0', SD_PRSNT_N_IN => sfp_prsnt_i, SD_LOS_IN => sfp_los_i, SD_TXDIS_OUT => sfp_txdis_i, -- 2.43.0