From 4bd5164aa63ffabbec22f6754de2da6aba93d3c3 Mon Sep 17 00:00:00 2001 From: hadaq Date: Fri, 3 Apr 2009 10:01:08 +0000 Subject: [PATCH] seems to work --- optical_link/flexi_PCS_channel_synch.vhd | 6 +++--- optical_link/hub.vhd | 2 +- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/optical_link/flexi_PCS_channel_synch.vhd b/optical_link/flexi_PCS_channel_synch.vhd index f6b0b42..aec9a8a 100644 --- a/optical_link/flexi_PCS_channel_synch.vhd +++ b/optical_link/flexi_PCS_channel_synch.vhd @@ -446,12 +446,12 @@ begin if rising_edge(RX_CLK) then if RESET = '1' then fifo_data_in <= (others => '0'); - elsif SYNC_CURRENT = NORMAL_OPERATION_2 then + elsif SYNC_CURRENT = NORMAL_OPERATION_2 and CV="00" then fifo_data_in <= '0' & (not RX_K(0)) & RXD; fifo_wr_en <= not RX_K(0); - elsif SYNC_CURRENT = NORMAL_OPERATION_1 then + elsif SYNC_CURRENT = NORMAL_OPERATION_1 and CV="00" then fifo_data_in <= '0' & (not RX_K(1)) & RXD(7 downto 0) & rxd_synch_i(15 downto 8); - fifo_wr_en <= not RX_K(1); + fifo_wr_en <= not rx_k_synch_i(1); else fifo_data_in <= (others => '0'); fifo_wr_en <= '0'; diff --git a/optical_link/hub.vhd b/optical_link/hub.vhd index 8c0bc65..ee86ec5 100644 --- a/optical_link/hub.vhd +++ b/optical_link/hub.vhd @@ -728,7 +728,7 @@ begin generic map ( NUMBER_OF_BITS => 17) port map ( - CLK => LVDS_CLK_200P, + CLK => LVDS_CLK_200P, RESET => '0', COUNT_OUT => free_counter, UP_IN => '1', -- 2.43.0