From 4d082aea70fe95d6db4e06859003a875c3014d35 Mon Sep 17 00:00:00 2001 From: hadaq Date: Fri, 18 Jan 2013 13:14:45 +0000 Subject: [PATCH] unnecessary TRIGGER_WINDOWN_EN_IN port is removed from Channel and Channel_200 entities - cu --- base/trb3_components.vhd | 2 -- 1 file changed, 2 deletions(-) diff --git a/base/trb3_components.vhd b/base/trb3_components.vhd index 86b8316..80f8584 100644 --- a/base/trb3_components.vhd +++ b/base/trb3_components.vhd @@ -162,7 +162,6 @@ package trb3_components is FIFO_ALMOST_FULL_OUT : out std_logic; COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0); - TRIGGER_WINDOW_END_IN : in std_logic; DATA_FINISHED_IN : in std_logic; RUN_MODE : in std_logic; LOST_HIT_NUMBER : out std_logic_vector(23 downto 0); @@ -182,7 +181,6 @@ package trb3_components is RESET_100 : in std_logic; HIT_IN : in std_logic; EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0); - TRIGGER_WINDOW_END_IN : in std_logic; DATA_FINISHED_IN : in std_logic; RUN_MODE : in std_logic; COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); -- 2.43.0