From 5277eddc467037a52dd0dbb0587aa42080d87b66 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Wed, 6 Jun 2012 12:59:12 +0000 Subject: [PATCH] *** empty log message *** --- trb3_gbe/README.txt | 11 +---------- trb3_gbe/trb3_central.p2t | 20 ++++++++++++++++++++ trb3_gbe/trb3_central.prj | 2 +- trb3_gbe/trb3_central.vhd | 27 ++++++++++++++------------- trb3_gbe/trb3_central_constraints.lpf | 2 +- 5 files changed, 37 insertions(+), 25 deletions(-) create mode 100644 trb3_gbe/trb3_central.p2t diff --git a/trb3_gbe/README.txt b/trb3_gbe/README.txt index 28dde06..220975d 100644 --- a/trb3_gbe/README.txt +++ b/trb3_gbe/README.txt @@ -1,22 +1,13 @@ Following files have to be linked to the workdir: -pmi_ram_dpEbnonessdn208256208256.ngo -> ../../../trbnet/gbe2_ecp3/ipcores_ecp3/tsmac34/pmi_ram_dpEbnonessdn208256208256.ngo -pmi_ram_dpEbnonessdn96649664.ngo -> ../../../trbnet/gbe2_ecp3/ipcores_ecp3/tsmac34/pmi_ram_dpEbnonessdn96649664.ngo -serdes_gbe_0ch.txt -> ../../../trbnet/gbe2_ecp3/ipcores_ecp3/serdes_gbe_0ch/serdes_gbe_0ch.txt -serdes_ch4.txt -> ../../../trbnet/gbe2_ecp3/ipcores_ecp3/serdes_ch4.txt -sfp_0_200_int.txt -> ../../../trbnet/media_interfaces/ecp3_sfp/sfp_0_200_int.txt -sgmii_gbe_pcs34.ngo -> ../../../trbnet/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.ngo -tsmac34.ngo -> ../../../trbnet/gbe2_ecp3/ipcores_ecp3/tsmac34/tsmac34.ngo -serdes_onboard_full.txt -> ../../../trbnet/media_interfaces/ecp3_sfp/serdes_onboard_full.txt - - ln -s ../../../../trbnet/gbe2_ecp3/ipcores_ecp3/tsmac34/pmi_ram_dpEbnonessdn208256208256.ngo ln -s ../../../../trbnet/gbe2_ecp3/ipcores_ecp3/tsmac34/pmi_ram_dpEbnonessdn96649664.ngo ln -s ../../../../trbnet/gbe2_ecp3/ipcores_ecp3/serdes_gbe_0ch/serdes_gbe_0ch.txt ln -s ../../../../trbnet/gbe2_ecp3/ipcores_ecp3/serdes_ch4.txt ln -s ../../../../trbnet/media_interfaces/ecp3_sfp/sfp_0_200_int.txt +ln -s ../../../../trbnet/media_interfaces/ecp3_sfp/sfp_0_200_ctc.txt ln -s ../../../../trbnet/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.ngo ln -s ../../../../trbnet/gbe2_ecp3/ipcores_ecp3/tsmac34/tsmac34.ngo ln -s ../../../../trbnet/media_interfaces/ecp3_sfp/serdes_onboard_full.txt diff --git a/trb3_gbe/trb3_central.p2t b/trb3_gbe/trb3_central.p2t new file mode 100644 index 0000000..995161f --- /dev/null +++ b/trb3_gbe/trb3_central.p2t @@ -0,0 +1,20 @@ +-w +-i 15 +-l 5 +-n 1 +-y +-s 12 +-t 11 +-c 1 +-e 2 +-m nodelist.txt +# -w +# -i 6 +# -l 5 +# -n 1 +# -t 1 +# -s 1 +# -c 0 +# -e 0 +# +-exp parCDP=1:parCDR=1:parPlcInLimit=0:parPlcInNeighborSize=1:parPathBased=ON:parHold=ON:parHoldLimit=10000:paruseNBR=1: diff --git a/trb3_gbe/trb3_central.prj b/trb3_gbe/trb3_central.prj index 6534b74..317c432 100644 --- a/trb3_gbe/trb3_central.prj +++ b/trb3_gbe/trb3_central.prj @@ -193,7 +193,7 @@ add_file -vhdl -lib work "../../trbnet/lattice/ecp3/trb_net16_fifo_arch.vhd" add_file -vhdl -lib work "../../trbnet/lattice/ecp3/trb_net_fifo_16bit_bram_dualport.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp3_sfp/serdes_onboard_full.vhd" -add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp3_sfp/sfp_0_200_int.vhd" +add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp3_sfp/sfp_0_200_ctc.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/trb_net16_lsm_sfp.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/trb_net16_med_ecp3_sfp.vhd" diff --git a/trb3_gbe/trb3_central.vhd b/trb3_gbe/trb3_central.vhd index 72c046a..18adfaa 100644 --- a/trb3_gbe/trb3_central.vhd +++ b/trb3_gbe/trb3_central.vhd @@ -36,10 +36,10 @@ entity trb3_central is CLK_SERDES_INT_RIGHT : in std_logic; --Clock Manager 1/0, off, 125 MHz possible --SFP - SFP_RX_P : in std_logic_vector(16 downto 1); - SFP_RX_N : in std_logic_vector(16 downto 1); - SFP_TX_P : out std_logic_vector(16 downto 1); - SFP_TX_N : out std_logic_vector(16 downto 1); + SFP_RX_P : in std_logic_vector(6 downto 1); + SFP_RX_N : in std_logic_vector(6 downto 1); + SFP_TX_P : out std_logic_vector(6 downto 1); + SFP_TX_N : out std_logic_vector(6 downto 1); SFP_TX_FAULT : in std_logic_vector(8 downto 1); --TX broken SFP_RATE_SEL : out std_logic_vector(8 downto 1); --not supported by our SFP SFP_LOS : in std_logic_vector(8 downto 1); --Loss of signal @@ -305,7 +305,8 @@ THE_MEDIA_UPLINK : trb_net16_med_ecp3_sfp generic map( SERDES_NUM => 0, --number of serdes in quad EXT_CLOCK => c_NO, --use internal clock - USE_200_MHZ => c_YES --run on 200 MHz clock + USE_200_MHZ => c_YES, --run on 200 MHz clock + USE_CTC => c_YES ) port map( CLK => clk_200_i, @@ -366,10 +367,10 @@ THE_MEDIA_ONBOARD : trb_net16_med_ecp3_sfp_4_onboard MED_READ_IN => med_read_out(3 downto 0), REFCLK2CORE_OUT => open, --SFP Connection - SD_RXD_P_IN => SFP_RX_P(12 downto 9), - SD_RXD_N_IN => SFP_RX_N(12 downto 9), - SD_TXD_P_OUT => SFP_TX_P(12 downto 9), - SD_TXD_N_OUT => SFP_TX_N(12 downto 9), + SD_RXD_P_IN => SFP_RX_P(5 downto 2), + SD_RXD_N_IN => SFP_RX_N(5 downto 2), + SD_TXD_P_OUT => SFP_TX_P(5 downto 2), + SD_TXD_N_OUT => SFP_TX_N(5 downto 2), SD_REFCLK_P_IN => open, SD_REFCLK_N_IN => open, SD_PRSNT_N_IN(0) => FPGA1_COMM(2), @@ -639,10 +640,10 @@ gen_ethernet_hub : if USE_ETHERNET = c_YES generate FEE_STATUS_BITS_IN => fee_status_bits, FEE_BUSY_IN => fee_busy, --SFP Connection - SFP_RXD_P_IN => SFP_RX_P(8), - SFP_RXD_N_IN => SFP_RX_N(8), - SFP_TXD_P_OUT => SFP_TX_P(8), - SFP_TXD_N_OUT => SFP_TX_N(8), + SFP_RXD_P_IN => SFP_RX_P(6), --these ports are don't care + SFP_RXD_N_IN => SFP_RX_N(6), + SFP_TXD_P_OUT => SFP_TX_P(6), + SFP_TXD_N_OUT => SFP_TX_N(6), SFP_REFCLK_P_IN => open, --SFP_REFCLKP(2), SFP_REFCLK_N_IN => open, --SFP_REFCLKN(2), SFP_PRSNT_N_IN => SFP_MOD0(8), -- SFP Present ('0' = SFP in place, '1' = no SFP mounted) diff --git a/trb3_gbe/trb3_central_constraints.lpf b/trb3_gbe/trb3_central_constraints.lpf index 525ee01..cb72038 100644 --- a/trb3_gbe/trb3_central_constraints.lpf +++ b/trb3_gbe/trb3_central_constraints.lpf @@ -25,7 +25,7 @@ GSR_NET NET "GSR_N"; # Locate Serdes and media interfaces ################################################################# LOCATE COMP "gen_ethernet_hub_GBE/imp_gen_serdes_intclk_gen_PCS_SERDES/clk_int_SERDES_GBE/PCSD_INST" SITE "PCSB"; -LOCATE COMP "THE_MEDIA_UPLINK/gen_serdes_0_200_THE_SERDES/PCSD_INST" SITE "PCSA" ; +LOCATE COMP "THE_MEDIA_UPLINK/gen_serdes_0_200_ctc_THE_SERDES/PCSD_INST" SITE "PCSA" ; LOCATE COMP "THE_MEDIA_ONBOARD/gen_serdes_200_THE_SERDES/PCSD_INST" SITE "PCSC" ; LOCATE COMP "THE_MEDIA_ONBOARD/gen_serdes_125_THE_SERDES/PCSD_INST" SITE "PCSC" ; -- 2.43.0