From 52a4dcad5000b0f4f4cf4f3f1ebd17a42f002f09 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Fri, 11 Sep 2015 13:05:40 +0200 Subject: [PATCH] compiling padiwa project --- padiwa/trb3sc_padiwa.vhd | 35 ++--- pinout/trb3sc_padiwa.lpf | 275 ++++++++++++++++++++++++--------------- 2 files changed, 185 insertions(+), 125 deletions(-) diff --git a/padiwa/trb3sc_padiwa.vhd b/padiwa/trb3sc_padiwa.vhd index db6332d..19d9c65 100644 --- a/padiwa/trb3sc_padiwa.vhd +++ b/padiwa/trb3sc_padiwa.vhd @@ -30,19 +30,16 @@ entity trb3sc_padiwa is --TRIG_PLL : in std_logic; --not used --TRIG_RIGHT : in std_logic; --not used - --Backplane, all lines - BACK_GPIO : inout std_logic_vector(15 downto 0); - BACK_LVDS : inout std_logic_vector(1 downto 0); - BACK_3V3 : inout std_logic_vector(3 downto 0); --Backplane for slaves on trbv3scbp1 --- BACK_GPIO : inout std_logic_vector(3 downto 0); + BACK_GPIO : inout std_logic_vector(3 downto 0); --AddOn Connector - --to be added - - --KEL Connector - KEL : in std_logic_vector(40 downto 1); - + INP : in std_logic_vector(95 downto 0); + OUT_SDO : out std_logic_vector(6 downto 1); + OUT_SCK : out std_logic_vector(6 downto 1); + OUT_CS : out std_logic_vector(6 downto 1); + IN_SDI : in std_logic_vector(6 downto 1); + --Additional IO HDR_IO : inout std_logic_vector(10 downto 1); RJ_IO : inout std_logic_vector(3 downto 0); @@ -376,11 +373,15 @@ begin RJ_IO <= "0000"; - BACK_GPIO <= (others => 'Z'); - BACK_LVDS <= (others => '0'); - BACK_3V3 <= (others => 'Z'); - +-- BACK_GPIO <= (others => 'Z'); +-- BACK_LVDS <= (others => '0'); +-- BACK_3V3 <= (others => 'Z'); + OUT_CS <= spi_cs(5 downto 0); + OUT_SCK <= spi_clk(5 downto 0); + OUT_SDO <= spi_mosi(5 downto 0); + spi_miso(5 downto 0) <= IN_SDI; + --------------------------------------------------------------------------- -- LCD Data to display --------------------------------------------------------------------------- @@ -443,14 +444,14 @@ begin -- For single edge measurements gen_single : if DOUBLE_EDGE_TYPE = 0 or DOUBLE_EDGE_TYPE = 1 or DOUBLE_EDGE_TYPE = 3 generate - hit_in_i(40 downto 1) <= KEL(40 downto 1); + hit_in_i(40 downto 1) <= INP(40 downto 1); end generate; -- For ToT Measurements gen_double : if DOUBLE_EDGE_TYPE = 2 generate Gen_Hit_In_Signals : for i in 1 to 20 generate - hit_in_i(i*2-1) <= KEL(i); - hit_in_i(i*2) <= not KEL(i); + hit_in_i(i*2-1) <= INP(i); + hit_in_i(i*2) <= not INP(i); end generate Gen_Hit_In_Signals; end generate; diff --git a/pinout/trb3sc_padiwa.lpf b/pinout/trb3sc_padiwa.lpf index 173e3f5..0eeb33d 100644 --- a/pinout/trb3sc_padiwa.lpf +++ b/pinout/trb3sc_padiwa.lpf @@ -30,34 +30,34 @@ LOCATE COMP "BACK_GPIO_0" SITE "C26"; LOCATE COMP "BACK_GPIO_1" SITE "D26"; LOCATE COMP "BACK_GPIO_2" SITE "B27"; LOCATE COMP "BACK_GPIO_3" SITE "C27"; -LOCATE COMP "BACK_GPIO_4" SITE "D27"; -LOCATE COMP "BACK_GPIO_5" SITE "E27"; -LOCATE COMP "BACK_GPIO_6" SITE "B28"; -LOCATE COMP "BACK_GPIO_7" SITE "A28"; -LOCATE COMP "BACK_GPIO_8" SITE "A26"; -LOCATE COMP "BACK_GPIO_9" SITE "A27"; -LOCATE COMP "BACK_GPIO_10" SITE "A29"; -LOCATE COMP "BACK_GPIO_11" SITE "A30"; -LOCATE COMP "BACK_GPIO_12" SITE "H26"; -LOCATE COMP "BACK_GPIO_13" SITE "H25"; -LOCATE COMP "BACK_GPIO_14" SITE "A31"; -LOCATE COMP "BACK_GPIO_15" SITE "B31"; +# LOCATE COMP "BACK_GPIO_4" SITE "D27"; +# LOCATE COMP "BACK_GPIO_5" SITE "E27"; +# LOCATE COMP "BACK_GPIO_6" SITE "B28"; +# LOCATE COMP "BACK_GPIO_7" SITE "A28"; +# LOCATE COMP "BACK_GPIO_8" SITE "A26"; +# LOCATE COMP "BACK_GPIO_9" SITE "A27"; +# LOCATE COMP "BACK_GPIO_10" SITE "A29"; +# LOCATE COMP "BACK_GPIO_11" SITE "A30"; +# LOCATE COMP "BACK_GPIO_12" SITE "H26"; +# LOCATE COMP "BACK_GPIO_13" SITE "H25"; +# LOCATE COMP "BACK_GPIO_14" SITE "A31"; +# LOCATE COMP "BACK_GPIO_15" SITE "B31"; DEFINE PORT GROUP "BACK_GPIO_group" "BACK_GPIO*" ; IOBUF GROUP "BACK_GPIO_group" IO_TYPE=LVCMOS25 PULLMODE=UP; -LOCATE COMP "BACK_LVDS_0" SITE "V2"; -LOCATE COMP "BACK_LVDS_1" SITE "T4"; -# LOCATE COMP "BACK_LVDS_0_N" SITE "V1"; -# LOCATE COMP "BACK_LVDS_1_N" SITE "T3"; -DEFINE PORT GROUP "BACK_LVDS_group" "BACK_LVDS*" ; -IOBUF GROUP "BACK_LVDS_group" IO_TYPE=LVDS25; - -LOCATE COMP "BACK_3V3_0" SITE "E11"; -LOCATE COMP "BACK_3V3_1" SITE "F12"; -LOCATE COMP "BACK_3V3_2" SITE "F10"; -LOCATE COMP "BACK_3V3_3" SITE "E10"; -DEFINE PORT GROUP "BACK_3V3_group" "BACK_3V3*" ; -IOBUF GROUP "BACK_3V3_group" IO_TYPE=LVTTL33 PULLMODE=DOWN; +# LOCATE COMP "BACK_LVDS_0" SITE "V2"; +# LOCATE COMP "BACK_LVDS_1" SITE "T4"; +# # LOCATE COMP "BACK_LVDS_0_N" SITE "V1"; +# # LOCATE COMP "BACK_LVDS_1_N" SITE "T3"; +# DEFINE PORT GROUP "BACK_LVDS_group" "BACK_LVDS*" ; +# IOBUF GROUP "BACK_LVDS_group" IO_TYPE=LVDS25; +# +# LOCATE COMP "BACK_3V3_0" SITE "E11"; +# LOCATE COMP "BACK_3V3_1" SITE "F12"; +# LOCATE COMP "BACK_3V3_2" SITE "F10"; +# LOCATE COMP "BACK_3V3_3" SITE "E10"; +# DEFINE PORT GROUP "BACK_3V3_group" "BACK_3V3*" ; +# IOBUF GROUP "BACK_3V3_group" IO_TYPE=LVTTL33 PULLMODE=DOWN; ################################################################# # AddOn Connector @@ -260,91 +260,150 @@ LOCATE COMP "HDR_IO_10" SITE "AL28"; DEFINE PORT GROUP "HDR_group" "HDR*" ; IOBUF GROUP "HDR_group" IO_TYPE=LVCMOS25 PULLMODE=DOWN ; + ################################################################# -# KEL Connector +# ADDON CONNECTIONS - TDC INPUTS & SPI PINS ################################################################# -# # LOCATE COMP "KEL1_N" SITE "AP6"; -# # LOCATE COMP "KEL2_N" SITE "AP3"; -# # LOCATE COMP "KEL3_N" SITE "AN2"; -# # LOCATE COMP "KEL4_N" SITE "AM3"; -# # LOCATE COMP "KEL5_N" SITE "AM5"; -# # LOCATE COMP "KEL6_N" SITE "AN6"; -# # LOCATE COMP "KEL7_N" SITE "AM4"; -# # LOCATE COMP "KEL8_N" SITE "AJ6"; -# # LOCATE COMP "KEL9_N" SITE "AJ3"; -# # LOCATE COMP "KEL10_N" SITE "AK3"; -# # LOCATE COMP "KEL11_N" SITE "AD8"; -# # LOCATE COMP "KEL12_N" SITE "AK4"; -# # LOCATE COMP "KEL13_N" SITE "V3"; -# # LOCATE COMP "KEL14_N" SITE "W5"; -# # LOCATE COMP "KEL15_N" SITE "T8"; -# # LOCATE COMP "KEL16_N" SITE "T1"; -# # LOCATE COMP "KEL17_N" SITE "P6"; -# # LOCATE COMP "KEL18_N" SITE "T7"; -# # LOCATE COMP "KEL19_N" SITE "R1"; -# # LOCATE COMP "KEL20_N" SITE "P10"; -# # LOCATE COMP "KEL21_N" SITE "AP30"; -# # LOCATE COMP "KEL22_N" SITE "AP32"; -# # LOCATE COMP "KEL23_N" SITE "AN33"; -# # LOCATE COMP "KEL24_N" SITE "AN31"; -# # LOCATE COMP "KEL25_N" SITE "AM32"; -# # LOCATE COMP "KEL26_N" SITE "AN29"; -# # LOCATE COMP "KEL27_N" SITE "AM31"; -# # LOCATE COMP "KEL28_N" SITE "AM30"; -# # LOCATE COMP "KEL29_N" SITE "AL33"; -# # LOCATE COMP "KEL30_N" SITE "AK31"; -# # LOCATE COMP "KEL31_N" SITE "AJ33"; -# # LOCATE COMP "KEL32_N" SITE "AK32"; -# # LOCATE COMP "KEL33_N" SITE "AF31"; -# # LOCATE COMP "KEL34_N" SITE "AE31"; -# # LOCATE COMP "KEL35_N" SITE "AE29"; -# # LOCATE COMP "KEL36_N" SITE "AD25"; -# # LOCATE COMP "KEL37_N" SITE "L30"; -# # LOCATE COMP "KEL38_N" SITE "AB27"; -# # LOCATE COMP "KEL39_N" SITE "M33"; -# # LOCATE COMP "KEL40_N" SITE "M28"; -LOCATE COMP "KEL_1" SITE "AP5"; -LOCATE COMP "KEL_2" SITE "AP2"; -LOCATE COMP "KEL_3" SITE "AN1"; -LOCATE COMP "KEL_4" SITE "AN3"; -LOCATE COMP "KEL_5" SITE "AL5"; -LOCATE COMP "KEL_6" SITE "AM6"; -LOCATE COMP "KEL_7" SITE "AL4"; -LOCATE COMP "KEL_8" SITE "AJ5"; -LOCATE COMP "KEL_9" SITE "AJ2"; -LOCATE COMP "KEL_10" SITE "AL3"; -LOCATE COMP "KEL_11" SITE "AD9"; -LOCATE COMP "KEL_12" SITE "AJ4"; -LOCATE COMP "KEL_13" SITE "V4"; -LOCATE COMP "KEL_14" SITE "V5"; -LOCATE COMP "KEL_15" SITE "T9"; -LOCATE COMP "KEL_16" SITE "T2"; -LOCATE COMP "KEL_17" SITE "P7"; -LOCATE COMP "KEL_18" SITE "R8"; -LOCATE COMP "KEL_19" SITE "R2"; -LOCATE COMP "KEL_20" SITE "P9"; -LOCATE COMP "KEL_21" SITE "AP29"; -LOCATE COMP "KEL_22" SITE "AP33"; -LOCATE COMP "KEL_23" SITE "AN34"; -LOCATE COMP "KEL_24" SITE "AP31"; -LOCATE COMP "KEL_25" SITE "AN32"; -LOCATE COMP "KEL_26" SITE "AM29"; -LOCATE COMP "KEL_27" SITE "AL31"; -LOCATE COMP "KEL_28" SITE "AL30"; -LOCATE COMP "KEL_29" SITE "AL34"; -LOCATE COMP "KEL_30" SITE "AJ31"; -LOCATE COMP "KEL_31" SITE "AH33"; -LOCATE COMP "KEL_32" SITE "AL32"; -LOCATE COMP "KEL_33" SITE "AF32"; -LOCATE COMP "KEL_34" SITE "AE32"; -LOCATE COMP "KEL_35" SITE "AE30"; -LOCATE COMP "KEL_36" SITE "AD26"; -LOCATE COMP "KEL_37" SITE "M29"; -LOCATE COMP "KEL_38" SITE "AC28"; -LOCATE COMP "KEL_39" SITE "M34"; -LOCATE COMP "KEL_40" SITE "L28"; -DEFINE PORT GROUP "KEL_group" "KEL*" ; -IOBUF GROUP "KEL_group" IO_TYPE=LVDS25 DIFFRESISTOR=100; +#on 4conn AddOn +LOCATE COMP "INP_0" SITE "AA2"; #was "DQLL0_0_P" 1 +LOCATE COMP "INP_1" SITE "AB2"; #was "DQLL0_1_P" 5 +LOCATE COMP "INP_2" SITE "AA4"; #was "DQLL0_2_P" 9 +LOCATE COMP "INP_3" SITE "AA10"; #was "DQSLL0_T" 13 +LOCATE COMP "INP_4" SITE "AA5"; #was "DQLL0_3_P" 17 +LOCATE COMP "INP_5" SITE "Y7"; #was "DQLL0_4_P" 21 +LOCATE COMP "INP_6" SITE "AC5"; #was "DQLL2_0_P" 25 +LOCATE COMP "INP_7" SITE "AC2"; #was "DQLL2_1_P" 29 +LOCATE COMP "INP_8" SITE "AB4"; #was "DQLL2_2_P" 33 +LOCATE COMP "INP_9" SITE "AD5"; #was "DQSLL2_T" 37 +LOCATE COMP "INP_10" SITE "AA9"; #was "DQLL2_3_P" 41 +LOCATE COMP "INP_11" SITE "AB7"; #was "DQLL2_4_P" 45 +LOCATE COMP "INP_12" SITE "N4"; #was "DQUL3_0_P" 49 +LOCATE COMP "INP_13" SITE "M5"; #was "DQUL3_2_P" 57; +LOCATE COMP "INP_14" SITE "M10"; #was "DQSUL3_T" 61; +LOCATE COMP "INP_15" SITE "P5"; #was "DQUL3_3_P" 65; + +LOCATE COMP "INP_16" SITE "AE4"; #was "DQLL3_0_P" 2 +LOCATE COMP "INP_17" SITE "AB10"; #was "DQLL3_1_P" 6 +LOCATE COMP "INP_18" SITE "AE2"; #was "DQLL3_2_P" 10 +LOCATE COMP "INP_19" SITE "AJ1"; #was "DQSLL3_T" 14 +LOCATE COMP "INP_20" SITE "AD4"; #was "DQLL3_3_P" 18 +LOCATE COMP "INP_21" SITE "AC9"; #was "DQLL3_4_P" 22 +LOCATE COMP "INP_22" SITE "Y2"; #was "DQLL1_0_P" 26 +LOCATE COMP "INP_23" SITE "W4"; #was "DQLL1_1_P" 30 +LOCATE COMP "INP_24" SITE "W2"; #was "DQLL1_2_P" 34 +LOCATE COMP "INP_25" SITE "W6"; #was "DQSLL1_T" 38 +LOCATE COMP "INP_26" SITE "W8"; #was "DQLL1_3_P" 42 +LOCATE COMP "INP_27" SITE "Y8"; #was "DQLL1_4_P" 46 +LOCATE COMP "INP_28" SITE "F2"; #was "DQUL2_0_P" 50 +LOCATE COMP "INP_29" SITE "G3"; #was "DQSUL2_T" 62; +LOCATE COMP "INP_30" SITE "H1"; #was "DQUL2_3_P" 66; +LOCATE COMP "INP_31" SITE "L5"; #was "DQUL0_0_P" 74; + +LOCATE COMP "INP_32" SITE "L26"; #was "DQUR0_0_P" 105 +LOCATE COMP "INP_33" SITE "L32"; #was "DQUR0_1_P" 109 +LOCATE COMP "INP_34" SITE "M26"; #was "DQSUR0_T" 113 +LOCATE COMP "INP_35" SITE "L34"; #was "DQUR0_2_P" 117 +LOCATE COMP "INP_36" SITE "K29"; #was "DQUR0_3_P" 121 +LOCATE COMP "INP_37" SITE "K34"; #was "DQUR0_4_P" 125 +LOCATE COMP "INP_38" SITE "AB34"; #was "DQLR0_0_P" 129 +LOCATE COMP "INP_39" SITE "AA25"; #was "DQLR0_1_P" 133 +LOCATE COMP "INP_40" SITE "AC34"; #was "DQLR0_2_P" 137 +LOCATE COMP "INP_41" SITE "AB30"; #was "DQSLR0_T" 141 +LOCATE COMP "INP_42" SITE "AA31"; #was "DQLR0_3_P" 145 +LOCATE COMP "INP_43" SITE "AA28"; #was "DQLR0_4_P" 149 +LOCATE COMP "INP_44" SITE "AD31"; #was "DQLR1_0_P" 169; +LOCATE COMP "INP_45" SITE "AB32"; #was "DQLR1_1_P" 173; +LOCATE COMP "INP_46" SITE "AE34"; #was "DQLR1_2_P" 177; +LOCATE COMP "INP_47" SITE "AB26"; #was "DQSLR1_T" 181; + +LOCATE COMP "INP_48" SITE "N30"; #was "DQUR1_0_P" 106 +LOCATE COMP "INP_49" SITE "N26"; #was "DQUR1_1_P" 110 +LOCATE COMP "INP_50" SITE "N32"; #was "DQUR1_2_P" 114 +LOCATE COMP "INP_51" SITE "N27"; #was "DQSUR1_T" 118 +LOCATE COMP "INP_52" SITE "N34"; #was "DQUR1_3_P" 122 +LOCATE COMP "INP_53" SITE "P28"; #was "DQUR1_4_P" 126 +LOCATE COMP "INP_54" SITE "T32"; #was "DQUR2_0_P" 130 +LOCATE COMP "INP_55" SITE "T26"; #was "DQUR2_1_P" 134 +LOCATE COMP "INP_56" SITE "U32"; #was "DQUR2_2_P" 138 +LOCATE COMP "INP_57" SITE "T30"; #was "DQSUR2_T" 142 +LOCATE COMP "INP_58" SITE "T34"; #was "DQUR2_3_P" 146 +LOCATE COMP "INP_59" SITE "U26"; #was "DQUR2_4_P" 150 +LOCATE COMP "INP_60" SITE "W30"; #was "DQLR2_0_P" 170 +LOCATE COMP "INP_61" SITE "W27"; #was "DQLR2_1_P" 174 +LOCATE COMP "INP_62" SITE "W34"; #was "DQLR2_2_P" 178 +LOCATE COMP "INP_63" SITE "Y30"; #was "DQSLR2_T" 182 +#on KEL1 +LOCATE COMP "INP_64" SITE "AP5"; +LOCATE COMP "INP_65" SITE "AP2"; +LOCATE COMP "INP_66" SITE "AN1"; +LOCATE COMP "INP_67" SITE "AN3"; +LOCATE COMP "INP_68" SITE "AL5"; +LOCATE COMP "INP_69" SITE "AM6"; +LOCATE COMP "INP_70" SITE "AL4"; +LOCATE COMP "INP_71" SITE "AJ5"; +LOCATE COMP "INP_72" SITE "AJ2"; +LOCATE COMP "INP_73" SITE "AL3"; +LOCATE COMP "INP_74" SITE "AD9"; +LOCATE COMP "INP_75" SITE "AJ4"; +LOCATE COMP "INP_76" SITE "V4"; +LOCATE COMP "INP_77" SITE "V5"; +LOCATE COMP "INP_78" SITE "T9"; +LOCATE COMP "INP_79" SITE "T2"; + #on KEL2 +LOCATE COMP "INP_80" SITE "AP29"; +LOCATE COMP "INP_81" SITE "AP33"; +LOCATE COMP "INP_82" SITE "AN34"; +LOCATE COMP "INP_83" SITE "AP31"; +LOCATE COMP "INP_84" SITE "AN32"; +LOCATE COMP "INP_85" SITE "AM29"; +LOCATE COMP "INP_86" SITE "AL31"; +LOCATE COMP "INP_87" SITE "AL30"; +LOCATE COMP "INP_88" SITE "AL34"; +LOCATE COMP "INP_89" SITE "AJ31"; +LOCATE COMP "INP_90" SITE "AH33"; +LOCATE COMP "INP_91" SITE "AL32"; +LOCATE COMP "INP_92" SITE "AF32"; +LOCATE COMP "INP_93" SITE "AE32"; +LOCATE COMP "INP_94" SITE "AE30"; +LOCATE COMP "INP_95" SITE "AD26"; + + +DEFINE PORT GROUP "INP_group" "INP*" ; +IOBUF GROUP "INP_group" IO_TYPE=LVDS25 DIFFRESISTOR=100; + +LOCATE COMP "IN_SDI_1" SITE "K4"; #was "DQUL1_2_P" 81 +LOCATE COMP "IN_SDI_2" SITE "M8"; #was "DQUL1_3_P" 89 +LOCATE COMP "IN_SDI_3" SITE "AF34"; #was "DQLR1_4_P" 189 +LOCATE COMP "IN_SDI_4" SITE "N9"; #was "DQSUL0_T" 86 +LOCATE COMP "IN_SDI_5" SITE "P7"; +LOCATE COMP "IN_SDI_6" SITE "M29"; + +DEFINE PORT GROUP "IN_group" "IN*" ; +IOBUF GROUP "IN_group" IO_TYPE=LVDS25 DIFFRESISTOR=100; + + +LOCATE COMP "OUT_SDO_1" SITE "N2"; #was "DQUL3_1_P" 53 +LOCATE COMP "OUT_SCK_1" SITE "F3"; #was "DQUL2_1_P" 54 +LOCATE COMP "OUT_CS_1" SITE "G2"; #was "DQUL2_2_P" 58 +LOCATE COMP "OUT_SDO_2" SITE "K2"; #was "DQUL1_1_P" 77 +LOCATE COMP "OUT_SCK_2" SITE "M4"; #was "DQUL0_1_P" 78 +LOCATE COMP "OUT_CS_2" SITE "M7"; #was "DQUL0_4_P" 94 +LOCATE COMP "OUT_SDO_3" SITE "AD33"; #was "DQLR1_3_P" 185 +LOCATE COMP "OUT_SCK_3" SITE "Y34"; #was "DQLR2_3_P" 186 +LOCATE COMP "OUT_CS_3" SITE "Y26"; #was "DQLR2_4_P" 190 +LOCATE COMP "OUT_SDO_4" SITE "K6"; #was "DQUL0_2_P" 82 +LOCATE COMP "OUT_SCK_4" SITE "J3"; #was "DQUL2_4_P" 70 +LOCATE COMP "OUT_CS_4" SITE "K7"; #was "DQUL1_4_P" 93 +LOCATE COMP "OUT_SDO_5" SITE "R8"; +LOCATE COMP "OUT_SCK_5" SITE "R2"; +LOCATE COMP "OUT_CS_5" SITE "P9"; +LOCATE COMP "OUT_SDO_6" SITE "AC28"; +LOCATE COMP "OUT_SCK_6" SITE "M34"; +LOCATE COMP "OUT_CS_6" SITE "L28"; + +DEFINE PORT GROUP "OUT_group" "OUT*" ; +IOBUF GROUP "OUT_group" IO_TYPE=LVDS25 DIFFRESISTOR=OFF; + ################################################################# # Many LED -- 2.43.0