From 532cc93af7d4085ff8f159590fad54f6eeb048ae Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Tue, 28 Jun 2022 16:13:25 +0200 Subject: [PATCH] changed directories for cores --- gbe_trb/base/gbe_med_interface_5G.vhd | 662 ---------- gbe_trb/base/gbe_med_interface_single_5G.vhd | 82 +- gbe_trb/base/gbe_wrapper_single_5G.vhd | 33 +- .../base/gbe_med_interface_single.vhd | 559 +++++++++ gbe_trb_ecp5/base/gbe_wrapper_single.vhd | 701 +++++++++++ ..._ram_dpEbnonessdn208256208256p138702ef.ngo | Bin 0 -> 11426 bytes gbe_trb_ecp5/media/ecp5-5g/serdes_gbe.lpc | 97 ++ gbe_trb_ecp5/media/ecp5-5g/serdes_gbe.vhd | 352 ++++++ .../media/ecp5-5g/serdes_gbe_softlogic.v | 1060 +++++++++++++++++ gbe_trb_ecp5/media/ecp5-5g/sgmii_gbe.lpc | 37 + gbe_trb_ecp5/media/ecp5-5g/sgmii_gbe_core.ngo | Bin 0 -> 468576 bytes gbe_trb_ecp5/media/ecp5-5g/tsmac_gbe.lpc | 37 + gbe_trb_ecp5/media/ecp5-5g/tsmac_gbe.ngo | Bin 0 -> 807833 bytes 13 files changed, 2865 insertions(+), 755 deletions(-) delete mode 100644 gbe_trb/base/gbe_med_interface_5G.vhd create mode 100644 gbe_trb_ecp5/base/gbe_med_interface_single.vhd create mode 100644 gbe_trb_ecp5/base/gbe_wrapper_single.vhd create mode 100644 gbe_trb_ecp5/media/ecp5-5g/pmi_ram_dpEbnonessdn208256208256p138702ef.ngo create mode 100644 gbe_trb_ecp5/media/ecp5-5g/serdes_gbe.lpc create mode 100644 gbe_trb_ecp5/media/ecp5-5g/serdes_gbe.vhd create mode 100644 gbe_trb_ecp5/media/ecp5-5g/serdes_gbe_softlogic.v create mode 100644 gbe_trb_ecp5/media/ecp5-5g/sgmii_gbe.lpc create mode 100644 gbe_trb_ecp5/media/ecp5-5g/sgmii_gbe_core.ngo create mode 100644 gbe_trb_ecp5/media/ecp5-5g/tsmac_gbe.lpc create mode 100644 gbe_trb_ecp5/media/ecp5-5g/tsmac_gbe.ngo diff --git a/gbe_trb/base/gbe_med_interface_5G.vhd b/gbe_trb/base/gbe_med_interface_5G.vhd deleted file mode 100644 index bc3610d..0000000 --- a/gbe_trb/base/gbe_med_interface_5G.vhd +++ /dev/null @@ -1,662 +0,0 @@ -LIBRARY IEEE; -USE IEEE.std_logic_1164.ALL; -USE IEEE.std_logic_ARITH.ALL; -USE IEEE.std_logic_UNSIGNED.ALL; - -library work; -use work.trb_net_std.all; -use work.trb_net_components.all; -use work.trb_net_gbe_components.all; -use work.med_sync_define_RS.all; - -entity gbe_med_interface_5G is - generic ( - DO_SIMULATION : integer range 0 to 1; - NUMBER_OF_GBE_LINKS : integer range 1 to 4; - LINKS_ACTIVE : std_logic_vector(3 downto 0) - ); - port ( - RESET : in std_logic; - GSR_N : in std_logic; - CLK_SYS_IN : in std_logic; - CLK_125_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - CLK_125_IN : in std_logic; - CLK_125_RX_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - - -- MAC status and config - MAC_READY_CONF_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_RECONF_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_AN_READY_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - - -- MAC data interface - MAC_FIFOAVAIL_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_FIFOEOF_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_FIFOEMPTY_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_RX_FIFOFULL_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - - MAC_TX_DATA_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS * 8 - 1 downto 0); - MAC_TX_READ_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_TX_DISCRFRM_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_TX_STAT_EN_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_TX_STATS_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS * 31 - 1 downto 0); - MAC_TX_DONE_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - - MAC_RX_FIFO_ERR_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_RX_STATS_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS * 32 - 1 downto 0); - MAC_RX_DATA_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS * 8 - 1 downto 0); - MAC_RX_WRITE_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_RX_STAT_EN_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_RX_EOF_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - MAC_RX_ERROR_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - - --SFP Connection - SD_RXD_P_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - SD_RXD_N_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - SD_TXD_P_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - SD_TXD_N_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - SD_PRSNT_N_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - SD_LOS_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); -- SFP Loss Of Signal ('0' = OK, '1' = no signal) - SD_TXDIS_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); -- SFP disable - - DEBUG_OUT : out std_logic_vector(255 downto 0) - ); -end entity gbe_med_interface_5G; - -architecture RTL of gbe_med_interface_5G is - - component sgmii_gbe_core -- checked for ECP5-5G core - port( - rst_n : in std_logic; - signal_detect : in std_logic; - gbe_mode : in std_logic; - sgmii_mode : in std_logic; - operational_rate : in std_logic_vector(1 downto 0); - debug_link_timer_short : in std_logic; - - force_isolate : in std_logic; - force_loopback : in std_logic; - force_unidir : in std_logic; - - rx_compensation_err : out std_logic; - - ctc_drop_flag : out std_logic; - ctc_add_flag : out std_logic; - an_link_ok : out std_logic; - - tx_clk_125 : in std_logic; - tx_clock_enable_source : out std_logic; - tx_clock_enable_sink : in std_logic; - tx_d : in std_logic_vector(7 downto 0); - tx_en : in std_logic; - tx_er : in std_logic; - rx_clk_125 : in std_logic; - rx_clock_enable_source : out std_logic; - rx_clock_enable_sink : in std_logic; - rx_d : out std_logic_vector(7 downto 0); - rx_dv : out std_logic; - rx_er : out std_logic; - col : out std_logic; - crs : out std_logic; - tx_data : out std_logic_vector(7 downto 0); - tx_kcntl : out std_logic; - tx_disparity_cntl : out std_logic; - - xmit_autoneg : out std_logic; - - serdes_recovered_clk : in std_logic; - rx_data : in std_logic_vector(7 downto 0); - rx_even : in std_logic; - rx_kcntl : in std_logic; - rx_disp_err : in std_logic; - rx_cv_err : in std_logic; - rx_err_decode_mode : in std_logic; - mr_an_complete : out std_logic; - mr_page_rx : out std_logic; - mr_lp_adv_ability : out std_logic_vector(15 downto 0); - mr_main_reset : in std_logic; - mr_an_enable : in std_logic; - mr_restart_an : in std_logic; - mr_adv_ability : in std_logic_vector(15 downto 0) - ); - end component; - - component rate_resolution - port ( - gbe_mode : in std_logic; - sgmii_mode : in std_logic; - an_enable : in std_logic; - advertised_rate : in std_logic_vector(1 downto 0); - link_partner_rate : in std_logic_vector(1 downto 0); - non_an_rate : in std_logic_vector(1 downto 0); - operational_rate : out std_logic_vector(1 downto 0) - ); - end component; - - component register_interface_hb port ( - rst_n : in std_logic; - hclk : in std_logic; - gbe_mode : in std_logic; - sgmii_mode : in std_logic; - hcs_n : in std_logic; - hwrite_n : in std_logic; - haddr : in std_logic_vector(3 downto 0); - hdatain : in std_logic_vector(7 downto 0); - hdataout : out std_logic_vector(7 downto 0); - hready_n : out std_logic; - mr_an_complete : in std_logic; - mr_page_rx : in std_logic; - mr_lp_adv_ability : in std_logic_vector(15 downto 0); - mr_main_reset : out std_logic; - mr_an_enable : out std_logic; - mr_restart_an : out std_logic; - mr_adv_ability : out std_logic_vector(15 downto 0) - ); - end component; - - component tsmac_gbe -- checked for ECP5-5G core - port( - --------------- clock and reset port declarations ------------------ - hclk : in std_logic; - txmac_clk : in std_logic; - rxmac_clk : in std_logic; - reset_n : in std_logic; - ------------------- Input signals to the GMII ---------------- - rxd : in std_logic_vector(7 downto 0); - rx_dv : in std_logic; - rx_er : in std_logic; - -------------------- Input signals to the CPU I/F ------------------- - haddr : in std_logic_vector(7 downto 0); - hdatain : in std_logic_vector(7 downto 0); - hcs_n : in std_logic; - hwrite_n : in std_logic; - hread_n : in std_logic; - ---------------- Input signals to the Tx MAC FIFO I/F --------------- - tx_fifodata : in std_logic_vector(7 downto 0); - tx_fifoavail : in std_logic; - tx_fifoeof : in std_logic; - tx_fifoempty : in std_logic; - tx_sndpaustim : in std_logic_vector(15 downto 0); - tx_sndpausreq : in std_logic; - tx_fifoctrl : in std_logic; - ---------------- Input signals to the Rx MAC FIFO I/F --------------- - rx_fifo_full : in std_logic; - ignore_pkt : in std_logic; - -------------------- Output signals from the GMII ----------------------- - txd : out std_logic_vector(7 downto 0); - tx_en : out std_logic; - tx_er : out std_logic; - -------------------- Output signals from the CPU I/F ------------------- - hdataout : out std_logic_vector(7 downto 0); - hdataout_en_n : out std_logic; - hready_n : out std_logic; - cpu_if_gbit_en : out std_logic; - ---------------- Output signals from the Tx MAC FIFO I/F --------------- - tx_macread : out std_logic; - tx_discfrm : out std_logic; - tx_staten : out std_logic; - tx_done : out std_logic; - tx_statvec : out std_logic_vector(30 downto 0); - ---------------- Output signals from the Rx MAC FIFO I/F --------------- - rx_fifo_error : out std_logic; - rx_stat_vector : out std_logic_vector(31 downto 0); - rx_dbout : out std_logic_vector(7 downto 0); - rx_write : out std_logic; - rx_stat_en : out std_logic; - rx_eof : out std_logic; - rx_error : out std_logic - ); - end component; - - signal sd_rx_clk : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal sd_tx_clk : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal sd_tx_kcntl_q, sd_tx_kcntl : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal sd_tx_data_q, sd_tx_data : std_logic_vector(NUMBER_OF_GBE_LINKS * 8 - 1 downto 0); - signal xmit : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal sd_tx_correct_disp_q, sd_tx_correct_disp : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal sd_rx_data, sd_rx_data_q : std_logic_vector(NUMBER_OF_GBE_LINKS * 8 - 1 downto 0); --- signal sd_rx_data, sd_rx_data_q : std_logic_vector(7 downto 0); - signal sd_rx_kcntl, sd_rx_kcntl_q : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal sd_rx_disp_error, sd_rx_disp_error_q : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal sd_rx_cv_error, sd_rx_cv_error_q : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal tx_power, rx_power : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal los, signal_detected : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal rx_clk_en : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal tx_clk_en : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal operational_rate : std_logic_vector(NUMBER_OF_GBE_LINKS * 2 - 1 downto 0); - signal an_complete : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal mr_page_rx : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal mr_lp_adv_ability : std_logic_vector(NUMBER_OF_GBE_LINKS * 16 - 1 downto 0); - signal mr_main_reset : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal mr_restart_an : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal mr_adv_ability : std_logic_vector(NUMBER_OF_GBE_LINKS * 16 - 1 downto 0); - signal mr_an_enable : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal pcs_rxd : std_logic_vector(NUMBER_OF_GBE_LINKS * 8 - 1 downto 0); - signal pcs_rx_en : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal pcs_rx_er : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal pcs_txd : std_logic_vector(NUMBER_OF_GBE_LINKS * 8 - 1 downto 0); - signal pcs_tx_en : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal pcs_tx_er : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal tsm_hdataout_en_n : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal tsm_hready_n : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal tsm_hread_n : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal tsm_hwrite_n : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal tsm_hcs_n : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal tsm_hdata : std_logic_vector(NUMBER_OF_GBE_LINKS * 8 - 1 downto 0); - signal tsm_haddr : std_logic_vector(NUMBER_OF_GBE_LINKS * 8 - 1 downto 0); - - signal synced_rst, ff : std_logic; - - signal fifo_eof_q, fifo_eof_qq, fifo_eof_qqq, fifo_eof_qqqq : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - - signal link_rx_ready : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal rx_los_low : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal rx_cdr_lol : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal rst_dual : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal rx_pcs_rst : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal rx_pcs_rst_q : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal rx_serdes_rst : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal rx_serdes_rst_q : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal tx_pcs_rst : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal link_tx_ready : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal pll_lol : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - signal init_dual : std_logic; - - signal debug : std_logic_vector(255 downto 0); - - signal delay_q : std_logic_vector(7 downto 0); - signal pulse : std_logic; - signal pulse2 : std_logic; - -begin - -------------------------------------------------------------------- - -------------------------------------------------------------------- --- debug(255 downto 0) <= (others => '0'); - DEBUG_OUT <= debug; - -------------------------------------------------------------------- - -------------------------------------------------------------------- - - init_dual <= not GSR_N; - - rx_power <= "1111"; - tx_power <= "1111"; - - reset_sync : process(GSR_N, CLK_SYS_IN) - begin - if (GSR_N = '0') then - ff <= '0'; - synced_rst <= '0'; - elsif rising_edge(CLK_SYS_IN) then - ff <= '1'; - synced_rst <= ff; - end if; - end process reset_sync; - - SD_TXDIS_OUT <= "0000"; - - CLK_125_OUT <= CLK_125_IN & CLK_125_IN & CLK_125_IN & CLK_125_IN; - CLK_125_RX_OUT <= sd_rx_clk; - - impl_gen : if DO_SIMULATION = 0 generate - - pcs_gen : for i in 0 to NUMBER_OF_GBE_LINKS - 1 generate - - pcs_active_gen : if LINKS_ACTIVE(i) = '1' generate - - gbe_serdes: entity serdes_gbe - port map( - -- external I/Os - hdinp => SD_RXD_P_IN(i), - hdinn => SD_RXD_N_IN(i), - hdoutp => SD_TXD_P_OUT(i), - hdoutn => SD_TXD_N_OUT(i), - -- clocks - pll_refclki => CLK_125_IN, - rxrefclk => CLK_125_IN, - txi_clk => CLK_125_IN, -- REALLY? - tx_pclk => sd_tx_clk(i), -- not really needed? - rx_pclk => sd_rx_clk(i), -- recovered RX clock - -- TX channel - txdata => sd_tx_data_q( (i + 1) * 8 - 1 downto i * 8), --- txdata => sd_tx_data_q(7 downto 0), - tx_k => sd_tx_kcntl_q(i downto i), - tx_disp_correct => sd_tx_correct_disp_q(i downto i), - xmit => xmit(i downto i), - -- RX channel - rxdata => sd_rx_data( (i + 1) * 8 - 1 downto i * 8), --- rxdata => sd_rx_data(7 downto 0), - rx_k => sd_rx_kcntl(i downto i), - rx_disp_err => sd_rx_disp_error(i downto i), - rx_cv_err => sd_rx_cv_error(i downto i), - lsm_status_s => signal_detected(i), - signal_detect_c => '1', -- enable internal LSM - -- Status signals - pll_lol => pll_lol(i), - rx_cdr_lol_s => rx_cdr_lol(i), - rx_los_low_s => rx_los_low(i), - -- Power control - rx_pwrup_c => rx_power(i), - tx_pwrup_c => tx_power(i), - serdes_pdb => '1', -- DUAL is powered up - -- Resets - sli_rst => '0', -- soft logic reset (?) - rst_dual_c => rst_dual(i), - rx_pcs_rst_c => rx_pcs_rst_q(i), - rx_serdes_rst_c => rx_serdes_rst_q(i), - tx_pcs_rst_c => tx_pcs_rst(i), - serdes_rst_dual_c => '0', - tx_serdes_rst_c => '0' - ); - - rst_dual(i) <= init_dual; - - THE_MAIN_TX_RST: main_tx_reset_RS - port map ( - CLEAR => init_dual, - CLK_REF => CLK_125_IN, - TX_PLL_LOL_IN => pll_lol(i), - TX_CLOCK_AVAIL_IN => '1', -- not needed here - TX_PCS_RST_CH_C_OUT => tx_pcs_rst(i), - SYNC_TX_QUAD_OUT => open, - LINK_TX_READY_OUT => link_tx_ready(i), - STATE_OUT => open - ); - - THE_MAIN_RX_RST: main_rx_reset_RS - port map( - CLEAR => init_dual, - CLK_REF => CLK_125_IN, - CDR_LOL_IN => rx_cdr_lol(i), - CV_IN => sd_rx_cv_error(i), - LSM_IN => signal_detected(i), - LOS_IN => rx_los_low(i), - WAP_ZERO_IN => '1', -- not used here - -- outputs - WAP_REQ_OUT => open, -- not used here - RX_SERDES_RST_OUT => rx_serdes_rst(i), -- CLK_REF based - RX_PCS_RST_OUT => rx_pcs_rst(i), -- CLK_REF based - LINK_RX_READY_OUT => link_rx_ready(i), -- CLK_REF based - STATE_OUT => open - ); - - -- reset signals for RX SerDes need to be sync'ed to real RX clock for ECP5 - SYNC_RST_SIGS: entity work.signal_sync - generic map( WIDTH => 2 ) - port map( - RESET => '0', - CLK0 => sd_rx_clk(i), - CLK1 => sd_rx_clk(i), - D_IN(0) => rx_pcs_rst(i), - D_IN(1) => rx_serdes_rst(i), - D_OUT(0) => rx_pcs_rst_q(i), - D_OUT(1) => rx_serdes_rst_q(i) - ); - - debug(0) <= init_dual; - debug(1) <= pll_lol(i); - debug(2) <= rx_cdr_lol(i); - debug(3) <= rx_los_low(i); - debug(4) <= sd_rx_cv_error(i); - debug(5) <= signal_detected(i); - debug(6) <= tx_pcs_rst(i); - debug(7) <= rx_serdes_rst(i); - debug(8) <= rx_pcs_rst(i); - debug(9) <= link_rx_ready(i); - debug(10) <= link_tx_ready(i); - - -- one register between SGMII and SerDes - SYNC_TX_PROC : process(CLK_125_IN) - begin - if rising_edge(CLK_125_IN) then - sd_tx_data_q( (0 + 1) * 8 - 1 downto i * 8) <= sd_tx_data( (0 + 1) * 8 - 1 downto i * 8); --- sd_tx_data_q(7 downto 0) <= sd_tx_data(7 downto 0); - sd_tx_kcntl_q(i) <= sd_tx_kcntl(i); - sd_tx_correct_disp_q(i) <= sd_tx_correct_disp(i); - end if; - end process SYNC_TX_PROC; - - -- one register between SerDes and SGMII - SYNC_RX_PROC : process(sd_rx_clk(i)) - begin - if rising_edge(sd_rx_clk(i)) then - sd_rx_data_q( (0 + 1) * 8 - 1 downto i * 8) <= sd_rx_data( (0 + 1) * 8 - 1 downto i * 8); --- sd_rx_data_q(7 downto 0) <= sd_rx_data(7 downto 0); - sd_rx_kcntl_q(i) <= sd_rx_kcntl(i); - sd_rx_disp_error_q(i) <= sd_rx_disp_error(i); - sd_rx_cv_error_q(i) <= sd_rx_cv_error(i); - end if; - end process SYNC_RX_PROC; - - -- SGMII core - SGMII_GBE_PCS : sgmii_gbe_core - port map( - rst_n => synced_rst, - signal_detect => link_rx_ready(i), --signal_detected(i), - gbe_mode => '1', - sgmii_mode => '0', - operational_rate => operational_rate( (i + 1) * 2 - 1 downto (i * 2)), - debug_link_timer_short => '0', - force_isolate => '0', - force_loopback => '0', - force_unidir => '0', - rx_compensation_err => open, - ctc_drop_flag => open, - ctc_add_flag => open, - an_link_ok => open, - -- MAC interface - tx_clk_125 => CLK_125_IN, -- was sd_tx_clk(i) - tx_clock_enable_source => tx_clk_en(i), - tx_clock_enable_sink => tx_clk_en(i), - tx_d => pcs_txd( (i + 1) * 8 - 1 downto i * 8), -- TX data from MAC - tx_en => pcs_tx_en(i), -- TX data enable from MAC - tx_er => pcs_tx_er(i), -- TX error from MAC - rx_clk_125 => CLK_125_IN, -- was sd_rx_clk(i) - rx_clock_enable_source => rx_clk_en(i), - rx_clock_enable_sink => rx_clk_en(i), - rx_d => pcs_rxd( (i + 1) * 8 - 1 downto i * 8), -- RX data to MAC - rx_dv => pcs_rx_en(i), -- RX data enable to MAC - rx_er => pcs_rx_er(i), -- RX error to MAC - col => open, - crs => open, - -- SerDes interface - tx_data => sd_tx_data( (i + 1) * 8 - 1 downto i * 8), -- TX data to SerDes --- tx_data => sd_tx_data(7 downto 0), -- TX data to SerDes - tx_kcntl => sd_tx_kcntl(i), -- TX komma control to SerDes - tx_disparity_cntl => sd_tx_correct_disp(i), -- idle parity state control in IPG (to SerDes) - xmit_autoneg => xmit(i), - serdes_recovered_clk => sd_rx_clk(i), -- 125MHz recovered from receive bit stream - rx_data => sd_rx_data_q( (i + 1) * 8 - 1 downto i * 8), -- RX data from SerDes --- rx_data => sd_rx_data_q(7 downto 0), -- RX data from SerDes - rx_kcntl => sd_rx_kcntl_q(i), -- RX komma control from SerDes - rx_err_decode_mode => '0', -- receive error control mode fixed to normal - rx_even => '0', -- unused (receive error control mode = normal, tie to GND) - rx_disp_err => sd_rx_disp_error_q(i), -- RX disparity error from SerDes - rx_cv_err => sd_rx_cv_error_q(i), -- RX code violation error from SerDes - -- Autonegotiation stuff - mr_an_complete => an_complete(i), - mr_page_rx => mr_page_rx(i), - mr_lp_adv_ability => mr_lp_adv_ability( (i + 1) * 16 - 1 downto i * 16), - mr_main_reset => mr_main_reset(i), - mr_an_enable => mr_an_enable(i), --'1', - mr_restart_an => mr_restart_an(i), - mr_adv_ability => mr_adv_ability( (i + 1) * 16 - 1 downto i * 16) - ); - - MAC_AN_READY_OUT(i) <= an_complete(i); - - debug(11) <= sd_rx_kcntl_q(i); - debug(19 downto 12) <= sd_rx_data_q( (i + 1) * 8 - 1 downto i * 8); - - debug(20) <= sd_tx_kcntl_q(i); - debug(28 downto 21) <= sd_tx_data_q( (i + 1) * 8 - 1 downto i * 8); - - debug(29) <= xmit(i); - debug(30) <= mr_main_reset(i); - debug(31) <= mr_restart_an(i); - debug(32) <= mr_page_rx(i); - debug(33) <= an_complete(i); - - u0_rate_resolution : rate_resolution port map( - gbe_mode => '1', - sgmii_mode => '0', - an_enable => mr_an_enable(i), --'1', - advertised_rate => mr_adv_ability(i * 16 + 11 downto i * 16 + 10), - link_partner_rate => mr_lp_adv_ability(i * 16 + 11 downto i * 16 + 10), - non_an_rate => "10", -- 1Gbps is rate when auto-negotiation disabled - operational_rate => operational_rate( (i + 1) * 2 - 1 downto i * 2) - ); - - --- SIMPLE --- - mr_main_reset(i) <= init_dual; - mr_restart_an(i) <= pulse; - mr_an_enable(i) <= link_rx_ready(i); - - mr_adv_ability( (i + 1 ) * 16 - 1 downto i * 16) <= x"0020"; - - SYNC_PROC: process( sd_tx_clk(i) ) - begin - if( rising_edge(sd_tx_clk(i)) ) then - delay_q <= delay_q(6 downto 0) & link_rx_ready(i); - end if; - end process SYNC_PROC; - - pulse <= not delay_q(5) and delay_q(4); - pulse2 <= not delay_q(7) and delay_q(6); - --- /SIMPLE --- - --- u0_ri : register_interface_hb port map( --- -- Control Signals --- rst_n => synced_rst, --- hclk => CLK_125_IN, --- gbe_mode => '1', --- sgmii_mode => '0', --- -- Host Bus --- hcs_n => '1', --- hwrite_n => '1', --- haddr => (others => '0'), --- hdatain => (others => '0'), --- hdataout => open, --- hready_n => open, --- -- Register Outputs --- mr_an_enable => mr_an_enable(i), --- mr_restart_an => mr_restart_an(i), --- mr_main_reset => mr_main_reset(i), --- mr_adv_ability => mr_adv_ability( (i + 1 ) * 16 - 1 downto i * 16), --- -- Register Inputs --- mr_an_complete => an_complete(i), --- mr_page_rx => mr_page_rx(i), --- mr_lp_adv_ability => mr_lp_adv_ability( (i + 1 ) * 16 - 1 downto i * 16) --- ); - - MAC: tsmac_gbe - port map( - ----------------- clock and reset port declarations ------------------ - hclk => CLK_SYS_IN, - txmac_clk => sd_tx_clk(i), - rxmac_clk => sd_rx_clk(i), - reset_n => GSR_N, - ------------------- Input signals to the GMII ---------------- - rxd => pcs_rxd( (i + 1) * 8 - 1 downto i * 8), - rx_dv => pcs_rx_en(i), - rx_er => pcs_rx_er(i), - -------------------- Input signals to the CPU I/F ------------------- - haddr => tsm_haddr( (i + 1) * 8 - 1 downto i * 8), - hdatain => tsm_hdata( (i + 1) * 8 - 1 downto i * 8), - hcs_n => tsm_hcs_n(i), - hwrite_n => tsm_hwrite_n(i), - hread_n => tsm_hread_n(i), - ---------------- Input signals to the Tx MAC FIFO I/F --------------- - tx_fifodata => MAC_TX_DATA_IN( (i + 1) * 8 - 1 downto i * 8), - tx_fifoavail => MAC_FIFOAVAIL_IN(i), - tx_fifoeof => MAC_FIFOEOF_IN(i), - tx_fifoempty => MAC_FIFOEMPTY_IN(i), - tx_sndpaustim => x"0000", - tx_sndpausreq => '0', - tx_fifoctrl => '0', -- always data frame - ---------------- Input signals to the Rx MAC FIFO I/F --------------- - rx_fifo_full => MAC_RX_FIFOFULL_IN(i), --'0', - ignore_pkt => '0', - ---------------- Output signals from the GMII ----------------------- - txd => pcs_txd( (i + 1) * 8 - 1 downto i * 8), - tx_en => pcs_tx_en(i), - tx_er => pcs_tx_er(i), - ----------------- Output signals from the CPU I/F ------------------- - hdataout => open, - hdataout_en_n => tsm_hdataout_en_n(i), - hready_n => tsm_hready_n(i), - cpu_if_gbit_en => open, - ------------- Output signals from the Tx MAC FIFO I/F --------------- - tx_macread => MAC_TX_READ_OUT(i), - tx_discfrm => MAC_TX_DISCRFRM_OUT(i), - tx_staten => MAC_TX_STAT_EN_OUT(i), - tx_statvec => MAC_TX_STATS_OUT( (i + 1) * 31 - 1 downto i * 31), - tx_done => MAC_TX_DONE_OUT(i), - ------------- Output signals from the Rx MAC FIFO I/F --------------- - rx_fifo_error => MAC_RX_FIFO_ERR_OUT(i), - rx_stat_vector => MAC_RX_STATS_OUT( (i + 1) * 32 - 1 downto i * 32), - rx_dbout => MAC_RX_DATA_OUT( (i + 1) * 8 - 1 downto i * 8), - rx_write => MAC_RX_WRITE_OUT(i), - rx_stat_en => MAC_RX_STAT_EN_OUT(i), - rx_eof => MAC_RX_EOF_OUT(i), - rx_error => MAC_RX_ERROR_OUT(i) - ); - - TSMAC_CONTROLLER : trb_net16_gbe_mac_control - port map( - CLK => CLK_SYS_IN, - RESET => RESET, - -- signals to/from main controller - MC_TSMAC_READY_OUT => MAC_READY_CONF_OUT(i), - MC_RECONF_IN => MAC_RECONF_IN(i), - MC_GBE_EN_IN => '1', - MC_RX_DISCARD_FCS => '0', - MC_PROMISC_IN => '1', - MC_MAC_ADDR_IN => (others => '0'), - -- signal to/from Host interface of TriSpeed MAC - TSM_HADDR_OUT => tsm_haddr( (i + 1) * 8 - 1 downto i * 8), - TSM_HDATA_OUT => tsm_hdata( (i + 1) * 8 - 1 downto i * 8), - TSM_HCS_N_OUT => tsm_hcs_n(i), - TSM_HWRITE_N_OUT => tsm_hwrite_n(i), - TSM_HREAD_N_OUT => tsm_hread_n(i), - TSM_HREADY_N_IN => tsm_hready_n(i), - TSM_HDATA_EN_N_IN => tsm_hdataout_en_n(i), - -- Debug - DEBUG_OUT => open - ); - - end generate pcs_active_gen; - - end generate pcs_gen; - - end generate impl_gen; - - sim_gen : if DO_SIMULATION = 1 generate - - process - begin - - MAC_AN_READY_OUT <= (others => '0'); - wait for 2 us; - MAC_AN_READY_OUT <= (others => '1'); - - wait; - end process; - - process(CLK_125_IN) - begin - if rising_edge(CLK_125_IN) then - MAC_TX_READ_OUT <= MAC_FIFOAVAIL_IN; - - fifo_eof_q <= MAC_FIFOEOF_IN; - fifo_eof_qq <= fifo_eof_q; - fifo_eof_qqq <= fifo_eof_qq; - fifo_eof_qqqq <= fifo_eof_qqq; - - MAC_TX_DONE_OUT <= fifo_eof_qqqq; -- MAC_FIFOEOF_IN; - end if; - end process; - - - end generate sim_gen; - - -end architecture RTL; diff --git a/gbe_trb/base/gbe_med_interface_single_5G.vhd b/gbe_trb/base/gbe_med_interface_single_5G.vhd index 513968c..8587614 100644 --- a/gbe_trb/base/gbe_med_interface_single_5G.vhd +++ b/gbe_trb/base/gbe_med_interface_single_5G.vhd @@ -113,39 +113,6 @@ architecture RTL of gbe_med_interface_single_5G is ); end component; - component rate_resolution - port ( - gbe_mode : in std_logic; - sgmii_mode : in std_logic; - an_enable : in std_logic; - advertised_rate : in std_logic_vector(1 downto 0); - link_partner_rate : in std_logic_vector(1 downto 0); - non_an_rate : in std_logic_vector(1 downto 0); - operational_rate : out std_logic_vector(1 downto 0) - ); - end component; - - component register_interface_hb port ( - rst_n : in std_logic; - hclk : in std_logic; - gbe_mode : in std_logic; - sgmii_mode : in std_logic; - hcs_n : in std_logic; - hwrite_n : in std_logic; - haddr : in std_logic_vector(3 downto 0); - hdatain : in std_logic_vector(7 downto 0); - hdataout : out std_logic_vector(7 downto 0); - hready_n : out std_logic; - mr_an_complete : in std_logic; - mr_page_rx : in std_logic; - mr_lp_adv_ability : in std_logic_vector(15 downto 0); - mr_main_reset : out std_logic; - mr_an_enable : out std_logic; - mr_restart_an : out std_logic; - mr_adv_ability : out std_logic_vector(15 downto 0) - ); - end component; - component tsmac_gbe -- checked for ECP5-5G core port( --------------- clock and reset port declarations ------------------ @@ -381,14 +348,14 @@ begin ); -- Status signals - STATUS_OUT(0) <= link_tx_ready; -- SerDes TX channel operational - STATUS_OUT(1) <= link_rx_ready; -- SerDes Rx channel operational - STATUS_OUT(2) <= an_complete; -- GbE Autonegotiation completed - STATUS_OUT(3) <= pcs_tx_en; -- SerDes TX activity - STATUS_OUT(4) <= pcs_rx_en; -- SerDes RX activity - STATUS_OUT(5) <= '0'; - STATUS_OUT(6) <= '0'; STATUS_OUT(7) <= '0'; + STATUS_OUT(6) <= '0'; + STATUS_OUT(5) <= '0'; + STATUS_OUT(4) <= pcs_rx_en; -- SerDes RX activity + STATUS_OUT(3) <= pcs_tx_en; -- SerDes TX activity + STATUS_OUT(2) <= an_complete; -- GbE Autonegotiation completed + STATUS_OUT(1) <= link_rx_ready; -- SerDes Rx channel operational + STATUS_OUT(0) <= link_tx_ready; -- SerDes TX channel operational -- -- "Good" debugging pins -- debug(7 downto 0) <= sd_tx_data; @@ -474,17 +441,6 @@ begin operational_rate <= b"10"; --- /SIMPLE --- --- -- basically, not needed in GbE! --- u0_rate_resolution : rate_resolution port map( --- gbe_mode => '1', --- sgmii_mode => '0', --- an_enable => '1', -- not used inside if gbe_mode is active --- advertised_rate => mr_adv_ability(11 downto 10), --- link_partner_rate => mr_lp_adv_ability(11 downto 10), --- non_an_rate => "10", -- 1Gbps is rate when auto-negotiation disabled --- operational_rate => operational_rate --- ); - --- SIMPLE --- mr_main_reset <= rst_dual; mr_restart_an <= pulse; @@ -501,30 +457,6 @@ begin pulse <= not delay_q(7) and delay_q(6); --- /SIMPLE --- --- u0_ri : register_interface_hb port map( --- -- Control Signals --- rst_n => synced_rst, --- hclk => CLK_125_IN, --- gbe_mode => '1', --- sgmii_mode => '0', --- -- Host Bus --- hcs_n => '1', --- hwrite_n => '1', --- haddr => (others => '0'), --- hdatain => (others => '0'), --- hdataout => open, --- hready_n => open, --- -- Register Outputs --- mr_an_enable => mr_an_enable, --- mr_restart_an => mr_restart_an, --- mr_main_reset => mr_main_reset, --- mr_adv_ability => mr_adv_ability, --- -- Register Inputs --- mr_an_complete => an_complete, --- mr_page_rx => mr_page_rx, --- mr_lp_adv_ability => mr_lp_adv_ability --- ); - -- "Good" debugging pins debug(7 downto 0) <= pcs_txd; debug(15 downto 8) <= pcs_rxd; diff --git a/gbe_trb/base/gbe_wrapper_single_5G.vhd b/gbe_trb/base/gbe_wrapper_single_5G.vhd index 4ed9791..29608f5 100644 --- a/gbe_trb/base/gbe_wrapper_single_5G.vhd +++ b/gbe_trb/base/gbe_wrapper_single_5G.vhd @@ -51,8 +51,7 @@ entity gbe_wrapper_single_5G is CTS_NUMBER_IN : in std_logic_vector(15 downto 0); CTS_CODE_IN : in std_logic_vector(7 downto 0); CTS_INFORMATION_IN : in std_logic_vector(7 downto 0); - CTS_READOUT_TYPE_IN : in std_logic_vector(3 down: in std_logic_vector(7 downto 0) := (others => '0'); - to 0); + CTS_READOUT_TYPE_IN : in std_logic_vector(3 downto 0); CTS_START_READOUT_IN : in std_logic; CTS_DATA_OUT : out std_logic_vector(31 downto 0); CTS_DATAREADY_OUT : out std_logic; @@ -126,9 +125,6 @@ architecture RTL of gbe_wrapper_single_5G is signal mac_rx_eof : std_logic; signal mac_rx_err : std_logic; --- signal clk_125_from_pcs : std_logic; -- not needed --- signal clk_125_rx_from_pcs : std_logic; -- not needed - signal cfg_gbe_enable : std_logic; signal cfg_ipu_enable : std_logic; signal cfg_mult_enable : std_logic; @@ -255,9 +251,9 @@ begin RESET => RESET, GSR_N => GSR_N, CLK_SYS_IN => CLK_SYS_IN, - CLK_125_OUT => open, --clk_125_from_pcs, -- not needed + CLK_125_OUT => open, -- not needed CLK_125_IN => CLK_125_IN, - CLK_125_RX_OUT => open, --clk_125_rx_from_pcs, -- not needed + CLK_125_RX_OUT => open, -- not needed MAC_READY_CONF_OUT => mac_ready_conf, MAC_RECONF_IN => mac_reconf, MAC_AN_READY_OUT => mac_an_ready, @@ -289,7 +285,8 @@ begin DEBUG_OUT => debug(63 downto 0) --open ); - STATUS_OUT(15 downto 8) <= (others => '0'); + STATUS_OUT(8) <= dhcp_done; -- DHCP has completed + STATUS_OUT(15 downto 9) <= (others => '0'); gbe_inst : entity work.gbe_logic_wrapper generic map(DO_SIMULATION => DO_SIMULATION, @@ -315,7 +312,7 @@ begin port map( CLK_SYS_IN => CLK_SYS_IN, CLK_125_IN => CLK_125_IN, - CLK_RX_125_IN => CLK_125_IN, --clk_125_rx_from_pcs, + CLK_RX_125_IN => CLK_125_IN, RESET => RESET, GSR_N => GSR_N, MY_MAC_IN => mac_0, @@ -643,18 +640,18 @@ begin MONITOR_TX_FRAMES_IN => sum_tx_frames, MONITOR_TX_PACKETS_IN => sum_tx_packets, MONITOR_DROPPED_IN => sum_dropped, - MONITOR_SELECT_REC_IN => (others => '0'), --dbg_select_rec, - MONITOR_SELECT_REC_BYTES_IN => (others => '0'), --dbg_select_rec_bytes, - MONITOR_SELECT_SENT_BYTES_IN => (others => '0'), --dbg_select_sent_bytes, - MONITOR_SELECT_SENT_IN => (others => '0'), --dbg_select_sent, - MONITOR_SELECT_DROP_IN_IN => (others => '0'), --dbg_select_drop_in, - MONITOR_SELECT_DROP_OUT_IN => (others => '0'), --dbg_select_drop_out, - MONITOR_SELECT_GEN_DBG_IN => monitor_gen_dbg, --dbg_select_gen, + MONITOR_SELECT_REC_IN => (others => '0'), + MONITOR_SELECT_REC_BYTES_IN => (others => '0'), + MONITOR_SELECT_SENT_BYTES_IN => (others => '0'), + MONITOR_SELECT_SENT_IN => (others => '0'), + MONITOR_SELECT_DROP_IN_IN => (others => '0'), + MONITOR_SELECT_DROP_OUT_IN => (others => '0'), + MONITOR_SELECT_GEN_DBG_IN => monitor_gen_dbg, MONITOR_IP_IN => my_ip, DUMMY_EVENT_SIZE_OUT => dummy_event, DUMMY_TRIGGERED_MODE_OUT => dummy_mode, - DATA_HIST_IN => (others => (others => '0')), --dbg_hist, - SCTRL_HIST_IN => (others => (others => '0')) --dbg_hist2 + DATA_HIST_IN => (others => (others => '0')), + SCTRL_HIST_IN => (others => (others => '0')) ); NOSCTRL_MAP_GEN : if (LINK_HAS_SLOWCTRL = "0000") generate diff --git a/gbe_trb_ecp5/base/gbe_med_interface_single.vhd b/gbe_trb_ecp5/base/gbe_med_interface_single.vhd new file mode 100644 index 0000000..22c579e --- /dev/null +++ b/gbe_trb_ecp5/base/gbe_med_interface_single.vhd @@ -0,0 +1,559 @@ +LIBRARY IEEE; +USE IEEE.std_logic_1164.ALL; +USE IEEE.std_logic_ARITH.ALL; +USE IEEE.std_logic_UNSIGNED.ALL; + +library work; +use work.trb_net_std.all; +use work.trb_net_components.all; +use work.trb_net_gbe_components.all; +use work.med_sync_define_RS.all; + +entity gbe_med_interface_single is + port ( + RESET : in std_logic; + GSR_N : in std_logic; + CLK_SYS_IN : in std_logic; + CLK_125_OUT : out std_logic; + CLK_125_IN : in std_logic; + CLK_125_RX_OUT : out std_logic; + -- MAC status and config + MAC_READY_CONF_OUT : out std_logic; + MAC_RECONF_IN : in std_logic; + MAC_AN_READY_OUT : out std_logic; + -- MAC data interface + MAC_FIFOAVAIL_IN : in std_logic; + MAC_FIFOEOF_IN : in std_logic; + MAC_FIFOEMPTY_IN : in std_logic; + MAC_RX_FIFOFULL_IN : in std_logic; + -- MAC TX interface + MAC_TX_DATA_IN : in std_logic_vector(7 downto 0); + MAC_TX_READ_OUT : out std_logic; + MAC_TX_DISCRFRM_OUT : out std_logic; + MAC_TX_STAT_EN_OUT : out std_logic; + MAC_TX_STATS_OUT : out std_logic_vector(30 downto 0); + MAC_TX_DONE_OUT : out std_logic; + -- MAC RX interface + MAC_RX_FIFO_ERR_OUT : out std_logic; + MAC_RX_STATS_OUT : out std_logic_vector(31 downto 0); + MAC_RX_DATA_OUT : out std_logic_vector(7 downto 0); + MAC_RX_WRITE_OUT : out std_logic; + MAC_RX_STAT_EN_OUT : out std_logic; + MAC_RX_EOF_OUT : out std_logic; + MAC_RX_ERROR_OUT : out std_logic; + --SFP Connection + SD_RXD_P_IN : in std_logic; + SD_RXD_N_IN : in std_logic; + SD_TXD_P_OUT : out std_logic; + SD_TXD_N_OUT : out std_logic; + SD_PRSNT_N_IN : in std_logic; + SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal) + SD_TXDIS_OUT : out std_logic; -- SFP disable + STATUS_OUT : out std_logic_vector(7 downto 0); + -- Debug + DEBUG_OUT : out std_logic_vector(63 downto 0) + ); +end entity gbe_med_interface_single; + +architecture RTL of gbe_med_interface_single is + + component sgmii_gbe_core -- checked for ECP5-5G core + port( + rst_n : in std_logic; + signal_detect : in std_logic; + gbe_mode : in std_logic; + sgmii_mode : in std_logic; + operational_rate : in std_logic_vector(1 downto 0); + debug_link_timer_short : in std_logic; + + force_isolate : in std_logic; + force_loopback : in std_logic; + force_unidir : in std_logic; + + rx_compensation_err : out std_logic; + + ctc_drop_flag : out std_logic; + ctc_add_flag : out std_logic; + an_link_ok : out std_logic; + + tx_clk_125 : in std_logic; + tx_clock_enable_source : out std_logic; + tx_clock_enable_sink : in std_logic; + tx_d : in std_logic_vector(7 downto 0); + tx_en : in std_logic; + tx_er : in std_logic; + rx_clk_125 : in std_logic; + rx_clock_enable_source : out std_logic; + rx_clock_enable_sink : in std_logic; + rx_d : out std_logic_vector(7 downto 0); + rx_dv : out std_logic; + rx_er : out std_logic; + col : out std_logic; + crs : out std_logic; + tx_data : out std_logic_vector(7 downto 0); + tx_kcntl : out std_logic; + tx_disparity_cntl : out std_logic; + + xmit_autoneg : out std_logic; + + serdes_recovered_clk : in std_logic; + rx_data : in std_logic_vector(7 downto 0); + rx_even : in std_logic; + rx_kcntl : in std_logic; + rx_disp_err : in std_logic; + rx_cv_err : in std_logic; + rx_err_decode_mode : in std_logic; + mr_an_complete : out std_logic; + mr_page_rx : out std_logic; + mr_lp_adv_ability : out std_logic_vector(15 downto 0); + mr_main_reset : in std_logic; + mr_an_enable : in std_logic; + mr_restart_an : in std_logic; + mr_adv_ability : in std_logic_vector(15 downto 0) + ); + end component; + + component tsmac_gbe -- checked for ECP5-5G core + port( + --------------- clock and reset port declarations ------------------ + hclk : in std_logic; + txmac_clk : in std_logic; + rxmac_clk : in std_logic; + reset_n : in std_logic; + ------------------- Input signals to the GMII ---------------- + rxd : in std_logic_vector(7 downto 0); + rx_dv : in std_logic; + rx_er : in std_logic; + -------------------- Input signals to the CPU I/F ------------------- + haddr : in std_logic_vector(7 downto 0); + hdatain : in std_logic_vector(7 downto 0); + hcs_n : in std_logic; + hwrite_n : in std_logic; + hread_n : in std_logic; + ---------------- Input signals to the Tx MAC FIFO I/F --------------- + tx_fifodata : in std_logic_vector(7 downto 0); + tx_fifoavail : in std_logic; + tx_fifoeof : in std_logic; + tx_fifoempty : in std_logic; + tx_sndpaustim : in std_logic_vector(15 downto 0); + tx_sndpausreq : in std_logic; + tx_fifoctrl : in std_logic; + ---------------- Input signals to the Rx MAC FIFO I/F --------------- + rx_fifo_full : in std_logic; + ignore_pkt : in std_logic; + -------------------- Output signals from the GMII ----------------------- + txd : out std_logic_vector(7 downto 0); + tx_en : out std_logic; + tx_er : out std_logic; + -------------------- Output signals from the CPU I/F ------------------- + hdataout : out std_logic_vector(7 downto 0); + hdataout_en_n : out std_logic; + hready_n : out std_logic; + cpu_if_gbit_en : out std_logic; + ---------------- Output signals from the Tx MAC FIFO I/F --------------- + tx_macread : out std_logic; + tx_discfrm : out std_logic; + tx_staten : out std_logic; + tx_done : out std_logic; + tx_statvec : out std_logic_vector(30 downto 0); + ---------------- Output signals from the Rx MAC FIFO I/F --------------- + rx_fifo_error : out std_logic; + rx_stat_vector : out std_logic_vector(31 downto 0); + rx_dbout : out std_logic_vector(7 downto 0); + rx_write : out std_logic; + rx_stat_en : out std_logic; + rx_eof : out std_logic; + rx_error : out std_logic + ); + end component; + + signal sd_rx_clk : std_logic; + signal sd_tx_kcntl : std_logic_vector(0 downto 0); + signal sd_tx_data : std_logic_vector(7 downto 0); + signal xmit : std_logic_vector(0 downto 0); + signal sd_tx_correct_disp : std_logic_vector(0 downto 0); + signal sd_rx_data : std_logic_vector(7 downto 0); + signal sd_rx_kcntl : std_logic_vector(0 downto 0); + signal sd_rx_disp_error : std_logic_vector(0 downto 0); + signal sd_rx_cv_error : std_logic_vector(0 downto 0); + signal lsm_status : std_logic; + signal rx_clk_en : std_logic; + signal tx_clk_en : std_logic; + signal operational_rate : std_logic_vector(1 downto 0); + signal an_complete : std_logic; + signal mr_page_rx : std_logic; + signal mr_lp_adv_ability : std_logic_vector(15 downto 0); + signal mr_main_reset : std_logic; + signal mr_restart_an : std_logic; + signal mr_adv_ability : std_logic_vector(15 downto 0); + signal mr_an_enable : std_logic; + signal an_link_ok : std_logic; + signal pcs_rxd : std_logic_vector(7 downto 0); + signal pcs_rx_en : std_logic; + signal pcs_rx_er : std_logic; + signal pcs_txd : std_logic_vector(7 downto 0); + signal pcs_tx_en : std_logic; + signal pcs_tx_er : std_logic; + signal tsm_hdataout_en_n : std_logic; + signal tsm_hready_n : std_logic; + signal tsm_hread_n : std_logic; + signal tsm_hwrite_n : std_logic; + signal tsm_hcs_n : std_logic; + signal tsm_hdata : std_logic_vector(7 downto 0); + signal tsm_haddr : std_logic_vector(7 downto 0); + + signal synced_rst : std_logic; + + signal fifo_eof_q, fifo_eof_qq, fifo_eof_qqq, fifo_eof_qqqq : std_logic; + + signal link_rx_ready : std_logic; + signal rx_los_low : std_logic; + signal rx_cdr_lol : std_logic; + signal rst_dual : std_logic; + signal rx_pcs_rst : std_logic; + signal rx_pcs_rst_q : std_logic; + signal rx_serdes_rst : std_logic; + signal rx_serdes_rst_q : std_logic; + signal tx_pcs_rst : std_logic; + signal link_tx_ready : std_logic; + signal pll_lol : std_logic; + + signal debug : std_logic_vector(63 downto 0); + + -- for replacing register interface + signal delay_q : std_logic_vector(7 downto 0); + signal pulse : std_logic; + +begin + + -- We allow only one GbE in ECP5 for now + assert not (LINKS_ACTIVE = b"0000") report "Error: no GbE interface selected" severity error; + + -------------------------------------------------------------------- + -------------------------------------------------------------------- + DEBUG_OUT <= debug; + -- debug(19..0) are on INTCOM + -- debug(33..20) are on GPIO + -- 33 = CLK2 (white/green) + -- 32 = CLK1 (white/blue) + -------------------------------------------------------------------- + -------------------------------------------------------------------- + +-- reset_sync: process( CLK_125_IN ) +-- begin +-- if( rising_edge(CLK_125_IN) ) then + synced_rst <= GSR_N; +-- end if; +-- end process reset_sync; + rst_dual <= not GSR_N; + + SD_TXDIS_OUT <= '0'; + + CLK_125_OUT <= CLK_125_IN; + CLK_125_RX_OUT <= sd_rx_clk; + + -- Some notes on clocks: the SerDes uses TX and RX bridge FIFO, with RX FIFO being clocked on + -- both read and write side by rx_pclk, and TX FIFO being clocked on write side by txi_clk. + -- For TX, we can use local 125MHz clock. + -- For RX, the SGMII core implements the CTC FIFO, and by clocking SGMII also by local 125MHz + -- (except serdes_recovered_clk, which goes to rx_pclk) we have *everthing* behind the SGMII + -- on local 125MHz clock. + + gbe_serdes: entity serdes_gbe + port map( + -- external I/Os + hdinp => SD_RXD_P_IN, + hdinn => SD_RXD_N_IN, + hdoutp => SD_TXD_P_OUT, + hdoutn => SD_TXD_N_OUT, + -- clocks + pll_refclki => CLK_125_IN, -- TX reference clock for PLL + rxrefclk => CLK_125_IN, -- RX reference clock for CDR + txi_clk => CLK_125_IN, -- feeds the TX FIFO + tx_pclk => open, -- not really needed + rx_pclk => sd_rx_clk, -- recovered RX clock, also used on FIFO! + -- TX channel + txdata => sd_tx_data, + tx_k => sd_tx_kcntl, + tx_disp_correct => sd_tx_correct_disp, + xmit => xmit, -- not used, should not harm + -- RX channel + rxdata => sd_rx_data, + rx_k => sd_rx_kcntl, + rx_disp_err => sd_rx_disp_error, + rx_cv_err => sd_rx_cv_error, + lsm_status_s => lsm_status, + signal_detect_c => '1', -- enable internal LSM + -- Status signals + pll_lol => pll_lol, + rx_cdr_lol_s => rx_cdr_lol, + rx_los_low_s => rx_los_low, + -- Power control + rx_pwrup_c => '1', + tx_pwrup_c => '1', + serdes_pdb => '1', -- DUAL is powered up + -- Resets + sli_rst => '0', -- soft logic reset (?) + rst_dual_c => rst_dual, + rx_pcs_rst_c => rx_pcs_rst_q, + rx_serdes_rst_c => rx_serdes_rst_q, + tx_pcs_rst_c => tx_pcs_rst, + serdes_rst_dual_c => '0', + tx_serdes_rst_c => '0' + ); + + -- RSL for TX of SerDes, based on extRSL logic + THE_MAIN_TX_RST: main_tx_reset_RS + port map ( + CLEAR => rst_dual, + CLK_REF => CLK_125_IN, + TX_PLL_LOL_IN => pll_lol, + TX_CLOCK_AVAIL_IN => '1', -- not needed here + TX_PCS_RST_CH_C_OUT => tx_pcs_rst, + SYNC_TX_QUAD_OUT => open, --not needed here + LINK_TX_READY_OUT => link_tx_ready, + STATE_OUT => open + ); + + -- RSL for RX of SerDes, based on extRSL logic + -- CAVEAT: reset signals MUST BE sync'ed to recovered RX clock! + THE_MAIN_RX_RST: main_rx_reset_RS + port map( + CLEAR => rst_dual, + CLK_REF => CLK_125_IN, + CDR_LOL_IN => rx_cdr_lol, + CV_IN => sd_rx_cv_error(0), + LSM_IN => lsm_status, + LOS_IN => rx_los_low, + WAP_ZERO_IN => '1', -- not needed here + -- outputs + WAP_REQ_OUT => open, -- not needed here + RX_SERDES_RST_OUT => rx_serdes_rst, -- CLK_REF based + RX_PCS_RST_OUT => rx_pcs_rst, -- CLK_REF based + LINK_RX_READY_OUT => link_rx_ready, -- CLK_REF based + STATE_OUT => open + ); + + -- reset signals for RX SerDes need to be sync'ed to real RX clock for ECP5 + SYNC_RST_SIGS: entity work.signal_sync + generic map( WIDTH => 2 ) + port map( + RESET => '0', + CLK0 => sd_rx_clk, + CLK1 => sd_rx_clk, + D_IN(0) => rx_pcs_rst, + D_IN(1) => rx_serdes_rst, + D_OUT(0) => rx_pcs_rst_q, + D_OUT(1) => rx_serdes_rst_q + ); + + -- Status signals + STATUS_OUT(7) <= '0'; + STATUS_OUT(6) <= '0'; + STATUS_OUT(5) <= '0'; + STATUS_OUT(4) <= pcs_rx_en; -- SerDes RX activity + STATUS_OUT(3) <= pcs_tx_en; -- SerDes TX activity + STATUS_OUT(2) <= an_complete; -- GbE Autonegotiation completed + STATUS_OUT(1) <= link_rx_ready; -- SerDes Rx channel operational + STATUS_OUT(0) <= link_tx_ready; -- SerDes TX channel operational + +-- -- "Good" debugging pins +-- debug(7 downto 0) <= sd_tx_data; +-- debug(15 downto 8) <= sd_rx_data; +-- debug(16) <= sd_tx_kcntl(0); +-- debug(17) <= sd_rx_kcntl(0); +-- debug(18) <= '0'; +-- debug(19) <= '0'; +-- -- "Bad" debugging pins +-- debug(20) <= pll_lol; +-- debug(21) <= rx_cdr_lol; +-- debug(22) <= rx_los_low; +-- debug(23) <= sd_rx_cv_error(0); +-- debug(24) <= lsm_status; +-- debug(25) <= mr_main_reset; +-- debug(26) <= mr_an_enable; +-- debug(27) <= mr_restart_an; +-- debug(28) <= mr_page_rx; +-- debug(29) <= an_complete; +-- debug(30) <= an_link_ok; +-- debug(31) <= '0'; +-- debug(32) <= link_rx_ready; +-- debug(33) <= link_tx_ready; + debug(62) <= link_rx_ready; + debug(63) <= link_tx_ready; + + -- SGMII core + SGMII_GBE_PCS : sgmii_gbe_core + port map( + rst_n => synced_rst, + signal_detect => link_rx_ready, + gbe_mode => '1', + sgmii_mode => '0', + operational_rate => operational_rate, + debug_link_timer_short => '0', + force_isolate => '0', + force_loopback => '0', + force_unidir => '0', + rx_compensation_err => open, + ctc_drop_flag => open, + ctc_add_flag => open, + an_link_ok => an_link_ok, --open, + -- MAC interface + tx_clk_125 => CLK_125_IN, + tx_clock_enable_source => tx_clk_en, + tx_clock_enable_sink => tx_clk_en, + tx_d => pcs_txd, -- TX data from MAC + tx_en => pcs_tx_en, -- TX data enable from MAC + tx_er => pcs_tx_er, -- TX error from MAC + rx_clk_125 => CLK_125_IN, + rx_clock_enable_source => rx_clk_en, + rx_clock_enable_sink => rx_clk_en, + rx_d => pcs_rxd, -- RX data to MAC + rx_dv => pcs_rx_en, -- RX data enable to MAC + rx_er => pcs_rx_er, -- RX error to MAC + col => open, + crs => open, + -- SerDes interface + tx_data => sd_tx_data, -- TX data to SerDes + tx_kcntl => sd_tx_kcntl(0), -- TX komma control to SerDes + tx_disparity_cntl => sd_tx_correct_disp(0), -- idle parity state control in IPG (to SerDes) + xmit_autoneg => xmit(0), + serdes_recovered_clk => sd_rx_clk, -- 125MHz recovered from receive bit stream + rx_data => sd_rx_data, -- RX data from SerDes + rx_kcntl => sd_rx_kcntl(0), -- RX komma control from SerDes + rx_err_decode_mode => '0', -- receive error control mode fixed to normal + rx_even => '0', -- unused (receive error control mode = normal, tie to GND) + rx_disp_err => sd_rx_disp_error(0), -- RX disparity error from SerDes + rx_cv_err => sd_rx_cv_error(0), -- RX code violation error from SerDes + -- Autonegotiation stuff + mr_an_complete => an_complete, + mr_page_rx => mr_page_rx, + mr_lp_adv_ability => mr_lp_adv_ability, + mr_main_reset => mr_main_reset, + mr_an_enable => mr_an_enable, + mr_restart_an => mr_restart_an, + mr_adv_ability => mr_adv_ability + ); + + MAC_AN_READY_OUT <= an_complete; + +--- SIMPLE --- + operational_rate <= b"10"; +--- /SIMPLE --- + +--- SIMPLE --- + mr_main_reset <= rst_dual; + mr_restart_an <= pulse; + mr_an_enable <= link_rx_ready; + mr_adv_ability <= x"0020"; + + SYNC_PROC: process( CLK_125_IN ) + begin + if( rising_edge(CLK_125_IN) ) then + delay_q <= delay_q(6 downto 0) & link_rx_ready; + end if; + end process SYNC_PROC; + + pulse <= not delay_q(7) and delay_q(6); +--- /SIMPLE --- + + -- "Good" debugging pins + debug(7 downto 0) <= pcs_txd; + debug(15 downto 8) <= pcs_rxd; + debug(16) <= pcs_tx_en; + debug(17) <= pcs_tx_er; + debug(18) <= pcs_rx_en; + debug(19) <= pcs_rx_er; + -- "Bad" debugging pins + debug(20) <= pll_lol; + debug(21) <= rx_cdr_lol; + debug(22) <= rx_los_low; + debug(23) <= sd_rx_cv_error(0); + debug(24) <= lsm_status; + debug(25) <= mr_main_reset; + debug(26) <= mr_an_enable; + debug(27) <= mr_restart_an; + debug(28) <= mr_page_rx; + debug(29) <= an_complete; + debug(30) <= an_link_ok; + debug(31) <= '0'; + debug(32) <= link_rx_ready; + debug(33) <= link_tx_ready; + + MAC: tsmac_gbe + port map( + ----------------- clock and reset port declarations ------------------ + hclk => CLK_SYS_IN, + txmac_clk => CLK_125_IN, + rxmac_clk => CLK_125_IN, + reset_n => synced_rst, -- was GSR_N + ------------------- Input signals to the GMII ---------------- + rxd => pcs_rxd, + rx_dv => pcs_rx_en, + rx_er => pcs_rx_er, + -------------------- Input signals to the CPU I/F ------------------- + haddr => tsm_haddr, + hdatain => tsm_hdata, + hcs_n => tsm_hcs_n, + hwrite_n => tsm_hwrite_n, + hread_n => tsm_hread_n, + ---------------- Input signals to the Tx MAC FIFO I/F --------------- + tx_fifodata => MAC_TX_DATA_IN, + tx_fifoavail => MAC_FIFOAVAIL_IN, + tx_fifoeof => MAC_FIFOEOF_IN, + tx_fifoempty => MAC_FIFOEMPTY_IN, + tx_sndpaustim => x"0000", + tx_sndpausreq => '0', + tx_fifoctrl => '0', -- always data frame + ---------------- Input signals to the Rx MAC FIFO I/F --------------- + rx_fifo_full => MAC_RX_FIFOFULL_IN, + ignore_pkt => '0', + ---------------- Output signals from the GMII ----------------------- + txd => pcs_txd, + tx_en => pcs_tx_en, + tx_er => pcs_tx_er, + ----------------- Output signals from the CPU I/F ------------------- + hdataout => open, + hdataout_en_n => tsm_hdataout_en_n, + hready_n => tsm_hready_n, + cpu_if_gbit_en => open, + ------------- Output signals from the Tx MAC FIFO I/F --------------- + tx_macread => MAC_TX_READ_OUT, + tx_discfrm => MAC_TX_DISCRFRM_OUT, + tx_staten => MAC_TX_STAT_EN_OUT, + tx_statvec => MAC_TX_STATS_OUT, + tx_done => MAC_TX_DONE_OUT, + ------------- Output signals from the Rx MAC FIFO I/F --------------- + rx_fifo_error => MAC_RX_FIFO_ERR_OUT, + rx_stat_vector => MAC_RX_STATS_OUT, + rx_dbout => MAC_RX_DATA_OUT, + rx_write => MAC_RX_WRITE_OUT, + rx_stat_en => MAC_RX_STAT_EN_OUT, + rx_eof => MAC_RX_EOF_OUT, + rx_error => MAC_RX_ERROR_OUT + ); + + TSMAC_CONTROLLER : trb_net16_gbe_mac_control + port map( + CLK => CLK_SYS_IN, + RESET => RESET, + -- signals to/from main controller + MC_TSMAC_READY_OUT => MAC_READY_CONF_OUT, + MC_RECONF_IN => MAC_RECONF_IN, + MC_GBE_EN_IN => '1', + MC_RX_DISCARD_FCS => '0', + MC_PROMISC_IN => '1', + MC_MAC_ADDR_IN => (others => '0'), + -- signal to/from Host interface of TriSpeed MAC + TSM_HADDR_OUT => tsm_haddr, + TSM_HDATA_OUT => tsm_hdata, + TSM_HCS_N_OUT => tsm_hcs_n, + TSM_HWRITE_N_OUT => tsm_hwrite_n, + TSM_HREAD_N_OUT => tsm_hread_n, + TSM_HREADY_N_IN => tsm_hready_n, + TSM_HDATA_EN_N_IN => tsm_hdataout_en_n, + -- Debug + DEBUG_OUT => open + ); + +end architecture RTL; diff --git a/gbe_trb_ecp5/base/gbe_wrapper_single.vhd b/gbe_trb_ecp5/base/gbe_wrapper_single.vhd new file mode 100644 index 0000000..c41d25d --- /dev/null +++ b/gbe_trb_ecp5/base/gbe_wrapper_single.vhd @@ -0,0 +1,701 @@ +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_ARITH.all; +use IEEE.std_logic_UNSIGNED.all; + +library work; +use work.trb_net_std.all; +use work.trb_net_components.all; + +use work.trb_net_gbe_components.all; +use work.trb_net_gbe_protocols.all; + + +entity gbe_wrapper_single is + generic( + DO_SIMULATION : integer range 0 to 1 := 0; + INCLUDE_DEBUG : integer range 0 to 1 := 0; + USE_INTERNAL_TRBNET_DUMMY : integer range 0 to 1 := 0; -- only for debugging + USE_EXTERNAL_TRBNET_DUMMY : integer range 0 to 1 := 0; -- only for debugging + RX_PATH_ENABLE : integer range 0 to 1 := 1; -- + FIXED_SIZE_MODE : integer range 0 to 1 := 1; -- only for debugging + INCREMENTAL_MODE : integer range 0 to 1 := 0; -- only for debugging + FIXED_SIZE : integer range 0 to 65535 := 10; -- only for debugging + FIXED_DELAY_MODE : integer range 0 to 1 := 1; -- only for debugging + UP_DOWN_MODE : integer range 0 to 1 := 0; -- only for debugging + UP_DOWN_LIMIT : integer range 0 to 16777215 := 0; -- only for debugging + FIXED_DELAY : integer range 0 to 16777215 := 16777215; -- only for debugging + NUMBER_OF_GBE_LINKS : integer range 1 to 4 := 4; -- + LINKS_ACTIVE : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_PING : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_ARP : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_DHCP : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_READOUT : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_SLOWCTRL : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_FWD : std_logic_vector(3 downto 0) := "1111" + ); + port( + CLK_SYS_IN : in std_logic; + CLK_125_IN : in std_logic; + RESET : in std_logic; + GSR_N : in std_logic; + SD_PRSNT_N_IN : in std_logic; + SD_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal) + SD_TXDIS_OUT : out std_logic; -- SFP disable + SD_LED_GREEN_OUT : out std_logic; + SD_LED_YELLOW_OUT : out std_logic; + SD_LED_RED_OUT : out std_logic; + -- + TRIGGER_IN : in std_logic; -- for debug purpose only + -- CTS interface + CTS_NUMBER_IN : in std_logic_vector(15 downto 0); + CTS_CODE_IN : in std_logic_vector(7 downto 0); + CTS_INFORMATION_IN : in std_logic_vector(7 downto 0); + CTS_READOUT_TYPE_IN : in std_logic_vector(3 downto 0); + CTS_START_READOUT_IN : in std_logic; + CTS_DATA_OUT : out std_logic_vector(31 downto 0); + CTS_DATAREADY_OUT : out std_logic; + CTS_READOUT_FINISHED_OUT : out std_logic; + CTS_READ_IN : in std_logic; + CTS_LENGTH_OUT : out std_logic_vector(15 downto 0); + CTS_ERROR_PATTERN_OUT : out std_logic_vector(31 downto 0); + -- Data payload interface + FEE_DATA_IN : in std_logic_vector(15 downto 0); + FEE_DATAREADY_IN : in std_logic; + FEE_READ_OUT : out std_logic; + FEE_STATUS_BITS_IN : in std_logic_vector(31 downto 0); + FEE_BUSY_IN : in std_logic; + -- SlowControl + MY_TRBNET_ADDRESS_IN : in std_logic_vector(15 downto 0); + ISSUE_REBOOT_OUT : out std_logic; + MC_UNIQUE_ID_IN : in std_logic_vector(63 downto 0); + GSC_CLK_IN : in std_logic; + GSC_INIT_DATAREADY_OUT : out std_logic; + GSC_INIT_DATA_OUT : out std_logic_vector(15 downto 0); + GSC_INIT_PACKET_NUM_OUT : out std_logic_vector(2 downto 0); + GSC_INIT_READ_IN : in std_logic; + GSC_REPLY_DATAREADY_IN : in std_logic; + GSC_REPLY_DATA_IN : in std_logic_vector(15 downto 0); + GSC_REPLY_PACKET_NUM_IN : in std_logic_vector(2 downto 0); + GSC_REPLY_READ_OUT : out std_logic; + GSC_BUSY_IN : in std_logic; + -- IP configuration + BUS_IP_RX : in CTRLBUS_RX; + BUS_IP_TX : out CTRLBUS_TX; + -- Registers config + BUS_REG_RX : in CTRLBUS_RX; + BUS_REG_TX : out CTRLBUS_TX; + -- Forwarder + FWD_DST_MAC_IN : in std_logic_vector(47 downto 0) := (others => '0'); + FWD_DST_IP_IN : in std_logic_vector(31 downto 0) := (others => '0'); + FWD_DST_UDP_IN : in std_logic_vector(15 downto 0) := (others => '0'); + FWD_DATA_IN : in std_logic_vector(7 downto 0) := (others => '0'); + FWD_DATA_VALID_IN : in std_logic := '0'; + FWD_SOP_IN : in std_logic := '0'; + FWD_EOP_IN : in std_logic := '0'; + FWD_READY_OUT : out std_logic; + FWD_FULL_OUT : out std_logic; + -- + MAKE_RESET_OUT : out std_logic; + -- + STATUS_OUT : out std_logic_vector(15 downto 0); + DEBUG_OUT : out std_logic_vector(127 downto 0) + ); +end entity gbe_wrapper_single; + +architecture RTL of gbe_wrapper_single is + signal mac_ready_conf : std_logic; + signal mac_reconf : std_logic; + signal mac_an_ready : std_logic; + signal mac_fifoavail : std_logic; + signal mac_fifoeof : std_logic; + signal mac_fifoempty : std_logic; + signal mac_rx_fifofull : std_logic; + signal mac_tx_data : std_logic_vector(7 downto 0); + signal mac_tx_read : std_logic; + signal mac_tx_discrfrm : std_logic; + signal mac_tx_stat_en : std_logic; + signal mac_tx_stats : std_logic_vector(30 downto 0); + signal mac_tx_done : std_logic; + signal mac_rx_fifo_err : std_logic; + signal mac_rx_stats : std_logic_vector(31 downto 0); + signal mac_rx_data : std_logic_vector(7 downto 0); + signal mac_rx_write : std_logic; + signal mac_rx_stat_en : std_logic; + signal mac_rx_eof : std_logic; + signal mac_rx_err : std_logic; + + signal cfg_gbe_enable : std_logic; + signal cfg_ipu_enable : std_logic; + signal cfg_mult_enable : std_logic; + signal cfg_subevent_id : std_logic_vector(31 downto 0); + signal cfg_subevent_dec : std_logic_vector(31 downto 0); + signal cfg_queue_dec : std_logic_vector(31 downto 0); + signal cfg_readout_ctr : std_logic_vector(23 downto 0); + signal cfg_readout_ctr_valid : std_logic; + signal cfg_insert_ttype : std_logic; + signal cfg_max_sub : std_logic_vector(15 downto 0); + signal cfg_max_queue : std_logic_vector(15 downto 0); + signal cfg_max_subs_in_queue : std_logic_vector(15 downto 0); + signal cfg_max_single_sub : std_logic_vector(15 downto 0); + signal cfg_additional_hdr : std_logic; + signal cfg_soft_rst : std_logic; + signal cfg_allow_rx : std_logic; + signal cfg_max_frame : std_logic_vector(15 downto 0); + + signal dbg_hist, dbg_hist2 : hist_array; + + signal mac_0 : std_logic_vector(47 downto 0); + signal cfg_max_reply : std_logic_vector(31 downto 0); + + signal mlt_cts_number : std_logic_vector(15 downto 0); + signal mlt_cts_code : std_logic_vector(7 downto 0); + signal mlt_cts_information : std_logic_vector(7 downto 0); + signal mlt_cts_readout_type : std_logic_vector(3 downto 0); + signal mlt_cts_start_readout : std_logic_vector(0 downto 0); + signal mlt_cts_data : std_logic_vector(31 downto 0); + signal mlt_cts_dataready : std_logic_vector(0 downto 0); + signal mlt_cts_readout_finished : std_logic_vector(0 downto 0); + signal mlt_cts_read : std_logic_vector(0 downto 0); + signal mlt_cts_length : std_logic_vector(15 downto 0); + signal mlt_cts_error_pattern : std_logic_vector(31 downto 0); + signal mlt_fee_data : std_logic_vector(15 downto 0); + signal mlt_fee_dataready : std_logic_vector(0 downto 0); + signal mlt_fee_read : std_logic_vector(0 downto 0); + signal mlt_fee_status : std_logic_vector(31 downto 0); + signal mlt_fee_busy : std_logic_vector(0 downto 0); + + signal mlt_gsc_clk : std_logic; + signal mlt_gsc_init_dataready : std_logic; + signal mlt_gsc_init_data : std_logic_vector(15 downto 0); + signal mlt_gsc_init_packet : std_logic_vector(2 downto 0); + signal mlt_gsc_init_read : std_logic; + signal mlt_gsc_reply_dataready : std_logic; + signal mlt_gsc_reply_data : std_logic_vector(15 downto 0); + signal mlt_gsc_reply_packet : std_logic_vector(2 downto 0); + signal mlt_gsc_reply_read : std_logic; + signal mlt_gsc_busy : std_logic; + + signal local_cts_number : std_logic_vector(15 downto 0); + signal local_cts_code : std_logic_vector(7 downto 0); + signal local_cts_information : std_logic_vector(7 downto 0); + signal local_cts_readout_type : std_logic_vector(3 downto 0); + signal local_cts_start_readout : std_logic; + signal local_cts_readout_finished : std_logic; + signal local_cts_status_bits : std_logic_vector(31 downto 0); + signal local_fee_data : std_logic_vector(15 downto 0); + signal local_fee_dataready : std_logic; + signal local_fee_read : std_logic; + signal local_fee_status_bits : std_logic_vector(31 downto 0); + signal local_fee_busy : std_logic; + signal dhcp_done : std_logic; + signal all_links_ready : std_logic; + signal monitor_rx_frames : std_logic_vector(31 downto 0); + signal monitor_rx_bytes : std_logic_vector(31 downto 0); + signal monitor_tx_frames : std_logic_vector(31 downto 0); + signal monitor_tx_bytes : std_logic_vector(31 downto 0); + signal monitor_tx_packets : std_logic_vector(31 downto 0); + signal monitor_dropped : std_logic_vector(31 downto 0); + signal sum_rx_frames : std_logic_vector(31 downto 0); + signal sum_rx_bytes : std_logic_vector(31 downto 0); + signal sum_tx_frames : std_logic_vector(31 downto 0); + signal sum_tx_bytes : std_logic_vector(31 downto 0); + signal sum_tx_packets : std_logic_vector(31 downto 0); + signal sum_dropped : std_logic_vector(31 downto 0); + + signal busip0 : CTRLBUS_TX; + signal SD_RXD_P_IN, SD_RXD_N_IN, SD_TXD_P_OUT, SD_TXD_N_OUT : std_logic; + --attribute nopad : string; + --attribute nopad of SD_RXD_P_IN, SD_RXD_N_IN, SD_TXD_P_OUT, SD_TXD_N_OUT : signal is "true"; + + signal dummy_event : std_logic_vector(15 downto 0); + signal dummy_mode : std_logic; + signal make_reset0 : std_logic := '0'; + signal monitor_gen_dbg : std_logic_vector(c_MAX_PROTOCOLS * 64 - 1 downto 0); + + signal cfg_autothrottle : std_logic; + signal cfg_throttle_pause : std_logic_vector(15 downto 0); + + signal issue_reboot : std_logic; + signal my_ip : std_logic_vector(127 downto 0); + signal debug : std_logic_vector(127 downto 0); + +begin + +-- assert hits if condition in brackets is true, or overall condition is false +-- assert not (dual_mode = 8) report "Note: DUAL with one slave port detected" severity note; + + assert not (NUMBER_OF_GBE_LINKS /= 1) report "Error: only one GbE link allowed" severity error; + assert not (LINKS_ACTIVE /= b"0001") report "Error: no / wrong GbE interface selected" severity error; + assert not (LINK_HAS_PING(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + assert not (LINK_HAS_ARP(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + assert not (LINK_HAS_DHCP(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + assert not (LINK_HAS_READOUT(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + assert not (LINK_HAS_SLOWCTRL(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + assert not (LINK_HAS_FWD(3 downto 1) /= b"000") report "Error: only interface 0 supported" severity error; + + -- debug(127 downto 64) are local + -- debug(63 downto 0) are media interface + DEBUG_OUT <= debug; + + mac_0 <= MC_UNIQUE_ID_IN(15 downto 8) & MC_UNIQUE_ID_IN(23 downto 16) & MC_UNIQUE_ID_IN(31 downto 24) & x"0" & MC_UNIQUE_ID_IN(35 downto 32) & x"7ada"; + + all_links_ready <= '1' when dhcp_done = '1' else '0'; + + MAKE_RESET_OUT <= '1' when make_reset0 = '1' else '0'; + + ISSUE_REBOOT_OUT <= '0' when issue_reboot = '0' else '1'; + + physical : entity work.gbe_med_interface_single + port map( + RESET => RESET, + GSR_N => GSR_N, + CLK_SYS_IN => CLK_SYS_IN, + CLK_125_OUT => open, -- not needed + CLK_125_IN => CLK_125_IN, + CLK_125_RX_OUT => open, -- not needed + MAC_READY_CONF_OUT => mac_ready_conf, + MAC_RECONF_IN => mac_reconf, + MAC_AN_READY_OUT => mac_an_ready, + MAC_FIFOAVAIL_IN => mac_fifoavail, + MAC_FIFOEOF_IN => mac_fifoeof, + MAC_FIFOEMPTY_IN => mac_fifoempty, + MAC_RX_FIFOFULL_IN => mac_rx_fifofull, + MAC_TX_DATA_IN => mac_tx_data, + MAC_TX_READ_OUT => mac_tx_read, + MAC_TX_DISCRFRM_OUT => mac_tx_discrfrm, + MAC_TX_STAT_EN_OUT => mac_tx_stat_en, + MAC_TX_STATS_OUT => mac_tx_stats, + MAC_TX_DONE_OUT => mac_tx_done, + MAC_RX_FIFO_ERR_OUT => mac_rx_fifo_err, + MAC_RX_STATS_OUT => mac_rx_stats, + MAC_RX_DATA_OUT => mac_rx_data, + MAC_RX_WRITE_OUT => mac_rx_write, + MAC_RX_STAT_EN_OUT => mac_rx_stat_en, + MAC_RX_EOF_OUT => mac_rx_eof, + MAC_RX_ERROR_OUT => mac_rx_err, + SD_RXD_P_IN => SD_RXD_P_IN, + SD_RXD_N_IN => SD_RXD_N_IN, + SD_TXD_P_OUT => SD_TXD_P_OUT, + SD_TXD_N_OUT => SD_TXD_N_OUT, + SD_PRSNT_N_IN => SD_PRSNT_N_IN, + SD_LOS_IN => SD_LOS_IN, + SD_TXDIS_OUT => SD_TXDIS_OUT, + STATUS_OUT => STATUS_OUT(7 downto 0), + DEBUG_OUT => debug(63 downto 0) --open + ); + + STATUS_OUT(8) <= dhcp_done; -- DHCP has completed + STATUS_OUT(15 downto 9) <= (others => '0'); + + gbe_inst : entity work.gbe_logic_wrapper + generic map(DO_SIMULATION => DO_SIMULATION, + INCLUDE_DEBUG => INCLUDE_DEBUG, + USE_INTERNAL_TRBNET_DUMMY => USE_INTERNAL_TRBNET_DUMMY, + RX_PATH_ENABLE => RX_PATH_ENABLE, + INCLUDE_READOUT => LINK_HAS_READOUT(0), + INCLUDE_SLOWCTRL => LINK_HAS_SLOWCTRL(0), + INCLUDE_DHCP => LINK_HAS_DHCP(0), + INCLUDE_ARP => LINK_HAS_ARP(0), + INCLUDE_PING => LINK_HAS_PING(0), + INCLUDE_FWD => LINK_HAS_FWD(0), + FRAME_BUFFER_SIZE => 1, + READOUT_BUFFER_SIZE => 4, + SLOWCTRL_BUFFER_SIZE => 2, + FIXED_SIZE_MODE => FIXED_SIZE_MODE, + INCREMENTAL_MODE => INCREMENTAL_MODE, + FIXED_SIZE => FIXED_SIZE, + FIXED_DELAY_MODE => FIXED_DELAY_MODE, + UP_DOWN_MODE => UP_DOWN_MODE, + UP_DOWN_LIMIT => UP_DOWN_LIMIT, + FIXED_DELAY => FIXED_DELAY) + port map( + CLK_SYS_IN => CLK_SYS_IN, + CLK_125_IN => CLK_125_IN, + CLK_RX_125_IN => CLK_125_IN, + RESET => RESET, + GSR_N => GSR_N, + MY_MAC_IN => mac_0, + DHCP_DONE_OUT => dhcp_done, + MY_IP_OUT => my_ip(31 downto 0), + MY_TRBNET_ADDRESS_IN => MY_TRBNET_ADDRESS_IN, + ISSUE_REBOOT_OUT => issue_reboot, + MAC_READY_CONF_IN => mac_ready_conf, + MAC_RECONF_OUT => mac_reconf, + MAC_AN_READY_IN => mac_an_ready, + MAC_FIFOAVAIL_OUT => mac_fifoavail, + MAC_FIFOEOF_OUT => mac_fifoeof, + MAC_FIFOEMPTY_OUT => mac_fifoempty, + MAC_RX_FIFOFULL_OUT => mac_rx_fifofull, + MAC_TX_DATA_OUT => mac_tx_data, + MAC_TX_READ_IN => mac_tx_read, + MAC_TX_DISCRFRM_IN => mac_tx_discrfrm, + MAC_TX_STAT_EN_IN => mac_tx_stat_en, + MAC_TX_STATS_IN => mac_tx_stats, + MAC_TX_DONE_IN => mac_tx_done, + MAC_RX_FIFO_ERR_IN => mac_rx_fifo_err, + MAC_RX_STATS_IN => mac_rx_stats, + MAC_RX_DATA_IN => mac_rx_data, + MAC_RX_WRITE_IN => mac_rx_write, + MAC_RX_STAT_EN_IN => mac_rx_stat_en, + MAC_RX_EOF_IN => mac_rx_eof, + MAC_RX_ERROR_IN => mac_rx_err, + CTS_NUMBER_IN => mlt_cts_number, + CTS_CODE_IN => mlt_cts_code, + CTS_INFORMATION_IN => mlt_cts_information, + CTS_READOUT_TYPE_IN => mlt_cts_readout_type, + CTS_START_READOUT_IN => mlt_cts_start_readout(0), + CTS_DATA_OUT => mlt_cts_data, + CTS_DATAREADY_OUT => mlt_cts_dataready(0), + CTS_READOUT_FINISHED_OUT => mlt_cts_readout_finished(0), + CTS_READ_IN => mlt_cts_read(0), + CTS_LENGTH_OUT => mlt_cts_length, + CTS_ERROR_PATTERN_OUT => mlt_cts_error_pattern, + FEE_DATA_IN => mlt_fee_data, + FEE_DATAREADY_IN => mlt_fee_dataready(0), + FEE_READ_OUT => mlt_fee_read(0), + FEE_STATUS_BITS_IN => mlt_fee_status, + FEE_BUSY_IN => mlt_fee_busy(0), + GSC_CLK_IN => mlt_gsc_clk, + GSC_INIT_DATAREADY_OUT => mlt_gsc_init_dataready, + GSC_INIT_DATA_OUT => mlt_gsc_init_data, + GSC_INIT_PACKET_NUM_OUT => mlt_gsc_init_packet, + GSC_INIT_READ_IN => mlt_gsc_init_read, + GSC_REPLY_DATAREADY_IN => mlt_gsc_reply_dataready, + GSC_REPLY_DATA_IN => mlt_gsc_reply_data, + GSC_REPLY_PACKET_NUM_IN => mlt_gsc_reply_packet, + GSC_REPLY_READ_OUT => mlt_gsc_reply_read, + GSC_BUSY_IN => mlt_gsc_busy, + SLV_ADDR_IN => BUS_IP_RX.addr(7 downto 0), + SLV_READ_IN => BUS_IP_RX.read, + SLV_WRITE_IN => BUS_IP_RX.write, + SLV_BUSY_OUT => busip0.nack, + SLV_ACK_OUT => busip0.ack, + SLV_DATA_IN => BUS_IP_RX.data, + SLV_DATA_OUT => busip0.data, + CFG_GBE_ENABLE_IN => cfg_gbe_enable, + CFG_IPU_ENABLE_IN => cfg_ipu_enable, + CFG_MULT_ENABLE_IN => cfg_mult_enable, + CFG_MAX_FRAME_IN => cfg_max_frame, + CFG_ALLOW_RX_IN => cfg_allow_rx, + CFG_SOFT_RESET_IN => cfg_soft_rst, + CFG_SUBEVENT_ID_IN => cfg_subevent_id, + CFG_SUBEVENT_DEC_IN => cfg_subevent_dec, + CFG_QUEUE_DEC_IN => cfg_queue_dec, + CFG_READOUT_CTR_IN => cfg_readout_ctr, + CFG_READOUT_CTR_VALID_IN => cfg_readout_ctr_valid, + CFG_INSERT_TTYPE_IN => cfg_insert_ttype, + CFG_MAX_SUB_IN => cfg_max_sub, + CFG_MAX_QUEUE_IN => cfg_max_queue, + CFG_MAX_SUBS_IN_QUEUE_IN => cfg_max_subs_in_queue, + CFG_MAX_SINGLE_SUB_IN => cfg_max_single_sub, + CFG_ADDITIONAL_HDR_IN => cfg_additional_hdr, + CFG_MAX_REPLY_SIZE_IN => cfg_max_reply, + CFG_AUTO_THROTTLE_IN => cfg_autothrottle, + CFG_THROTTLE_PAUSE_IN => cfg_throttle_pause, + FWD_DST_MAC_IN => FWD_DST_MAC_IN, + FWD_DST_IP_IN => FWD_DST_IP_IN, + FWD_DST_UDP_IN => FWD_DST_UDP_IN, + FWD_DATA_IN => FWD_DATA_IN, + FWD_DATA_VALID_IN => FWD_DATA_VALID_IN, + FWD_SOP_IN => FWD_SOP_IN, + FWD_EOP_IN => FWD_EOP_IN, + FWD_READY_OUT => FWD_READY_OUT, + FWD_FULL_OUT => FWD_FULL_OUT, + MONITOR_RX_FRAMES_OUT => monitor_rx_frames, + MONITOR_RX_BYTES_OUT => monitor_rx_bytes, + MONITOR_TX_FRAMES_OUT => monitor_tx_frames, + MONITOR_TX_BYTES_OUT => monitor_tx_bytes, + MONITOR_TX_PACKETS_OUT => monitor_tx_packets, + MONITOR_DROPPED_OUT => monitor_dropped, + MONITOR_GEN_DBG_OUT => monitor_gen_dbg, + MAKE_RESET_OUT => make_reset0 + ); + + BUS_IP_TX.ack <= busip0.ack when rising_edge(CLK_SYS_IN); + BUS_IP_TX.nack <= busip0.nack when rising_edge(CLK_SYS_IN); + BUS_IP_TX.data <= busip0.data when rising_edge(CLK_SYS_IN); + + real_ipu_gen : if USE_EXTERNAL_TRBNET_DUMMY = 0 generate + ipu_mult : entity work.gbe_ipu_multiplexer + generic map( + DO_SIMULATION => DO_SIMULATION, + INCLUDE_DEBUG => INCLUDE_DEBUG, + LINK_HAS_READOUT => LINK_HAS_READOUT, + NUMBER_OF_GBE_LINKS => NUMBER_OF_GBE_LINKS + ) + port map( + CLK_SYS_IN => CLK_SYS_IN, + RESET => RESET, + CTS_NUMBER_IN => CTS_NUMBER_IN, + CTS_CODE_IN => CTS_CODE_IN, + CTS_INFORMATION_IN => CTS_INFORMATION_IN, + CTS_READOUT_TYPE_IN => CTS_READOUT_TYPE_IN, + CTS_START_READOUT_IN => CTS_START_READOUT_IN, + CTS_DATA_OUT => CTS_DATA_OUT, + CTS_DATAREADY_OUT => CTS_DATAREADY_OUT, + CTS_READOUT_FINISHED_OUT => CTS_READOUT_FINISHED_OUT, + CTS_READ_IN => CTS_READ_IN, + CTS_LENGTH_OUT => CTS_LENGTH_OUT, + CTS_ERROR_PATTERN_OUT => CTS_ERROR_PATTERN_OUT, + FEE_DATA_IN => FEE_DATA_IN, + FEE_DATAREADY_IN => FEE_DATAREADY_IN, + FEE_READ_OUT => FEE_READ_OUT, + FEE_STATUS_BITS_IN => FEE_STATUS_BITS_IN, + FEE_BUSY_IN => FEE_BUSY_IN, + MLT_CTS_NUMBER_OUT => mlt_cts_number, + MLT_CTS_CODE_OUT => mlt_cts_code, + MLT_CTS_INFORMATION_OUT => mlt_cts_information, + MLT_CTS_READOUT_TYPE_OUT => mlt_cts_readout_type, + MLT_CTS_START_READOUT_OUT => mlt_cts_start_readout, + MLT_CTS_DATA_IN => mlt_cts_data, + MLT_CTS_DATAREADY_IN => mlt_cts_dataready, + MLT_CTS_READOUT_FINISHED_IN => mlt_cts_readout_finished, + MLT_CTS_READ_OUT => mlt_cts_read, + MLT_CTS_LENGTH_IN => mlt_cts_length, + MLT_CTS_ERROR_PATTERN_IN => mlt_cts_error_pattern, + MLT_FEE_DATA_OUT => mlt_fee_data, + MLT_FEE_DATAREADY_OUT => mlt_fee_dataready, + MLT_FEE_READ_IN => mlt_fee_read, + MLT_FEE_STATUS_BITS_OUT => mlt_fee_status, + MLT_FEE_BUSY_OUT => mlt_fee_busy, + DEBUG_OUT => open + ); + end generate real_ipu_gen; + + dummy_ipu_gen : if (USE_EXTERNAL_TRBNET_DUMMY = 1) generate + ipu_mult : entity work.gbe_ipu_multiplexer + generic map( + DO_SIMULATION => DO_SIMULATION, + INCLUDE_DEBUG => INCLUDE_DEBUG, + LINK_HAS_READOUT => LINK_HAS_READOUT, + NUMBER_OF_GBE_LINKS => NUMBER_OF_GBE_LINKS + ) + port map( + CLK_SYS_IN => CLK_SYS_IN, + RESET => RESET, + CTS_NUMBER_IN => local_cts_number, + CTS_CODE_IN => local_cts_code, + CTS_INFORMATION_IN => local_cts_information, + CTS_READOUT_TYPE_IN => local_cts_readout_type, + CTS_START_READOUT_IN => local_cts_start_readout, + CTS_DATA_OUT => open, + CTS_DATAREADY_OUT => open, + CTS_READOUT_FINISHED_OUT => local_cts_readout_finished, + CTS_READ_IN => '1', + CTS_LENGTH_OUT => open, + CTS_ERROR_PATTERN_OUT => local_cts_status_bits, + FEE_DATA_IN => local_fee_data, + FEE_DATAREADY_IN => local_fee_dataready, + FEE_READ_OUT => local_fee_read, + FEE_STATUS_BITS_IN => local_fee_status_bits, + FEE_BUSY_IN => local_fee_busy, + MLT_CTS_NUMBER_OUT => mlt_cts_number, + MLT_CTS_CODE_OUT => mlt_cts_code, + MLT_CTS_INFORMATION_OUT => mlt_cts_information, + MLT_CTS_READOUT_TYPE_OUT => mlt_cts_readout_type, + MLT_CTS_START_READOUT_OUT => mlt_cts_start_readout, + MLT_CTS_DATA_IN => mlt_cts_data, + MLT_CTS_DATAREADY_IN => mlt_cts_dataready, + MLT_CTS_READOUT_FINISHED_IN => mlt_cts_readout_finished, + MLT_CTS_READ_OUT => mlt_cts_read, + MLT_CTS_LENGTH_IN => mlt_cts_length, + MLT_CTS_ERROR_PATTERN_IN => mlt_cts_error_pattern, + MLT_FEE_DATA_OUT => mlt_fee_data, + MLT_FEE_DATAREADY_OUT => mlt_fee_dataready, + MLT_FEE_READ_IN => mlt_fee_read, + MLT_FEE_STATUS_BITS_OUT => mlt_fee_status, + MLT_FEE_BUSY_OUT => mlt_fee_busy, + DEBUG_OUT => open + ); + + dummy : entity work.gbe_ipu_dummy + generic map( + DO_SIMULATION => DO_SIMULATION, + FIXED_SIZE_MODE => FIXED_SIZE_MODE, + INCREMENTAL_MODE => INCREMENTAL_MODE, + FIXED_SIZE => FIXED_SIZE, + UP_DOWN_MODE => UP_DOWN_MODE, + UP_DOWN_LIMIT => UP_DOWN_LIMIT, + FIXED_DELAY_MODE => FIXED_DELAY_MODE, + FIXED_DELAY => FIXED_DELAY + ) + port map( + clk => CLK_SYS_IN, + rst => RESET, + GBE_READY_IN => all_links_ready, + CFG_EVENT_SIZE_IN => dummy_event, + CFG_TRIGGERED_MODE_IN => '0', + TRIGGER_IN => TRIGGER_IN, + CTS_NUMBER_OUT => local_cts_number, + CTS_CODE_OUT => local_cts_code, + CTS_INFORMATION_OUT => local_cts_information, + CTS_READOUT_TYPE_OUT => local_cts_readout_type, + CTS_START_READOUT_OUT => local_cts_start_readout, + CTS_DATA_IN => (others => '0'), + CTS_DATAREADY_IN => '0', + CTS_READOUT_FINISHED_IN => local_cts_readout_finished, + CTS_READ_OUT => open, + CTS_LENGTH_IN => (others => '0'), + CTS_ERROR_PATTERN_IN => local_cts_status_bits, + -- Data payload interface + FEE_DATA_OUT => local_fee_data, + FEE_DATAREADY_OUT => local_fee_dataready, + FEE_READ_IN => local_fee_read, + FEE_STATUS_BITS_OUT => local_fee_status_bits, + FEE_BUSY_OUT => local_fee_busy + ); + + -- handler for triggers + DUMMY_HANDLER : entity work.trb_net16_gbe_ipu_interface + port map( + CLK_IPU => CLK_SYS_IN, + CLK_GBE => CLK_125_IN, + RESET => RESET, + --Event information coming from CTS + CTS_NUMBER_IN => CTS_NUMBER_IN, + CTS_CODE_IN => CTS_CODE_IN, + CTS_INFORMATION_IN => CTS_INFORMATION_IN, + CTS_READOUT_TYPE_IN => CTS_READOUT_TYPE_IN, + CTS_START_READOUT_IN => CTS_START_READOUT_IN, + --Information sent to CTS + --status data, equipped with DHDR + CTS_DATA_OUT => CTS_DATA_OUT, + CTS_DATAREADY_OUT => CTS_DATAREADY_OUT, + CTS_READOUT_FINISHED_OUT => CTS_READOUT_FINISHED_OUT, + CTS_READ_IN => CTS_READ_IN, + CTS_LENGTH_OUT => CTS_LENGTH_OUT, + CTS_ERROR_PATTERN_OUT => CTS_ERROR_PATTERN_OUT, + -- Data from Frontends + FEE_DATA_IN => FEE_DATA_IN, + FEE_DATAREADY_IN => FEE_DATAREADY_IN, + FEE_READ_OUT => FEE_READ_OUT, + FEE_STATUS_BITS_IN => FEE_STATUS_BITS_IN, + FEE_BUSY_IN => FEE_BUSY_IN, + -- slow control interface + START_CONFIG_OUT => open, + BANK_SELECT_OUT => open, + CONFIG_DONE_IN => '1', + DATA_GBE_ENABLE_IN => '1', + DATA_IPU_ENABLE_IN => '1', + MULT_EVT_ENABLE_IN => '1', + MAX_SUBEVENT_SIZE_IN => (others => '0'), + MAX_QUEUE_SIZE_IN => (others => '0'), + MAX_SUBS_IN_QUEUE_IN => (others => '0'), + MAX_SINGLE_SUB_SIZE_IN => (others => '0'), + READOUT_CTR_IN => (others => '0'), + READOUT_CTR_VALID_IN => '0', + CFG_AUTO_THROTTLE_IN => '0', + CFG_THROTTLE_PAUSE_IN => (others => '0'), + -- PacketConstructor interface + PC_WR_EN_OUT => open, + PC_DATA_OUT => open, + PC_READY_IN => '1', + PC_SOS_OUT => open, + PC_EOS_OUT => open, + PC_EOQ_OUT => open, + PC_SUB_SIZE_OUT => open, + PC_TRIG_NR_OUT => open, + PC_TRIGGER_TYPE_OUT => open, + MONITOR_OUT => open, + DEBUG_OUT => open + ); + end generate dummy_ipu_gen; + + SETUP : gbe_setup + port map( + CLK => CLK_SYS_IN, + RESET => RESET, + -- interface to regio bus + BUS_ADDR_IN => BUS_REG_RX.addr(7 downto 0), + BUS_DATA_IN => BUS_REG_RX.data, + BUS_DATA_OUT => BUS_REG_TX.data, + BUS_WRITE_EN_IN => BUS_REG_RX.write, + BUS_READ_EN_IN => BUS_REG_RX.read, + BUS_ACK_OUT => BUS_REG_TX.ack, + -- output to gbe_buf + GBE_SUBEVENT_ID_OUT => cfg_subevent_id, + GBE_SUBEVENT_DEC_OUT => cfg_subevent_dec, + GBE_QUEUE_DEC_OUT => cfg_queue_dec, + GBE_MAX_FRAME_OUT => cfg_max_frame, + GBE_USE_GBE_OUT => cfg_gbe_enable, + GBE_USE_TRBNET_OUT => cfg_ipu_enable, + GBE_USE_MULTIEVENTS_OUT => cfg_mult_enable, + GBE_READOUT_CTR_OUT => cfg_readout_ctr, + GBE_READOUT_CTR_VALID_OUT => cfg_readout_ctr_valid, + GBE_ALLOW_RX_OUT => cfg_allow_rx, + GBE_ADDITIONAL_HDR_OUT => cfg_additional_hdr, + GBE_INSERT_TTYPE_OUT => cfg_insert_ttype, + GBE_SOFT_RESET_OUT => cfg_soft_rst, + GBE_MAX_REPLY_OUT => cfg_max_reply, + GBE_MAX_SUB_OUT => cfg_max_sub, + GBE_MAX_QUEUE_OUT => cfg_max_queue, + GBE_MAX_SUBS_IN_QUEUE_OUT => cfg_max_subs_in_queue, + GBE_MAX_SINGLE_SUB_OUT => cfg_max_single_sub, + GBE_AUTOTHROTTLE_OUT => cfg_autothrottle, + GBE_THROTTLE_PAUSE_OUT => cfg_throttle_pause, + MONITOR_RX_BYTES_IN => sum_rx_bytes, + MONITOR_RX_FRAMES_IN => sum_rx_frames, + MONITOR_TX_BYTES_IN => sum_tx_bytes, + MONITOR_TX_FRAMES_IN => sum_tx_frames, + MONITOR_TX_PACKETS_IN => sum_tx_packets, + MONITOR_DROPPED_IN => sum_dropped, + MONITOR_SELECT_REC_IN => (others => '0'), + MONITOR_SELECT_REC_BYTES_IN => (others => '0'), + MONITOR_SELECT_SENT_BYTES_IN => (others => '0'), + MONITOR_SELECT_SENT_IN => (others => '0'), + MONITOR_SELECT_DROP_IN_IN => (others => '0'), + MONITOR_SELECT_DROP_OUT_IN => (others => '0'), + MONITOR_SELECT_GEN_DBG_IN => monitor_gen_dbg, + MONITOR_IP_IN => my_ip, + DUMMY_EVENT_SIZE_OUT => dummy_event, + DUMMY_TRIGGERED_MODE_OUT => dummy_mode, + DATA_HIST_IN => (others => (others => '0')), + SCTRL_HIST_IN => (others => (others => '0')) + ); + + NOSCTRL_MAP_GEN : if (LINK_HAS_SLOWCTRL = "0000") generate + GSC_INIT_DATAREADY_OUT <= '0'; + GSC_INIT_DATA_OUT <= (others => '0'); + GSC_INIT_PACKET_NUM_OUT <= (others => '0'); + GSC_REPLY_READ_OUT <= '1'; + mlt_gsc_clk <= (others => '0'); + mlt_gsc_init_read <= (others => '0'); + mlt_gsc_reply_dataready <= (others => '0'); + mlt_gsc_reply_data <= (others => '0'); + mlt_gsc_reply_packet <= (others => '0'); + mlt_gsc_busy <= (others => '0'); + end generate NOSCTRL_MAP_GEN; + + SCTRL_MAP_GEN : if (LINK_HAS_SLOWCTRL /= "0000") generate + ACTIVE_MAP_GEN : if (LINK_HAS_SLOWCTRL(0) = '1') generate + mlt_gsc_clk <= GSC_CLK_IN; + GSC_INIT_DATAREADY_OUT <= mlt_gsc_init_dataready; + GSC_INIT_DATA_OUT <= mlt_gsc_init_data; + GSC_INIT_PACKET_NUM_OUT <= mlt_gsc_init_packet; + mlt_gsc_init_read <= GSC_INIT_READ_IN; + mlt_gsc_reply_dataready <= GSC_REPLY_DATAREADY_IN; + mlt_gsc_reply_data <= GSC_REPLY_DATA_IN; + mlt_gsc_reply_packet <= GSC_REPLY_PACKET_NUM_IN; + GSC_REPLY_READ_OUT <= mlt_gsc_reply_read; + mlt_gsc_busy <= GSC_BUSY_IN; + end generate ACTIVE_MAP_GEN; + + INACTIVE_MAP_GEN : if (LINK_HAS_SLOWCTRL(0) = '0') generate + mlt_gsc_clk <= '0'; + mlt_gsc_init_read <= '0'; + mlt_gsc_reply_dataready <= '0'; + mlt_gsc_reply_data <= (others => '0'); + mlt_gsc_reply_packet <= (others => '0'); + mlt_gsc_busy <= '0'; + end generate INACTIVE_MAP_GEN; + end generate SCTRL_MAP_GEN; + + sum_rx_bytes <= monitor_rx_bytes; + sum_rx_frames <= monitor_rx_frames; + sum_tx_bytes <= monitor_tx_bytes; + sum_tx_frames <= monitor_tx_frames; + sum_tx_packets <= monitor_tx_packets; + sum_dropped <= monitor_dropped; + +end architecture RTL; diff --git a/gbe_trb_ecp5/media/ecp5-5g/pmi_ram_dpEbnonessdn208256208256p138702ef.ngo b/gbe_trb_ecp5/media/ecp5-5g/pmi_ram_dpEbnonessdn208256208256p138702ef.ngo new file mode 100644 index 0000000000000000000000000000000000000000..43684e4e4168799b23d34aba623673b5d6211182 GIT binary patch literal 11426 zcma)B4Uk*ab(Zd~wKiZZY?@^1OhzPkr?t zTF^LLYyl4~Ki$=~oZ7ic=|{NUZ4;A|GphnhUl~UGx6SODCgo6-71&~=7Hf4?C-t6k zUF{_G%cZ*7PU@Emb+v=k2jJ-I#8v-=4?p}F9x zeGdE*p>_7uJ|aG6x{y!pp$=xagBfR7Q*$atvO?6!ol~D7ZBGHUnTv7l)9Nb5b$ht3 zVq6F2tQglFwYnAK`h2yn(zsUj7NNfHMUd0*N8|Y}H}n4M<&1%}k;~DtqJJ7nx3dJ* z`)-B$JxA^af|C>bC-xoKAH{lD6n7y27PtY-WlPb;=prCsx`5RLgz_!`Gkk>`pgE46 zjk_38H1a0|)IGtxFOIkXn)%r46&Dbteqz5Qpk60RzQx{*xDo0)_8Sj^=X+zx;p~~_ zAulDp-VC0`A1W>&3gn^(A@^eEEjI`L{myhFSR5bpa0uASj~UawXBu-m5_YQWna0#+ zTskJDUz@XIVmH_3bj<6ROUKZn)j2!QYQ8iFU{bf2=X9**>s<|CN_E}c0$f_ zYuo@jO&fDOg#9d0s&nFqi8`bG8LB^8=K8ex z$L`cfoL?WzavCPs_Y|4EAsq-FUt^KnIy`QG|6Np7L*s)zq?Bu5(Xld|CN&f_p>Ez5 zU5&ZIjD)U@1IkW%<_eyMmXQG#c@`@0Vuf^--8H+5w(~$P%$iu~d8p8eJRyxO(LAZp zbp;;_&AJMGyy#=0Sy!RuhL43kURL$7&ffc3O~~S?fZrN}SE9q15m|CP~vCiq+ht)gb+_BBVZ>(!sjZ4v&8okHvv_ z;O%91Lp*<oUXcI9`p_$-dCY$Ob?TI|Jfz&{*-{{Hpru)%qFJXaTGSg1`S*Y7&g$@GyJ>zv& zjsr~(riXLM#BhT4wlmyr-w<^i>TRsU(=$L-sM)!ecv(GN0h$_2boZxd1feu$!p-6* zwW-#C@^jv3HK4q1HdGo=ey)Ax29(#`FnjItNfyRvsevr(D%A+{BOWsw$-Ll3ij6RP zXK0NuHOcS`FK9BuvUHiDAL?;Qu zR@hRr6*`?`$%-iLu=Esd1wD3KqM&|v$(9ig^`IN9Ww@P;*a`x$o~o^2aLIzLz{^zL zR?ufEY%6FwRkjsO&OnisG|yDJA{H1nh#?b2mA<%bKsWY_k>QxI*ANSO>8;r;45rVr z6*$vZvK9EeL9-Q1KssV8+-KER&|11+E0}@)I4fy>7@J6@=}5zwjd_tVGaJJ!XHa2d zS#Y?r$rKr$Y%)ZKBli24h9CWw;2julf5}#08PIG6PT6ZVja?;%rr-xZ$-#=PpvS?8 zC}?b;YRjlHSg={}HX$m0Rx?)Pc&`RYz31yR1t+AShi>@ zXl=7)E9i7{Nfb1e)ocTN9*Wos`pi~s1+EPhYz5OXoVOM9IUKeXv^GLATw_DomNDoN zLll;4kb8#4z&cf81MR)Jv2=2HLoQ3;GvNks3{9AJHFPwli9+tHnCx;rLs?ix<2H>g zmQ6!fPnn`5Q#}+Ma7QO=9thps#4RV1?A=(E?fskJ)mYJ1K-*Ga1?N+2OGISGE0DWW z9dwjjjFa5j-Jj{%#K+%YZ-&_8d11h%@vz8ne7r0&{N7rFOis{)SS86)0KLg5|WmVk_`xN8VQ8Le8)iUbZ<>FbIB_Iny!0 zJxQ&|1n=^3$Ao_KmaReAU4zoKCOv4346kX3(N*jqa~*S2jCmThRR`QWQbt4V+M3MB zFq(4W8ag@fXemf!IDO1HxLO5B&DCu{vGX)YV zgjA>&lYdx2phn24l8T+Kk?>3y!ruD>e@2e|T!nUte%i!fMSJ4x>gM_Ijmj)RTNL zf7s@g-fnrYBCyVi2de_>vfLO>1*Na8c(4Mnb*2X+hSC#7H#QswmdtxF(v;p;^k8LR ze3CN^pP?yzAnxYjOjo)Qabt)Dls@D@^m514*p?fg5%z7RA9QH!Gj?pHAGRD2{o)`{`n?r5hW%UV zM@0~K(~aSDQ~HBN4@R2OAIZD1EfvCCdkcKQVbz3DttPD8jNyn>`bTQ+ zK5(8Y{Tli&AM`O|qOSLy9-&%|7*2VmemMT z{>umL;gnPQY~GFGd{g?ZaSv7i_F1yX2X%4UDg90dV*hX)D*Z0Y0l~vT*yuCP-9`+j zVk3s*unD8q8!?0`O8;2R-7oU%MhwA@(yzc)^hso3w!9;^uLl9xxC z(t{peG6Jl%DQsi=Qu){(-Ou zGl6}m=D|o)`iDKdzPQ7XZ}`UTE?S?jS=H z`do}7?6if0mMt8?Z6HjK7K6^uSQ1@Py#)`n(Jx60&bs0sVm@c0ljkXtdY-;tf{U~r zQpwA844k48kqaHTLy{%eFnF;@s>n4BUMZ4HxsbujL=xG~ahvau?Ht+8Tj=3JjY?52 zUGVBq$;J52`T-fHTiiQ+a49N1Mo20v{f-*6JE?rGr1*Xp$0U`4T!%4L4TJ%z0f8wY@4#iSmev zo3dtR>!J_!Jt0hq{lL^MA7;zeW6v%SrbL8b9=lVa>ecysb*$qg?Zr{ z!j!lTOuE@>X3iU2dG-}yN;C)Na>Iw&`rfnsj|p=&k8d!qjr%ZLE`9y}eql=F2PWNW zHTSMAJwCNkm=X_yxvc8L4BkIIf3+|rN+c%k!KV;cb4RoqGrAGL3ySf^Yb_ zq2j}w_hjjFQ(!n5Gnjp4A7-n$qqaeq+bn#8*=zbRTc)PIe?gd%U4xk_`7qVwL%VE0 zCFce+srfL2XCIHyZ)xyzvVw0gyZw3({QV0*$%EnK=hziTd2IueToSc;aWL;X<`X9O z>WoPq1?UeTK+CG?JN<@PRoZme{#6S ztHH?~!CY1KVYZ$5^)qito03t2*-`OfhDJ_4XRmh2Gr?>x`!MIddDoRkrA^5`!Hk+d z%+|-xfAK+Kh7JBErdIM{wtVHikAG8`OEiahL(zw+u049_FN7)iD|){^;=>Hyc-c4Y zHYKYCbERMJfqP$Q{eiS8xh~~j^jUlgsS-;+LYll=aCAr^a9c#mi`4P5KEl?u=FBQAC_K1+QZVzNO@TLSEM^E{TospmVS&h zhoyfAiZJf6{I#S{U_2ImVSbihNYh(onh%`NM%@h6=@7h|AiEWB@5{bOaG13 zg{9Y!wy^X%QWloZAYEbU=SWppI*T-gr8khGu=ES0CoH{*)P$wCke0CYKS)Vf`X$m4 zmVSj)gr#324PogwNI_VtA^l+KZKNJ7okQBe(mO~wSUQh%gQW{dHCXyzq!}z-M2f-E zyGSos`YlommVSq{f~DUhrC{kjq!TRt0jUH_mykxV^hcx+ke1LceN"0b1",D_IB_PWDNB=>"0b1", + D_XGE_MODE=>"0b0",D_LOW_MARK=>"0d4",D_HIGH_MARK=>"0d12",D_BUS8BIT_SEL=>"0b0", + D_CDR_LOL_SET=>"0b11",D_TXPLL_PWDNB=>"0b1",D_BITCLK_LOCAL_EN=>"0b1", + D_BITCLK_ND_EN=>"0b0",D_BITCLK_FROM_ND_EN=>"0b0",D_SYNC_LOCAL_EN=>"0b1", + D_SYNC_ND_EN=>"0b0",CH0_UC_MODE=>"0b0",CH0_PCIE_MODE=>"0b0",CH0_RIO_MODE=>"0b0", + CH0_WA_MODE=>"0b0",CH0_INVERT_RX=>"0b0",CH0_INVERT_TX=>"0b1",CH0_PRBS_SELECTION=>"0b0", + CH0_GE_AN_ENABLE=>"0b1",CH0_PRBS_LOCK=>"0b0",CH0_PRBS_ENABLE=>"0b0", + CH0_ENABLE_CG_ALIGN=>"0b1",CH0_TX_GEAR_MODE=>"0b0",CH0_RX_GEAR_MODE=>"0b0", + CH0_PCS_DET_TIME_SEL=>"0b00",CH0_PCIE_EI_EN=>"0b0",CH0_TX_GEAR_BYPASS=>"0b0", + CH0_ENC_BYPASS=>"0b0",CH0_SB_BYPASS=>"0b0",CH0_RX_SB_BYPASS=>"0b0", + CH0_WA_BYPASS=>"0b0",CH0_DEC_BYPASS=>"0b0",CH0_CTC_BYPASS=>"0b1", + CH0_RX_GEAR_BYPASS=>"0b0",CH0_LSM_DISABLE=>"0b0",CH0_MATCH_2_ENABLE=>"0b0", + CH0_MATCH_4_ENABLE=>"0b0",CH0_MIN_IPG_CNT=>"0b11",CH0_CC_MATCH_1=>"0x000", + CH0_CC_MATCH_2=>"0x000",CH0_CC_MATCH_3=>"0x000",CH0_CC_MATCH_4=>"0x000", + CH0_UDF_COMMA_MASK=>"0x3ff",CH0_UDF_COMMA_A=>"0x283",CH0_UDF_COMMA_B=>"0x17C", + CH0_RX_DCO_CK_DIV=>"0b010",CH0_RCV_DCC_EN=>"0b0",CH0_TPWDNB=>"0b1", + CH0_RATE_MODE_TX=>"0b0",CH0_RTERM_TX=>"0d19",CH0_TX_CM_SEL=>"0b00", + CH0_TDRV_PRE_EN=>"0b0",CH0_TDRV_SLICE0_SEL=>"0b01",CH0_TDRV_SLICE1_SEL=>"0b00", + CH0_TDRV_SLICE2_SEL=>"0b01",CH0_TDRV_SLICE3_SEL=>"0b01",CH0_TDRV_SLICE4_SEL=>"0b01", + CH0_TDRV_SLICE5_SEL=>"0b00",CH0_TDRV_SLICE0_CUR=>"0b011",CH0_TDRV_SLICE1_CUR=>"0b000", + CH0_TDRV_SLICE2_CUR=>"0b11",CH0_TDRV_SLICE3_CUR=>"0b11",CH0_TDRV_SLICE4_CUR=>"0b11", + CH0_TDRV_SLICE5_CUR=>"0b00",CH0_TDRV_DAT_SEL=>"0b00",CH0_TX_DIV11_SEL=>"0b0", + CH0_RPWDNB=>"0b1",CH0_RATE_MODE_RX=>"0b0",CH0_RX_DIV11_SEL=>"0b0", + CH0_SEL_SD_RX_CLK=>"0b1",CH0_FF_RX_H_CLK_EN=>"0b0",CH0_FF_RX_F_CLK_DIS=>"0b0", + CH0_FF_TX_H_CLK_EN=>"0b0",CH0_FF_TX_F_CLK_DIS=>"0b0",CH0_TDRV_POST_EN=>"0b0", + CH0_TX_POST_SIGN=>"0b0",CH0_TX_PRE_SIGN=>"0b0",CH0_REQ_LVL_SET=>"0b00", + CH0_REQ_EN=>"0b1",CH0_RTERM_RX=>"0d22",CH0_RXTERM_CM=>"0b11",CH0_PDEN_SEL=>"0b1", + CH0_RXIN_CM=>"0b11",CH0_LEQ_OFFSET_SEL=>"0b0",CH0_LEQ_OFFSET_TRIM=>"0b000", + CH0_RLOS_SEL=>"0b1",CH0_RX_LOS_LVL=>"0b100",CH0_RX_LOS_CEQ=>"0b11", + CH0_RX_LOS_HYST_EN=>"0b0",CH0_RX_LOS_EN=>"0b1",CH0_LDR_RX2CORE_SEL=>"0b0", + CH0_LDR_CORE2TX_SEL=>"0b0",D_TX_MAX_RATE=>"1.25",CH0_CDR_MAX_RATE=>"1.25", + CH0_TXAMPLITUDE=>"0d1000",CH0_TXDEPRE=>"DISABLED",CH0_TXDEPOST=>"DISABLED", + CH0_PROTOCOL=>"SGMII",D_ISETLOS=>"0d0",D_SETIRPOLY_AUX=>"0b00",D_SETICONST_AUX=>"0b00", + D_SETIRPOLY_CH=>"0b00",D_SETICONST_CH=>"0b00",D_REQ_ISET=>"0b000", + D_PD_ISET=>"0b00",D_DCO_CALIB_TIME_SEL=>"0b00",CH0_CDR_CNT4SEL=>"0b00", + CH0_CDR_CNT8SEL=>"0b00",CH0_DCOATDCFG=>"0b00",CH0_DCOATDDLY=>"0b00", + CH0_DCOBYPSATD=>"0b1",CH0_DCOCALDIV=>"0b001",CH0_DCOCTLGI=>"0b010", + CH0_DCODISBDAVOID=>"0b0",CH0_DCOFLTDAC=>"0b01",CH0_DCOFTNRG=>"0b110", + CH0_DCOIOSTUNE=>"0b000",CH0_DCOITUNE=>"0b00",CH0_DCOITUNE4LSB=>"0b111", + CH0_DCOIUPDNX2=>"0b1",CH0_DCONUOFLSB=>"0b101",CH0_DCOSCALEI=>"0b00", + CH0_DCOSTARTVAL=>"0b000",CH0_DCOSTEP=>"0b00",CH0_BAND_THRESHOLD=>"0d0", + CH0_AUTO_FACQ_EN=>"0b1",CH0_AUTO_CALIB_EN=>"0b1",CH0_CALIB_CK_MODE=>"0b0", + CH0_REG_BAND_OFFSET=>"0d0",CH0_REG_BAND_SEL=>"0d0",CH0_REG_IDAC_SEL=>"0d0", + CH0_REG_IDAC_EN=>"0b0",D_CMUSETISCL4VCO=>"0b000",D_CMUSETI4VCO=>"0b00", + D_CMUSETINITVCT=>"0b00",D_CMUSETZGM=>"0b000",D_CMUSETP2AGM=>"0b000", + D_CMUSETP1GM=>"0b000",D_CMUSETI4CPZ=>"0d3",D_CMUSETI4CPP=>"0d3",D_CMUSETICP4Z=>"0b101", + D_CMUSETICP4P=>"0b01",D_CMUSETBIASI=>"0b00",D_SETPLLRC=>"0d1",CH0_RX_RATE_SEL=>"0d8", + D_REFCK_MODE=>"0b001",D_TX_VCO_CK_DIV=>"0b010",D_PLL_LOL_SET=>"0b01", + D_RG_EN=>"0b0",D_RG_SET=>"0b00") + port map (CH0_HDINP=>hdinp,CH1_HDINP=>n115,CH0_HDINN=>hdinn,CH1_HDINN=>n115, + D_TXBIT_CLKP_FROM_ND=>n47,D_TXBIT_CLKN_FROM_ND=>n47,D_SYNC_ND=>n47,D_TXPLL_LOL_FROM_ND=>n47, + CH0_RX_REFCLK=>rxrefclk,CH1_RX_REFCLK=>n115,CH0_FF_RXI_CLK=>rx_pclk_c, + CH1_FF_RXI_CLK=>n114,CH0_FF_TXI_CLK=>txi_clk,CH1_FF_TXI_CLK=>n114,CH0_FF_EBRD_CLK=>n48, + CH1_FF_EBRD_CLK=>n114,CH0_FF_TX_D_0=>txdata(0),CH1_FF_TX_D_0=>n115,CH0_FF_TX_D_1=>txdata(1), + CH1_FF_TX_D_1=>n115,CH0_FF_TX_D_2=>txdata(2),CH1_FF_TX_D_2=>n115,CH0_FF_TX_D_3=>txdata(3), + CH1_FF_TX_D_3=>n115,CH0_FF_TX_D_4=>txdata(4),CH1_FF_TX_D_4=>n115,CH0_FF_TX_D_5=>txdata(5), + CH1_FF_TX_D_5=>n115,CH0_FF_TX_D_6=>txdata(6),CH1_FF_TX_D_6=>n115,CH0_FF_TX_D_7=>txdata(7), + CH1_FF_TX_D_7=>n115,CH0_FF_TX_D_8=>tx_k(0),CH1_FF_TX_D_8=>n115,CH0_FF_TX_D_9=>n47, + CH1_FF_TX_D_9=>n115,CH0_FF_TX_D_10=>xmit(0),CH1_FF_TX_D_10=>n115,CH0_FF_TX_D_11=>tx_disp_correct(0), + CH1_FF_TX_D_11=>n115,CH0_FF_TX_D_12=>n115,CH1_FF_TX_D_12=>n115,CH0_FF_TX_D_13=>n115, + CH1_FF_TX_D_13=>n115,CH0_FF_TX_D_14=>n115,CH1_FF_TX_D_14=>n115,CH0_FF_TX_D_15=>n115, + CH1_FF_TX_D_15=>n115,CH0_FF_TX_D_16=>n115,CH1_FF_TX_D_16=>n115,CH0_FF_TX_D_17=>n115, + CH1_FF_TX_D_17=>n115,CH0_FF_TX_D_18=>n115,CH1_FF_TX_D_18=>n115,CH0_FF_TX_D_19=>n115, + CH1_FF_TX_D_19=>n115,CH0_FF_TX_D_20=>n115,CH1_FF_TX_D_20=>n115,CH0_FF_TX_D_21=>n47, + CH1_FF_TX_D_21=>n115,CH0_FF_TX_D_22=>n115,CH1_FF_TX_D_22=>n115,CH0_FF_TX_D_23=>n115, + CH1_FF_TX_D_23=>n115,CH0_FFC_EI_EN=>n47,CH1_FFC_EI_EN=>n115,CH0_FFC_PCIE_DET_EN=>n47, + CH1_FFC_PCIE_DET_EN=>n115,CH0_FFC_PCIE_CT=>n47,CH1_FFC_PCIE_CT=>n115, + CH0_FFC_SB_INV_RX=>n115,CH1_FFC_SB_INV_RX=>n115,CH0_FFC_ENABLE_CGALIGN=>n115, + CH1_FFC_ENABLE_CGALIGN=>n115,CH0_FFC_SIGNAL_DETECT=>signal_detect_c,CH1_FFC_SIGNAL_DETECT=>n115, + CH0_FFC_FB_LOOPBACK=>n47,CH1_FFC_FB_LOOPBACK=>n115,CH0_FFC_SB_PFIFO_LP=>n47, + CH1_FFC_SB_PFIFO_LP=>n115,CH0_FFC_PFIFO_CLR=>n47,CH1_FFC_PFIFO_CLR=>n115, + CH0_FFC_RATE_MODE_RX=>n47,CH1_FFC_RATE_MODE_RX=>n115,CH0_FFC_RATE_MODE_TX=>n47, + CH1_FFC_RATE_MODE_TX=>n115,CH0_FFC_DIV11_MODE_RX=>n47,CH1_FFC_DIV11_MODE_RX=>n115, + CH0_FFC_DIV11_MODE_TX=>n47,CH1_FFC_DIV11_MODE_TX=>n115,CH0_FFC_RX_GEAR_MODE=>n47, + CH1_FFC_RX_GEAR_MODE=>n115,CH0_FFC_TX_GEAR_MODE=>n47,CH1_FFC_TX_GEAR_MODE=>n115, + CH0_FFC_LDR_CORE2TX_EN=>n115,CH1_FFC_LDR_CORE2TX_EN=>n115,CH0_FFC_LANE_TX_RST=>tx_pcs_rst_c, + CH1_FFC_LANE_TX_RST=>n115,CH0_FFC_LANE_RX_RST=>rx_pcs_rst_c,CH1_FFC_LANE_RX_RST=>n115, + CH0_FFC_RRST=>rx_serdes_rst_c,CH1_FFC_RRST=>n115,CH0_FFC_TXPWDNB=>tx_pwrup_c, + CH1_FFC_TXPWDNB=>n115,CH0_FFC_RXPWDNB=>rx_pwrup_c,CH1_FFC_RXPWDNB=>n115, + CH0_LDR_CORE2TX=>n115,CH1_LDR_CORE2TX=>n115,D_SCIWDATA0=>n115,D_SCIWDATA1=>n115, + D_SCIWDATA2=>n115,D_SCIWDATA3=>n115,D_SCIWDATA4=>n115,D_SCIWDATA5=>n115, + D_SCIWDATA6=>n115,D_SCIWDATA7=>n115,D_SCIADDR0=>n115,D_SCIADDR1=>n115, + D_SCIADDR2=>n115,D_SCIADDR3=>n115,D_SCIADDR4=>n115,D_SCIADDR5=>n115, + D_SCIENAUX=>n115,D_SCISELAUX=>n115,CH0_SCIEN=>n115,CH1_SCIEN=>n115,CH0_SCISEL=>n115, + CH1_SCISEL=>n115,D_SCIRD=>n115,D_SCIWSTN=>n115,D_CYAWSTN=>n115,D_FFC_SYNC_TOGGLE=>n115, + D_FFC_DUAL_RST=>rst_dual_c,D_FFC_MACRO_RST=>serdes_rst_dual_c,D_FFC_MACROPDB=>serdes_pdb, + D_FFC_TRST=>tx_serdes_rst_c,CH0_FFC_CDR_EN_BITSLIP=>n47,CH1_FFC_CDR_EN_BITSLIP=>n115, + D_SCAN_ENABLE=>n47,D_SCAN_IN_0=>n47,D_SCAN_IN_1=>n47,D_SCAN_IN_2=>n47, + D_SCAN_IN_3=>n47,D_SCAN_IN_4=>n47,D_SCAN_IN_5=>n47,D_SCAN_IN_6=>n47, + D_SCAN_IN_7=>n47,D_SCAN_MODE=>n47,D_SCAN_RESET=>n47,D_CIN0=>n47,D_CIN1=>n47, + D_CIN2=>n47,D_CIN3=>n47,D_CIN4=>n47,D_CIN5=>n47,D_CIN6=>n47,D_CIN7=>n47, + D_CIN8=>n47,D_CIN9=>n47,D_CIN10=>n47,D_CIN11=>n47,CH0_HDOUTP=>hdoutp, + CH1_HDOUTP=>n50,CH0_HDOUTN=>hdoutn,CH1_HDOUTN=>n51,D_TXBIT_CLKP_TO_ND=>n1, + D_TXBIT_CLKN_TO_ND=>n2,D_SYNC_PULSE2ND=>n3,D_TXPLL_LOL_TO_ND=>n4,CH0_FF_RX_F_CLK=>n5, + CH1_FF_RX_F_CLK=>n52,CH0_FF_RX_H_CLK=>n6,CH1_FF_RX_H_CLK=>n53,CH0_FF_TX_F_CLK=>n7, + CH1_FF_TX_F_CLK=>n54,CH0_FF_TX_H_CLK=>n8,CH1_FF_TX_H_CLK=>n55,CH0_FF_RX_PCLK=>rx_pclk_c, + CH1_FF_RX_PCLK=>n56,CH0_FF_TX_PCLK=>tx_pclk_c,CH1_FF_TX_PCLK=>n57,CH0_FF_RX_D_0=>rxdata(0), + CH1_FF_RX_D_0=>n58,CH0_FF_RX_D_1=>rxdata(1),CH1_FF_RX_D_1=>n59,CH0_FF_RX_D_2=>rxdata(2), + CH1_FF_RX_D_2=>n60,CH0_FF_RX_D_3=>rxdata(3),CH1_FF_RX_D_3=>n61,CH0_FF_RX_D_4=>rxdata(4), + CH1_FF_RX_D_4=>n62,CH0_FF_RX_D_5=>rxdata(5),CH1_FF_RX_D_5=>n63,CH0_FF_RX_D_6=>rxdata(6), + CH1_FF_RX_D_6=>n64,CH0_FF_RX_D_7=>rxdata(7),CH1_FF_RX_D_7=>n65,CH0_FF_RX_D_8=>rx_k(0), + CH1_FF_RX_D_8=>n66,CH0_FF_RX_D_9=>rx_disp_err(0),CH1_FF_RX_D_9=>n67,CH0_FF_RX_D_10=>rx_cv_err(0), + CH1_FF_RX_D_10=>n68,CH0_FF_RX_D_11=>n9,CH1_FF_RX_D_11=>n69,CH0_FF_RX_D_12=>n70, + CH1_FF_RX_D_12=>n71,CH0_FF_RX_D_13=>n72,CH1_FF_RX_D_13=>n73,CH0_FF_RX_D_14=>n74, + CH1_FF_RX_D_14=>n75,CH0_FF_RX_D_15=>n76,CH1_FF_RX_D_15=>n77,CH0_FF_RX_D_16=>n78, + CH1_FF_RX_D_16=>n79,CH0_FF_RX_D_17=>n80,CH1_FF_RX_D_17=>n81,CH0_FF_RX_D_18=>n82, + CH1_FF_RX_D_18=>n83,CH0_FF_RX_D_19=>n84,CH1_FF_RX_D_19=>n85,CH0_FF_RX_D_20=>n86, + CH1_FF_RX_D_20=>n87,CH0_FF_RX_D_21=>n88,CH1_FF_RX_D_21=>n89,CH0_FF_RX_D_22=>n90, + CH1_FF_RX_D_22=>n91,CH0_FF_RX_D_23=>n10,CH1_FF_RX_D_23=>n92,CH0_FFS_PCIE_DONE=>n11, + CH1_FFS_PCIE_DONE=>n93,CH0_FFS_PCIE_CON=>n12,CH1_FFS_PCIE_CON=>n94,CH0_FFS_RLOS=>rx_los_low_s, + CH1_FFS_RLOS=>n95,CH0_FFS_LS_SYNC_STATUS=>lsm_status_s,CH1_FFS_LS_SYNC_STATUS=>n96, + CH0_FFS_CC_UNDERRUN=>n13,CH1_FFS_CC_UNDERRUN=>n97,CH0_FFS_CC_OVERRUN=>n14, + CH1_FFS_CC_OVERRUN=>n98,CH0_FFS_RXFBFIFO_ERROR=>n15,CH1_FFS_RXFBFIFO_ERROR=>n99, + CH0_FFS_TXFBFIFO_ERROR=>n16,CH1_FFS_TXFBFIFO_ERROR=>n100,CH0_FFS_RLOL=>rx_cdr_lol_s, + CH1_FFS_RLOL=>n101,CH0_FFS_SKP_ADDED=>n17,CH1_FFS_SKP_ADDED=>n102,CH0_FFS_SKP_DELETED=>n18, + CH1_FFS_SKP_DELETED=>n103,CH0_LDR_RX2CORE=>n104,CH1_LDR_RX2CORE=>n105, + D_SCIRDATA0=>n106,D_SCIRDATA1=>n107,D_SCIRDATA2=>n108,D_SCIRDATA3=>n109, + D_SCIRDATA4=>n110,D_SCIRDATA5=>n111,D_SCIRDATA6=>n112,D_SCIRDATA7=>n113, + D_SCIINT=>\_Z\,D_SCAN_OUT_0=>n19,D_SCAN_OUT_1=>n20,D_SCAN_OUT_2=>n21, + D_SCAN_OUT_3=>n22,D_SCAN_OUT_4=>n23,D_SCAN_OUT_5=>n24,D_SCAN_OUT_6=>n25, + D_SCAN_OUT_7=>n26,D_COUT0=>n27,D_COUT1=>n28,D_COUT2=>n29,D_COUT3=>n30, + D_COUT4=>n31,D_COUT5=>n32,D_COUT6=>n33,D_COUT7=>n34,D_COUT8=>n35,D_COUT9=>n36, + D_COUT10=>n37,D_COUT11=>n38,D_COUT12=>n39,D_COUT13=>n40,D_COUT14=>n41, + D_COUT15=>n42,D_COUT16=>n43,D_COUT17=>n44,D_COUT18=>n45,D_COUT19=>n46, + D_REFCLKI=>pll_refclki,D_FFS_PLOL=>n49); + n48 <= '1' ; + n47 <= '0' ; + n1 <= 'Z' ; + n2 <= 'Z' ; + n3 <= 'Z' ; + n4 <= 'Z' ; + n5 <= 'Z' ; + n6 <= 'Z' ; + n7 <= 'Z' ; + n8 <= 'Z' ; + n9 <= 'Z' ; + n10 <= 'Z' ; + n11 <= 'Z' ; + n12 <= 'Z' ; + n13 <= 'Z' ; + n14 <= 'Z' ; + n15 <= 'Z' ; + n16 <= 'Z' ; + n17 <= 'Z' ; + n18 <= 'Z' ; + n19 <= 'Z' ; + n20 <= 'Z' ; + n21 <= 'Z' ; + n22 <= 'Z' ; + n23 <= 'Z' ; + n24 <= 'Z' ; + n25 <= 'Z' ; + n26 <= 'Z' ; + n27 <= 'Z' ; + n28 <= 'Z' ; + n29 <= 'Z' ; + n30 <= 'Z' ; + n31 <= 'Z' ; + n32 <= 'Z' ; + n33 <= 'Z' ; + n34 <= 'Z' ; + n35 <= 'Z' ; + n36 <= 'Z' ; + n37 <= 'Z' ; + n38 <= 'Z' ; + n39 <= 'Z' ; + n40 <= 'Z' ; + n41 <= 'Z' ; + n42 <= 'Z' ; + n43 <= 'Z' ; + n44 <= 'Z' ; + n45 <= 'Z' ; + n46 <= 'Z' ; + n49 <= 'Z' ; + n115 <= '0' ; + n114 <= '1' ; + n50 <= 'Z' ; + n51 <= 'Z' ; + n52 <= 'Z' ; + n53 <= 'Z' ; + n54 <= 'Z' ; + n55 <= 'Z' ; + n56 <= 'Z' ; + n57 <= 'Z' ; + n58 <= 'Z' ; + n59 <= 'Z' ; + n60 <= 'Z' ; + n61 <= 'Z' ; + n62 <= 'Z' ; + n63 <= 'Z' ; + n64 <= 'Z' ; + n65 <= 'Z' ; + n66 <= 'Z' ; + n67 <= 'Z' ; + n68 <= 'Z' ; + n69 <= 'Z' ; + n70 <= 'Z' ; + n71 <= 'Z' ; + n72 <= 'Z' ; + n73 <= 'Z' ; + n74 <= 'Z' ; + n75 <= 'Z' ; + n76 <= 'Z' ; + n77 <= 'Z' ; + n78 <= 'Z' ; + n79 <= 'Z' ; + n80 <= 'Z' ; + n81 <= 'Z' ; + n82 <= 'Z' ; + n83 <= 'Z' ; + n84 <= 'Z' ; + n85 <= 'Z' ; + n86 <= 'Z' ; + n87 <= 'Z' ; + n88 <= 'Z' ; + n89 <= 'Z' ; + n90 <= 'Z' ; + n91 <= 'Z' ; + n92 <= 'Z' ; + n93 <= 'Z' ; + n94 <= 'Z' ; + n95 <= 'Z' ; + n96 <= 'Z' ; + n97 <= 'Z' ; + n98 <= 'Z' ; + n99 <= 'Z' ; + n100 <= 'Z' ; + n101 <= 'Z' ; + n102 <= 'Z' ; + n103 <= 'Z' ; + n104 <= 'Z' ; + n105 <= 'Z' ; + n106 <= 'Z' ; + n107 <= 'Z' ; + n108 <= 'Z' ; + n109 <= 'Z' ; + n110 <= 'Z' ; + n111 <= 'Z' ; + n112 <= 'Z' ; + n113 <= 'Z' ; + \_Z\ <= 'Z' ; + sll_inst: component serdes_gbesll_core port map (sli_rst=>sli_rst,sli_refclk=>pll_refclki, + sli_pclk=>tx_pclk_c,sli_div2_rate=>gnd,sli_div11_rate=>gnd,sli_gear_mode=>gnd, + sli_cpri_mode(2)=>gnd,sli_cpri_mode(1)=>gnd,sli_cpri_mode(0)=>gnd, + sli_pcie_mode=>gnd,slo_plol=>pll_lol); + n117 <= '1' ; + n116 <= '0' ; + gnd <= '0' ; + pwr <= '1' ; + +end architecture v1; + diff --git a/gbe_trb_ecp5/media/ecp5-5g/serdes_gbe_softlogic.v b/gbe_trb_ecp5/media/ecp5-5g/serdes_gbe_softlogic.v new file mode 100644 index 0000000..fc7464d --- /dev/null +++ b/gbe_trb_ecp5/media/ecp5-5g/serdes_gbe_softlogic.v @@ -0,0 +1,1060 @@ + +// =========================================================================== +// >>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< +// --------------------------------------------------------------------------- +// Copyright (c) 2015 by Lattice Semiconductor Corporation +// ALL RIGHTS RESERVED +// ------------------------------------------------------------------ +// +// Permission: +// +// Lattice SG Pte. Ltd. grants permission to use this code +// pursuant to the terms of the Lattice Reference Design License Agreement. +// +// +// Disclaimer: +// +// This VHDL or Verilog source code is intended as a design reference +// which illustrates how these types of functions can be implemented. +// It is the user's responsibility to verify their design for +// consistency and functionality through the use of formal +// verification methods. Lattice provides no warranty +// regarding the use or functionality of this code. +// +// --------------------------------------------------------------------------- +// +// Lattice SG Pte. Ltd. +// 101 Thomson Road, United Square #07-02 +// Singapore 307591 +// +// +// TEL: 1-800-Lattice (USA and Canada) +// +65-6631-2000 (Singapore) +// +1-503-268-8001 (other locations) +// +// web: http://www.latticesemi.com/ +// email: techsupport@latticesemi.com +// +// --------------------------------------------------------------------------- +// +// ============================================================================= +// FILE DETAILS +// Project : SLL - Soft Loss Of Lock(LOL) Logic +// File : sll_core.v +// Title : Top-level file for SLL +// Dependencies : 1. +// : 2. +// Description : +// ============================================================================= +// REVISION HISTORY +// Version : 1.0 +// Author(s) : AV +// Mod. Date : March 2, 2015 +// Changes Made : Initial Creation +// ============================================================================= +// REVISION HISTORY +// Version : 1.1 +// Author(s) : AV +// Mod. Date : June 8, 2015 +// Changes Made : Following updates were made +// : 1. Changed all the PLOL status logic and FSM to run +// : on sli_refclk. +// : 2. Added the HB logic for presence of tx_pclk +// : 3. Changed the lparam assignment scheme for +// : simulation purposes. +// ============================================================================= +// REVISION HISTORY +// Version : 1.2 +// Author(s) : AV +// Mod. Date : June 24, 2015 +// Changes Made : Updated the gearing logic for SDI dynamic rate change +// ============================================================================= +// REVISION HISTORY +// Version : 1.3 +// Author(s) : AV +// Mod. Date : July 14, 2015 +// Changes Made : Added the logic for dynamic rate change in CPRI +// ============================================================================= +// REVISION HISTORY +// Version : 1.4 +// Author(s) : AV +// Mod. Date : August 21, 2015 +// Changes Made : Added the logic for dynamic rate change of 5G CPRI & +// PCIe. +// ============================================================================= +// REVISION HISTORY +// Version : 1.5 +// Author(s) : ES/EB +// Mod. Date : March 21, 2017 +// Changes Made : 1. Added pdiff_sync signal to syncrhonize pcount_diff +// : to sli_refclk. +// : 2. Updated terminal count logic for PCIe 5G +// : 3. Modified checking of pcount_diff in SLL state +// : machine to cover actual count +// : (from 16-bits to 22-bits) +// ============================================================================= +// REVISION HISTORY +// Version : 1.6 +// Author(s) : ES +// Mod. Date : April 19, 2017 +// Changes Made : 1. Added registered lock and unlock signal from +// pdiff_sync to totally decouple pcount_diff from +// SLL state machine. +// : 2. Modified LPCLK_TC_4 to 1:1 clock ratio when CPRI +// is operating @ 4.9125Gbps data rate. +// ============================================================================= +`timescale 1ns/10ps + +module serdes_gbesll_core ( + //Reset and Clock inputs + sli_rst, //Active high asynchronous reset input + sli_refclk, //Refclk input to the Tx PLL + sli_pclk, //Tx pclk output from the PCS + + //Control inputs + sli_div2_rate, //Divide by 2 control; 0 - Full rate; 1 - Half rate + sli_div11_rate, //Divide by 11 control; 0 - Full rate; 1 - Div by 11 + sli_gear_mode, //Gear mode control for PCS; 0 - 8/10; 1- 16/20 + sli_cpri_mode, //Mode of operation specific to CPRI protocol + sli_pcie_mode, //Mode of operation specific to PCIe mode (2.5G or 5G) + + //LOL Output + slo_plol //Tx PLL Loss of Lock output to the user logic + ); + +// Inputs +input sli_rst; +input sli_refclk; +input sli_pclk; +input sli_div2_rate; +input sli_div11_rate; +input sli_gear_mode; +input [2:0] sli_cpri_mode; +input sli_pcie_mode; + +// Outputs +output slo_plol; + + +// Parameters +parameter PPROTOCOL = "PCIE"; //Protocol selected by the User +parameter PLOL_SETTING = 0; //PLL LOL setting. Possible values are 0,1,2,3 +parameter PDYN_RATE_CTRL = "DISABLED"; //PCS Dynamic Rate control +parameter PPCIE_MAX_RATE = "2.5"; //PCIe max data rate +parameter PDIFF_VAL_LOCK = 20; //Differential count value for Lock +parameter PDIFF_VAL_UNLOCK = 39; //Differential count value for Unlock +parameter PPCLK_TC = 65535; //Terminal count value for counter running on sli_pclk +parameter PDIFF_DIV11_VAL_LOCK = 3; //Differential count value for Lock for SDI Div11 +parameter PDIFF_DIV11_VAL_UNLOCK = 3; //Differential count value for Unlock for SDI Div11 +parameter PPCLK_DIV11_TC = 2383; //Terminal count value (SDI Div11) for counter running on sli_pclk + + +// Local Parameters +localparam [1:0] LPLL_LOSS_ST = 2'b00; //PLL Loss state +localparam [1:0] LPLL_PRELOSS_ST = 2'b01; //PLL Pre-Loss state +localparam [1:0] LPLL_PRELOCK_ST = 2'b10; //PLL Pre-Lock state +localparam [1:0] LPLL_LOCK_ST = 2'b11; //PLL Lock state +`ifdef RSL_SIM_MODE +localparam [15:0] LRCLK_TC = 16'd63; //Terminal count value for counter running on sli_refclk +`else +localparam [15:0] LRCLK_TC = 16'd65535; //Terminal count value for counter running on sli_refclk +`endif +localparam [15:0] LRCLK_TC_PUL_WIDTH = 16'd50; //Pulse width for the Refclk terminal count pulse +localparam [7:0] LHB_WAIT_CNT = 8'd255; //Wait count for the Heartbeat signal + +// Local Parameters related to the CPRI dynamic modes +// Terminal count values for the four CPRI modes +localparam LPCLK_TC_0 = 32768; +localparam LPCLK_TC_1 = 65536; +localparam LPCLK_TC_2 = 131072; +localparam LPCLK_TC_3 = 163840; +localparam LPCLK_TC_4 = 65536; + +// Lock values count values for the four CPRI modes and four PLOL settings (4x5) +// CPRI rate mode 0 CPRI rate mode 1 CPRI rate mode 2 CPRI rate mode 3 CPRI rate mode 4 +localparam LPDIFF_LOCK_00 = 9; localparam LPDIFF_LOCK_10 = 19; localparam LPDIFF_LOCK_20 = 39; localparam LPDIFF_LOCK_30 = 49; localparam LPDIFF_LOCK_40 = 19; +localparam LPDIFF_LOCK_01 = 9; localparam LPDIFF_LOCK_11 = 19; localparam LPDIFF_LOCK_21 = 39; localparam LPDIFF_LOCK_31 = 49; localparam LPDIFF_LOCK_41 = 19; +localparam LPDIFF_LOCK_02 = 49; localparam LPDIFF_LOCK_12 = 98; localparam LPDIFF_LOCK_22 = 196; localparam LPDIFF_LOCK_32 = 245; localparam LPDIFF_LOCK_42 = 98; +localparam LPDIFF_LOCK_03 = 131; localparam LPDIFF_LOCK_13 = 262; localparam LPDIFF_LOCK_23 = 524; localparam LPDIFF_LOCK_33 = 655; localparam LPDIFF_LOCK_43 = 262; + +// Unlock values count values for the four CPRI modes and four PLOL settings (4x5) +// CPRI rate mode 0 CPRI rate mode 1 CPRI rate mode 2 CPRI rate mode 3 CPRI rate mode 4 +localparam LPDIFF_UNLOCK_00 = 19; localparam LPDIFF_UNLOCK_10 = 39; localparam LPDIFF_UNLOCK_20 = 78; localparam LPDIFF_UNLOCK_30 = 98; localparam LPDIFF_UNLOCK_40 = 39; +localparam LPDIFF_UNLOCK_01 = 65; localparam LPDIFF_UNLOCK_11 = 131; localparam LPDIFF_UNLOCK_21 = 262; localparam LPDIFF_UNLOCK_31 = 327; localparam LPDIFF_UNLOCK_41 = 131; +localparam LPDIFF_UNLOCK_02 = 72; localparam LPDIFF_UNLOCK_12 = 144; localparam LPDIFF_UNLOCK_22 = 288; localparam LPDIFF_UNLOCK_32 = 360; localparam LPDIFF_UNLOCK_42 = 144; +localparam LPDIFF_UNLOCK_03 = 196; localparam LPDIFF_UNLOCK_13 = 393; localparam LPDIFF_UNLOCK_23 = 786; localparam LPDIFF_UNLOCK_33 = 983; localparam LPDIFF_UNLOCK_43 = 393; + +// Input and Output reg and wire declarations +wire sli_rst; +wire sli_refclk; +wire sli_pclk; +wire sli_div2_rate; +wire sli_div11_rate; +wire sli_gear_mode; +wire [2:0] sli_cpri_mode; +wire sli_pcie_mode; +wire slo_plol; + +//-------------- Internal signals reg and wire declarations -------------------- + +//Signals running on sli_refclk +reg [15:0] rcount; //16-bit Counter +reg rtc_pul; //Terminal count pulse +reg rtc_pul_p1; //Terminal count pulse pipeline +reg rtc_ctrl; //Terminal count pulse control + +reg [7:0] rhb_wait_cnt; //Heartbeat wait counter + +//Heatbeat synchronization and pipeline registers +wire rhb_sync; +reg rhb_sync_p2; +reg rhb_sync_p1; + +//Pipeling registers for dynamic control mode +wire rgear; +wire rdiv2; +wire rdiv11; +reg rgear_p1; +reg rdiv2_p1; +reg rdiv11_p1; + +reg rstat_pclk; //Pclk presence/absence status + +reg [21:0] rcount_tc; //Tx_pclk terminal count register +reg [15:0] rdiff_comp_lock; //Differential comparison value for Lock +reg [15:0] rdiff_comp_unlock; //Differential compariosn value for Unlock + +wire rpcie_mode; //PCIe mode signal synchronized to refclk +reg rpcie_mode_p1; //PCIe mode pipeline register + +wire rcpri_mod_ch_sync; //CPRI mode change synchronized to refclk +reg rcpri_mod_ch_p1; //CPRI mode change pipeline register +reg rcpri_mod_ch_p2; //CPRI mode change pipeline register +reg rcpri_mod_ch_st; //CPRI mode change status + +reg [1:0] sll_state; //Current-state register for LOL FSM + +reg pll_lock; //PLL Lock signal + +//Signals running on sli_pclk +//Synchronization and pipeline registers +wire ppul_sync; +reg ppul_sync_p1; +reg ppul_sync_p2; +reg ppul_sync_p3; + +wire pdiff_sync; +reg pdiff_sync_p1; + +reg [21:0] pcount; //22-bit counter +reg [21:0] pcount_diff; //Differential value between Tx_pclk counter and theoritical value + +//Heartbeat counter and heartbeat signal running on pclk +reg [2:0] phb_cnt; +reg phb; + +//CPRI dynamic mode releated signals +reg [2:0] pcpri_mode; +reg pcpri_mod_ch; + +//Assignment scheme changed mainly for simulation purpose +wire [15:0] LRCLK_TC_w; +assign LRCLK_TC_w = LRCLK_TC; + +reg unlock; +reg lock; + +//Heartbeat synchronization +sync # (.PDATA_RST_VAL(0)) phb_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (phb), + .data_out(rhb_sync) + ); + + +//Terminal count pulse synchronization +sync # (.PDATA_RST_VAL(0)) rtc_sync_inst ( + .clk (sli_pclk), + .rst (sli_rst), + .data_in (rtc_pul), + .data_out(ppul_sync) + ); + +//Differential value logic update synchronization +sync # (.PDATA_RST_VAL(0)) pdiff_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (ppul_sync), + .data_out(pdiff_sync) + ); + +//Gear mode synchronization +sync # (.PDATA_RST_VAL(0)) gear_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_gear_mode), + .data_out(rgear) + ); + +//Div2 synchronization +sync # (.PDATA_RST_VAL(0)) div2_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_div2_rate), + .data_out(rdiv2) + ); + +//Div11 synchronization +sync # (.PDATA_RST_VAL(0)) div11_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_div11_rate), + .data_out(rdiv11) + ); + +//CPRI mode change synchronization +sync # (.PDATA_RST_VAL(0)) cpri_mod_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (pcpri_mod_ch), + .data_out(rcpri_mod_ch_sync) + ); + +//PCIe mode change synchronization +sync # (.PDATA_RST_VAL(0)) pcie_mod_sync_inst ( + .clk (sli_refclk), + .rst (sli_rst), + .data_in (sli_pcie_mode), + .data_out(rpcie_mode) + ); + +// ============================================================================= +// Synchronized Lock/Unlock signals +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + unlock <= 1'b0; + lock <= 1'b0; + pdiff_sync_p1 <= 1'b0; + end + else begin + pdiff_sync_p1 <= pdiff_sync; + if (unlock) begin + unlock <= ~pdiff_sync && pdiff_sync_p1 ? 1'b0 : unlock; + end + else begin + unlock <= pdiff_sync ? (pcount_diff[21:0] > {6'd0, rdiff_comp_unlock}) : 1'b0; + end + if (lock) begin + lock <= ~pdiff_sync && pdiff_sync_p1 ? 1'b0 : lock; + end + else begin + lock <= pdiff_sync ? (pcount_diff[21:0] <= {6'd0, rdiff_comp_lock}) : 1'b0; + end + end +end + +// ============================================================================= +// Refclk Counter, pulse generation logic and Heartbeat monitor logic +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount <= 16'd0; + rtc_pul <= 1'b0; + rtc_ctrl <= 1'b0; + rtc_pul_p1 <= 1'b0; + end + else begin + //Counter logic + if ((rgear_p1^rgear == 1'b1) || (rdiv2_p1^rdiv2 == 1'b1) || (rdiv11_p1^rdiv11 == 1'b1) || (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) || (rpcie_mode_p1^rpcie_mode == 1'b1)) begin + if (rtc_ctrl == 1'b1) begin + rcount <= LRCLK_TC_PUL_WIDTH; + end + end + else begin + if (rcount != LRCLK_TC_w) begin + rcount <= rcount + 1; + end + else begin + rcount <= 16'd0; + end + end + + //Pulse control logic + if (rcount == LRCLK_TC_w - 1) begin + rtc_ctrl <= 1'b1; + end + + //Pulse Generation logic + if (rtc_ctrl == 1'b1) begin + if ((rcount == LRCLK_TC_w) || (rcount < LRCLK_TC_PUL_WIDTH)) begin + rtc_pul <= 1'b1; + end + else begin + rtc_pul <= 1'b0; + end + end + + rtc_pul_p1 <= rtc_pul; + end +end + + +// ============================================================================= +// Heartbeat synchronization & monitor logic and Dynamic mode pipeline logic +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rhb_sync_p1 <= 1'b0; + rhb_sync_p2 <= 1'b0; + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b0; + rgear_p1 <= 1'b0; + rdiv2_p1 <= 1'b0; + rdiv11_p1 <= 1'b0; + rcpri_mod_ch_p1 <= 1'b0; + rcpri_mod_ch_p2 <= 1'b0; + rcpri_mod_ch_st <= 1'b0; + rpcie_mode_p1 <= 1'b0; + + end + else begin + //Pipeline stages for the Heartbeat + rhb_sync_p1 <= rhb_sync; + rhb_sync_p2 <= rhb_sync_p1; + + //Pipeline stages of the Dynamic rate control signals + rgear_p1 <= rgear; + rdiv2_p1 <= rdiv2; + rdiv11_p1 <= rdiv11; + + //Pipeline stage for PCIe mode + rpcie_mode_p1 <= rpcie_mode; + + //Pipeline stage for CPRI mode change + rcpri_mod_ch_p1 <= rcpri_mod_ch_sync; + rcpri_mod_ch_p2 <= rcpri_mod_ch_p1; + + //CPRI mode change status logic + if (rcpri_mod_ch_p1^rcpri_mod_ch_sync == 1'b1) begin + rcpri_mod_ch_st <= 1'b1; + end + + //Heartbeat wait counter and monitor logic + if (rtc_ctrl == 1'b1) begin + if (rhb_sync_p1 == 1'b1 && rhb_sync_p2 == 1'b0) begin + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b1; + end + else if (rhb_wait_cnt == LHB_WAIT_CNT) begin + rhb_wait_cnt <= 8'd0; + rstat_pclk <= 1'b0; + end + else begin + rhb_wait_cnt <= rhb_wait_cnt + 1; + end + end + end +end + + +// ============================================================================= +// Pipleline registers for the TC pulse and CPRI mode change logic +// ============================================================================= +always @(posedge sli_pclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + ppul_sync_p1 <= 1'b0; + ppul_sync_p2 <= 1'b0; + ppul_sync_p3 <= 1'b0; + pcpri_mode <= 3'b0; + pcpri_mod_ch <= 1'b0; + end + else begin + ppul_sync_p1 <= ppul_sync; + ppul_sync_p2 <= ppul_sync_p1; + ppul_sync_p3 <= ppul_sync_p2; + + //CPRI mode change logic + pcpri_mode <= sli_cpri_mode; + + if (pcpri_mode != sli_cpri_mode) begin + pcpri_mod_ch <= ~pcpri_mod_ch; + end + end +end + + +// ============================================================================= +// Terminal count logic +// ============================================================================= + +//For SDI protocol with Dynamic rate control enabled +generate +if ((PDYN_RATE_CTRL == "ENABLED") && (PPROTOCOL == "SDI")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + //Div by 11 is enabled + if (rdiv11 == 1'b1) begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= PPCLK_DIV11_TC; + rdiff_comp_lock <= PDIFF_DIV11_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_DIV11_VAL_UNLOCK; + end + else begin + rcount_tc <= {PPCLK_DIV11_TC[20:0], 1'b0}; + rdiff_comp_lock <= {PDIFF_DIV11_VAL_LOCK[14:0], 1'b0}; + rdiff_comp_unlock <= {PDIFF_DIV11_VAL_UNLOCK[14:0], 1'b0}; + end + end + //Div by 2 is enabled + else if (rdiv2 == 1'b1) begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + else begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end + //Both div by 11 and div by 2 are disabled + else begin + //Gear mode is 16/20 + if (rgear == 1'b1) begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + rcount_tc <= {PPCLK_TC[20:0],1'b0}; + rdiff_comp_lock <= {PDIFF_VAL_LOCK[14:0],1'b0}; + rdiff_comp_unlock <= {PDIFF_VAL_UNLOCK[14:0],1'b0}; + end + end + end +end +end +endgenerate + +//For G8B10B protocol with Dynamic rate control enabled +generate +if ((PDYN_RATE_CTRL == "ENABLED") && (PPROTOCOL == "G8B10B")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + //Div by 2 is enabled + if (rdiv2 == 1'b1) begin + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + else begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end +end +end +endgenerate + + +//For CPRI protocol with Dynamic rate control is disabled +generate +if ((PDYN_RATE_CTRL == "DISABLED") && (PPROTOCOL == "CPRI")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic for CPRI protocol + //Only if there is a change in the rate mode from the default + if (rcpri_mod_ch_st == 1'b1) begin + if (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) begin + case(sli_cpri_mode) + 3'd0 : begin //For 0.6Gbps + rcount_tc <= LPCLK_TC_0; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_01; + rdiff_comp_unlock <= LPDIFF_UNLOCK_01; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_02; + rdiff_comp_unlock <= LPDIFF_UNLOCK_02; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_03; + rdiff_comp_unlock <= LPDIFF_UNLOCK_03; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + endcase + end + + 3'd1 : begin //For 1.2Gbps + rcount_tc <= LPCLK_TC_1; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_10; + rdiff_comp_unlock <= LPDIFF_UNLOCK_10; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_11; + rdiff_comp_unlock <= LPDIFF_UNLOCK_11; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_12; + rdiff_comp_unlock <= LPDIFF_UNLOCK_12; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_13; + rdiff_comp_unlock <= LPDIFF_UNLOCK_13; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_10; + rdiff_comp_unlock <= LPDIFF_UNLOCK_10; + end + endcase + end + + 3'd2 : begin //For 2.4Gbps + rcount_tc <= LPCLK_TC_2; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_20; + rdiff_comp_unlock <= LPDIFF_UNLOCK_20; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_21; + rdiff_comp_unlock <= LPDIFF_UNLOCK_21; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_22; + rdiff_comp_unlock <= LPDIFF_UNLOCK_22; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_23; + rdiff_comp_unlock <= LPDIFF_UNLOCK_23; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_20; + rdiff_comp_unlock <= LPDIFF_UNLOCK_20; + end + endcase + end + + 3'd3 : begin //For 3.07Gbps + rcount_tc <= LPCLK_TC_3; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_30; + rdiff_comp_unlock <= LPDIFF_UNLOCK_30; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_31; + rdiff_comp_unlock <= LPDIFF_UNLOCK_31; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_32; + rdiff_comp_unlock <= LPDIFF_UNLOCK_32; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_33; + rdiff_comp_unlock <= LPDIFF_UNLOCK_33; + end + endcase + end + + 3'd4 : begin //For 4.9125bps + rcount_tc <= LPCLK_TC_4; + case(PLOL_SETTING) + 'd0 : begin + rdiff_comp_lock <= LPDIFF_LOCK_40; + rdiff_comp_unlock <= LPDIFF_UNLOCK_40; + end + + 'd1 : begin + rdiff_comp_lock <= LPDIFF_LOCK_41; + rdiff_comp_unlock <= LPDIFF_UNLOCK_41; + end + + 'd2 : begin + rdiff_comp_lock <= LPDIFF_LOCK_42; + rdiff_comp_unlock <= LPDIFF_UNLOCK_42; + end + + 'd3 : begin + rdiff_comp_lock <= LPDIFF_LOCK_43; + rdiff_comp_unlock <= LPDIFF_UNLOCK_43; + end + + default : begin + rdiff_comp_lock <= LPDIFF_LOCK_40; + rdiff_comp_unlock <= LPDIFF_UNLOCK_40; + end + endcase + end + + default : begin + rcount_tc <= LPCLK_TC_0; + rdiff_comp_lock <= LPDIFF_LOCK_00; + rdiff_comp_unlock <= LPDIFF_UNLOCK_00; + end + endcase + end + end + else begin + //If there is no change in the CPRI rate mode from default + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + end +end +end +endgenerate + +//For PCIe protocol with Dynamic rate control disabled +generate +if ((PDYN_RATE_CTRL == "DISABLED") && (PPROTOCOL == "PCIE")) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic + if (PPCIE_MAX_RATE == "2.5") begin + //2.5G mode is enabled + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + //5G mode is enabled + if (rpcie_mode == 1'b1) begin + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end + else begin + //2.5G mode is enabled + rcount_tc <= {1'b0,PPCLK_TC[21:1]}; + rdiff_comp_lock <= {1'b0,PDIFF_VAL_LOCK[15:1]}; + rdiff_comp_unlock <= {1'b0,PDIFF_VAL_UNLOCK[15:1]}; + end + end + end +end +end +endgenerate + +//For all protocols other than CPRI & PCIe +generate +if ((PDYN_RATE_CTRL == "DISABLED") && ((PPROTOCOL != "CPRI") && (PPROTOCOL != "PCIE"))) begin +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + rcount_tc <= 22'd0; + rdiff_comp_lock <= 16'd0; + rdiff_comp_unlock <= 16'd0; + end + else begin + //Terminal count logic for all protocols other than CPRI & PCIe + rcount_tc <= PPCLK_TC; + rdiff_comp_lock <= PDIFF_VAL_LOCK; + rdiff_comp_unlock <= PDIFF_VAL_UNLOCK; + end +end +end +endgenerate + + +// ============================================================================= +// Tx_pclk counter, Heartbeat and Differential value logic +// ============================================================================= +always @(posedge sli_pclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + pcount <= 22'd0; + pcount_diff <= 22'd65535; + phb_cnt <= 3'd0; + phb <= 1'b0; + end + else begin + //Counter logic + if (ppul_sync_p1 == 1'b1 && ppul_sync_p2 == 1'b0) begin + pcount <= 22'd0; + end + else begin + pcount <= pcount + 1; + end + + //Heartbeat logic + phb_cnt <= phb_cnt + 1; + + if ((phb_cnt < 3'd4) && (phb_cnt >= 3'd0)) begin + phb <= 1'b1; + end + else begin + phb <= 1'b0; + end + + //Differential value logic + if (ppul_sync_p1 == 1'b1 && ppul_sync_p2 == 1'b0) begin + pcount_diff <= rcount_tc + ~(pcount) + 1; + end + else if (ppul_sync_p2 == 1'b1 && ppul_sync_p3 == 1'b0) begin + if (pcount_diff[21] == 1'b1) begin + pcount_diff <= ~(pcount_diff) + 1; + end + end + end +end + + +// ============================================================================= +// State transition logic for SLL FSM +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + //Reasons to declare an immediate loss - Absence of Tx_pclk, Dynamic rate change for SDI or CPRI + if ((rstat_pclk == 1'b0) || (rgear_p1^rgear == 1'b1) || (rdiv2_p1^rdiv2 == 1'b1) || + (rdiv11_p1^rdiv11 == 1'b1) || (rcpri_mod_ch_p1^rcpri_mod_ch_p2 == 1'b1) || (rpcie_mode_p1^rpcie_mode == 1'b1)) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + case(sll_state) + LPLL_LOSS_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (unlock) begin + sll_state <= LPLL_LOSS_ST; + end + else if (lock) begin + if (PLOL_SETTING == 2'd0) begin + sll_state <= LPLL_PRELOCK_ST; + end + else begin + sll_state <= LPLL_LOCK_ST; + end + end + end + end + + LPLL_LOCK_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + else begin + if (PLOL_SETTING == 2'd0) begin + sll_state <= LPLL_LOSS_ST; + end + else begin + sll_state <= LPLL_PRELOSS_ST; + end + end + end + end + + LPLL_PRELOCK_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + else begin + sll_state <= LPLL_PRELOSS_ST; + end + end + end + + LPLL_PRELOSS_ST : begin + if (rtc_pul_p1 == 1'b1 && rtc_pul == 1'b0) begin + if (unlock) begin + sll_state <= LPLL_PRELOSS_ST; + end + else if (lock) begin + sll_state <= LPLL_LOCK_ST; + end + end + end + + default: begin + sll_state <= LPLL_LOSS_ST; + end + endcase + end + end +end + + +// ============================================================================= +// Logic for Tx PLL Lock +// ============================================================================= +always @(posedge sli_refclk or posedge sli_rst) begin + if (sli_rst == 1'b1) begin + pll_lock <= 1'b0; + end + else begin + case(sll_state) + LPLL_LOSS_ST : begin + pll_lock <= 1'b0; + end + + LPLL_LOCK_ST : begin + pll_lock <= 1'b1; + end + + LPLL_PRELOSS_ST : begin + pll_lock <= 1'b0; + end + + default: begin + pll_lock <= 1'b0; + end + endcase + end +end + +assign slo_plol = ~(pll_lock); + +endmodule + + +// =========================================================================== +// >>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< +// --------------------------------------------------------------------------- +// Copyright (c) 2015 by Lattice Semiconductor Corporation +// ALL RIGHTS RESERVED +// ------------------------------------------------------------------ +// +// Permission: +// +// Lattice SG Pte. Ltd. grants permission to use this code +// pursuant to the terms of the Lattice Reference Design License Agreement. +// +// +// Disclaimer: +// +// This VHDL or Verilog source code is intended as a design reference +// which illustrates how these types of functions can be implemented. +// It is the user's responsibility to verify their design for +// consistency and functionality through the use of formal +// verification methods. Lattice provides no warranty +// regarding the use or functionality of this code. +// +// --------------------------------------------------------------------------- +// +// Lattice SG Pte. Ltd. +// 101 Thomson Road, United Square #07-02 +// Singapore 307591 +// +// +// TEL: 1-800-Lattice (USA and Canada) +// +65-6631-2000 (Singapore) +// +1-503-268-8001 (other locations) +// +// web: http://www.latticesemi.com/ +// email: techsupport@latticesemi.com +// +// --------------------------------------------------------------------------- +// +// ============================================================================= +// FILE DETAILS +// Project : Synchronizer Logic +// File : sync.v +// Title : Synchronizer module +// Description : +// ============================================================================= +// REVISION HISTORY +// Version : 1.0 +// Author(s) : AV +// Mod. Date : July 7, 2015 +// Changes Made : Initial Creation +// ----------------------------------------------------------------------------- +// Version : 1.1 +// Author(s) : EB +// Mod. Date : March 21, 2017 +// Changes Made : +// ============================================================================= + +`ifndef PCS_SYNC_MODULE +`define PCS_SYNC_MODULE +module sync ( + clk, + rst, + data_in, + data_out + ); + +input clk; //Clock in which the async data needs to be synchronized to +input rst; //Active high reset +input data_in; //Asynchronous data +output data_out; //Synchronized data + +parameter PDATA_RST_VAL = 0; //Reset value for the registers + +reg data_p1; +reg data_p2; + +// ============================================================================= +// Synchronization logic +// ============================================================================= +always @(posedge clk or posedge rst) begin + if (rst == 1'b1) begin + data_p1 <= PDATA_RST_VAL; + data_p2 <= PDATA_RST_VAL; + end + else begin + data_p1 <= data_in; + data_p2 <= data_p1; + end +end + +assign data_out = data_p2; + +endmodule +`endif + diff --git a/gbe_trb_ecp5/media/ecp5-5g/sgmii_gbe.lpc b/gbe_trb_ecp5/media/ecp5-5g/sgmii_gbe.lpc new file mode 100644 index 0000000..d37f4c5 --- /dev/null +++ b/gbe_trb_ecp5/media/ecp5-5g/sgmii_gbe.lpc @@ -0,0 +1,37 @@ +[Device] +Family=sa5p00g +OperatingCondition=COM +Package=CABGA381 +PartName=LFE5UM5G-85F-8BG381C +PartType=LFE5UM5G-85F +SpeedGrade=8 +Status=P +[IP] +CoreName=SGMII/Gb Ethernet PCS +CoreRevision=4.2 +CoreStatus=Demo +CoreType=IPCFG +Date=06/27/2022 +ModuleName=sgmii_gbe +ParameterFileVersion=1.0 +SourceFormat=vhdl +Time=13:46:42 +VendorName=Lattice Semiconductor Corporation +[Parameters] +CH_MODE=Rx and Tx +CORE_SYNP=1 +Channel=CH0 +DCUA=DCU0 +EasyConnect=1 +MAX_RATE=1.250 +NUM_CHS=1 +PROTOCOL=SGMII +REFCLK_RATE=125.0000 +RX_CTC=2 +RX_CTC_HIGH=32 +RX_CTC_LOW=16 +SBP=1 +SOFTLOL=Enabled +TX_MAX_RATE=2.5 +[SYSTEMPNR] +LN0=DCU0_CH0 diff --git a/gbe_trb_ecp5/media/ecp5-5g/sgmii_gbe_core.ngo b/gbe_trb_ecp5/media/ecp5-5g/sgmii_gbe_core.ngo new file mode 100644 index 0000000000000000000000000000000000000000..7ad45c66c0414e644d8c102859c48914bc5a348d GIT binary patch literal 468576 zcma%k3w#_^^?!B=N!rqOE3Js4t~?Y3ar5X4#Q*Z9JeQ|Ph21nsOQ30%B&7vZRuB|H zS>(q<5Lf|u2+ArT2!e}>AT8+@nx-XbyJ;RY>1*2(Xvm}g@Au5^?A)0<5&e9$^ZlN4 z?z!ild+wdPGm}(0ldUK!pIIdTDe)d$9mBso&5Ii9>%)r{)P-vro9c?ZUph1(aP`b+ zAke^TAFt1zchUtXUhsWhhvfRSQ)ga$&bKONo>t`fZ*82=DW_gC@8nZ)xkxTgzTngo zFFG}R(Y$j`z3`$F&j}Sti~D)VFZkR2EB2#lHn$%Q(C$wE&8HU?eePh-ig`gAY+4?! zt!}9f*DndzR?eS&T;TE}D~~Gjyt_FG*4whY7EDXU{PN3-JneVHsIe@(sHSo0l9q6J zk!Q{E;sziwLS#u)gbb0nMV^&^&jKh}-ca8%zZ~X)j3t10iG*vetX{IHE?jqQ-I5~D z&hN%dqF$QZc&G(g0s~OrctoZkWw^2N7!?W>c{+b$(F_CFc$5WzDSGnZ^nhuqcEO@> zMGg(ADAl)|6Sslr?3Qz)Dku(q_@;`M8&=;`4V2UBjdQ!G6GtDjkMM}FJ($!aPGX5PpOmdn?oY_^56ZuOqv2rwkYm12hOsu_~LY`&OmZoqeE+6unmvf7}C5Oat z>0Qf8yorTL^#Z2LNFB&53 zb~&!s{=7gVMb_SuD9SrShi{6=B@HfV4%deR;cA)W-U&uBEK?W^v$u3>##Dh1%#yOR zqY4D6vU!YzN7U&lCv4l1S;35w&@=}DJSqjmD^jpi@~yOaN#{C4B2O18!p+w-EL~m= zp~EtHAsLyHqy6T!v~q-@m1_l+r)^do5}su_1j*1A@L3VWz3rH^6+!6RDiu3bKu!r* zWsrz%CnT*1;@c*bz-$Wxu*fa(DfDICJk=IlU3XqokwVngovcdH z|K+L_d%gNlzo|*BD}3f<#-{UNFQoxZ1ePt<~sTdQZ&Mq5OV9^Ag^! z{#??$gb(Yd;)=5gV;u-z$Si7(3X(I(|EvD@Id9B?1u3%Ks6H+v_JUp17sO^KTNYQ(NJW>EqZz7YLiQ<)c( zy$x)wTN$;>5q-yWL<|Dy4O!%7ina6^2@8fkrgI~Y-4xW&#q^O`iv)U@J~(Cp=uy8f z56A|rsRGe!|JVjHt2}8{kcnKJR5509X`CW&6R%}v`t1hM^LU`X5irWMV!Z;vWa69%#E zbQ@MdFql;ZD>#WKCRLDW*S;s9f^0b(QZXpEKdH(w-jAzdh*x8 z>afRvo!b(M9Y*ZTd}nOp@MKMQGAC~1*!)33aNea$%EOioF80S`qO)|#tT1j=Y8EaE zmsg_tG*q|LT*(^~{Ci%w5>S!n)1nN`k0&bgxTPVA+2$>KjU7XawRKGk7dI{o*EMm! z=q>rS6EE@%{UY>PjnA*ZmS<>Z_Or^<_n}XMpIID{9J+-*ex|O@uYxx_rv(0&{Jij7 z38GOD#tWiIN=X~K>3^EdM_hKSpBn4SXE4r?3?=@z&m4JKiiSRc@c0oi@$~ZM`>y}h6Se%!@Ff}ur zn3?6_>IFDZvDBx|xF3|1xSD2ESl)5rUjM2@USVfl^$jSl2vS&z+V=aiF0@S7 zowo(^bkow>dYmpTSy;b_x3)07;U$Jm8f>m!7REh%c-|>zpIUAlM{IbNo@!W>0dH9x zoY4)rM=Q_Wh2ciAnw~ep{GL;3Ub<>;o0VkrCmGOUACVX~rVad}U)(i}aJXrqe!(nr(5suB~2-Wvo_a@ZSGRX!IFiX#t*Y zzb)n>F`FIPS;Ju19iRcw#=Ji(=puo&eCGvO7gE&O{`Ec=3Ct}7V1S*|wJ%c-AsRX( z;v#`v!roWi+RxA2o!?5hs7rNa1E&UEB(O8t``5G!DeCOtTQL_2PI2&k5jS44eEVf? zT`)#C6>w9}575bR7YXF?-v7Fh`5L)5zZ8{+Eh)$hD9`86-ltuC$S3m9#c3BO#ER4- z&-S%hHz7&Jy&osW;f-wke#T7%>A3fgDK|Esk9%Kpb=1tb@4<*RC(D^~?~QMOL;h66 z&47&Q_LqHbywt_LYvbeaMjS58xQQ@pjk`w{YWtYx({}F@0XGAZ8JrmBLqZ=1WY*5J zQ*LZNwYHz-)?MLJJB@1P|Jiry8 zl4s64jxZIg7t}AVZ@Dg9v$P4PsMbCC&<|4i_MJlcy&*d~@J7heQn|4@qKjN3`oHS8 za+N%-#4`L$I&T`wDeemD!;O*}LygfqF;1IIfj3-xfP>&MIcGEae&y9z=W$7+#Ls?f|=F5{lS6*hxJ?^~Bjr-De zeanpaO~!@f(Ei=;Bn#PpQNYO>Isde#MKjY^-4Lf9f4*&G*0wH*6s{4@9jO?v^Yo0+Yz#ojI9|!CVEfQm1d&9=T0-x-*lyo zME@Y+W?hbghPqR(yd?VSm@6-dzQ(~@61}i1qbVfRNdX`J5n|29s83Hlei&R7q%K#45!lW>ag*3UM;E9+kF0 z5P3y0#3xi%9bwTz-&(g=RM4|kmsnKLuhmEU@+SG*jyx?uA6NY{lE)jRP_%wpFg%|w zw>}aoNU-y(UbjfF>#JV2NYH5OQSpKb`?%_oXaV4l@~gg@C;;s8>Z1dBAnzZCwX-3s zz%@&nc^|=ZBJsBKOwh(ah+2+sG#=RL`g0=BHqPQx9Gg#2fN(W3kU7|SP9!g=ni2Av zkdBnID(H4>OKE8Fu4AW;lBB;p=};1tO;*`$oXM+wqOuY0feh1EE`pbSv2hLPp`Rx#J^WinRnEqTwfmlk8jscPq zCCM;T>dSem$(g*@-E>maCduk`T*d~n7+n^#fvi3Y12zx?oheP*K+N8i^CJKYj4MfY1L zP`v=5pYPt2RUm}(-J9YHgb=;ES(QR8Rt#sXQj#Dmb_NxU-CKEROu?9?@7@$rFiDCT zsvHyX-RF{uoD}7x&%pAh>3EuAe)&v37&!-zm#sP|1A4y}H2-d239vPL%W{J*zg4nvf^|-ZC6+z3LV}c6!O6?r;XOb0v5<9 zjvd7*3s%k~?`p~dvijA$Bz!J2ee;Z{jX_(~=+)qqud-W$JhHZHFyyp@1lfFq&xvFF zyWUJXab!K5}ZJrr`ZXNIm5a-Zc_lx?tRVY#2KbtAt%nT2C`0^!_XCV;uyBB$1@Jx$h9|; z4uZ?lW}g!`;+=7}EV;iq;A~n-S64RfXu^oMUqf)_cD)gBYA~!_t9?#_r73ew)xLtxRRorp|&r-)<8D&eYiSxg*V-V+RrP%tcX~O^j#eRJ%pO#w9{$i(rIu6QMA&F6kKcQd}qay%WRw%}(<4aiu z<1V7(K&1&Ok}I=O$nB3+55G<~;gPwO-AMpt0ItRZfs3J4;#Vwjes)ZZDHwyXc}W}? z*U#n#Y{2ytzck&1U>ee-(xpG_> z^b(<`1R{AFuQ6=u&fv;y8UwjW9<($OlziubBnQ3c{2XBPK3hX)<_OyK=b&>@ai?m+`S zXj&DnzgE(`>!BFfVwFW#SN+-#oWZO`a4k;1p8{@nhQ(;r{1BioP!#W^h$z5Gik!Tn z!gmEekRosDo3E~{s*YIg3SPlpeaC5sFAQwzR^++DZ`w*fOw+Qr-UFln$;P@PX@#V2 z-V@71=&Kx0{F^Z|O>tUmTIsVwvS9rtn1`r`sAV1kVCWG+f@FT7Ajs&pz{i4Ceh&haJAR^iI<6z?m$WcpA7216}7JXYP+%A&%&( z>oS0R)>MVu|0$|WhRiR0R#l9=_rU*TO^ADd zY+SxtxU9hCzXGO7JivJ1KceO(PU8-IK5Jg`1)i4*x;VQ#@ZD6NmEoN{=qp@v+9O8Z zvK@XE#DIAxyd6+MjFxvoRZ0ahRNj)G0y9EvqzuNxJLLku3S#iQ2fmUrK}>tZE@)mO zyxuA0bY9AUQ3JeFzFd%y35^^>^dOaM5ToZkP;y1am;>xR@b#3*b8>b`5Nrhs?*twA zQo)Xl%JV@}Pqvw_WpHiN!?P4!Lm?-QiQ4}tU|pHy?CXC$?LuPu_ILPPNH*6W1!;2w zsn)+a;-ZA7i~Cn*T}afJ{x#sVjs z-k^?QM)zqMZW%bW`ag>36iL&Q{$alx%}x$@V{SC7bpLj+x-^_$&NX+JC#9n?)A}Dy z=oolKpLb30=~zs*>>jNiW?EJ@UykgKII( zT}Q-q4CmFZZzr`Bo%l*jOQESDKMQ84I}p$*&)A<;w;)#6wpzeLc2<1 zI*RirJCM>*OpWX=or7{qos~Nq`%uY_Vb_d=&Y)ydwiT2k*<@p!Z42orCit$y^;*pC zUB~)$YUT8Q*CAOgCpM?VwH$Ld`&XZilU42&Qnp!-vF!RC&DrpBOzp1q8UlH-`QWHi z0a?~H>UZKS++7}J!YBbQsX4xm$oyCmllKrTifWjBD4ok+d;BV7T zf_1m+wTP2oCU(6WbP~+NuDu#lOzW;Kn#MW2n~#h;O|fuy{WstwSj@WC`RdXi!*PDz5<4^RE^%^3MSK#`~Wz$mRXU$>-2o{eAHoO@y& zqqXa=Tr1l5~H;#3rOpGIXCsKZ^zwu-fOge zkaDAW%h7sE#Es@Xb!%(Fjg}je`&`>Dmm8h@*^M*qynkuE4#xFe<892U*L-etxwL+x zJ5T-Aiz2T2Y`!az2Cq(s`Q^;8Rr@F1GfyBwB)=Su>XM&7hwO?)psHoDIoQPp^(;0cyY5T6vDk9#`nk`I#pYs{+=Xh} z<=WoH4r$qPDu*&|G*|yi({3zx6x(BNYz0fjhKw6s&Mx=HU1|3D^@Jb;1Ta!VzJR*`yTU@UZT&dS1bCJ_bmX{Z_@v_ea?YNixzX|3qafabLX-WO@#HA+ z_P7!#z1!~dk7olN+y2FI!V?JdW@7s{$8ClS+xFvq{qu>4329B({9_7 z*>Ob}l+-v9a=x@}eQ-PxmcVWO<67Z3ZhJX2o)s3_?jr&a(d_M+`Uko9L~f0@-3MLW zx@eRs&hqUO{o{$qJ;L^HrpA?FZ?_-k?h7j-ySx31@p0|2GH)9i-wrF=kl*KOXFkjS z&=hw8PQ{@^pzjhFS(t|=W!wcAw!NQ5+y!I_89FfLF2P;$P)WjFpj^69G@gXmm<|(e z9g#bSy`$sVU{|_lG2)sGLuu?jKBVVRI=YVy=sDEf?uxjc!$j{s%7sIn=xz$?^;jXg z4~gnYRN!uZTF+s^ci$M%bKLZHUz^aATp7A=0H+HLt5NqwZkm{y-3=KRO-83a=t43C zr^j4Kl(+7Xnnn>0XoTzWDvgKbJ4^jA>RssD{HQ6s;z4dH`UcNUR&2xSIhGN zDEd-bMOnJL8{>LXc8J{vyQng+yN?d)Rk=Cqu5uwcXS(Mk^qMR{-QUjWNmj7#Lt}c9 z8@}$ZyJ)gzb$`XL*OUUjJftV3BzDhmQDvR${$WzDDtqAW=B%ET+R=TETkyrHOWd52 z{#@l|S;Bw58!Lf2#jRcGk=!jgYmM>4LiY*ikY>6Wze;r9=@Yi48Xp-bydI3_Qs_@n zspW_Zp}W=L2EM<*85Ega!Rvh>W+%$8FY*>&nETIy zE+Sq7IsGL382h;h08bu}2XwqszCdd^5PJMt1;1zEojNrRVn5R$?>@$^qOezK8q<3b zq`#Q8O4AM+{A$2z+hTk|a|Dd(E!_2tydDK)vu%9;qW{^Xmg3Od8y8AG%i7RbTgOjH z03Y~yFsH%@g`-UvmAeuugx@f|UpUt%py)^O{xLp(8V&^bL;Ic(nA`_nEljwwD$pFB zH3$DwS;c?go#k2hcSYgISuuhWGF@(!}LzU;T-aC_T(0XquW&KY#2 z%=q>!Z5SVi<>;uNqxEcQ$U>5zg5`HQmQRF@73Bojk z4>$7M7$0@zIK!6?d0@b6{SFDhf3sNyG`~E>w>Ucb5)NKw#75avsfq#fLy-r)04>7} zIi_wn_S|rJ6&_Q9hc6^m3Qs*7lxKKD0u+zCjoFQ_^6vL!Fc0DCpx>u(W%TY?rFY(g zo?=IG9p_hmjS)jS9Q2IYV1s=B*I^fXFvgU=YQT=i@oX@;wzy$a!<; zo_-SYVC0Q}md6uyV>c&2*@kQmUz&&A-aS5xUM)VK0);pg%$xu=*Le3I?Tj6k0hc#M z`5XsZW2vl#lb;Ucn=$wz%;b>PBK%&Vw9n5GGt;oS89k0InO@`>>}*EgNa`4D-bP>1 zGT88p%ueZa7+K?>6vJxH0D6F#IC72Rb>0I+q5oxFJF*`cc}q)i)f%0xrMTRUzOHLZ zp41zC$*1vL?hi+*B07qDn~|k49mQpNq(SQjSI#ZBr|eo7i7iW_b`+z~{~f;_#RzPD z7@#_8i2mqVX`p7-Fp~Ug1HL=UdxO#Q0(zDOXOx;cRX(0Ex-y|B<#24kxRbYzEXKR< zcIqg3g|QxZ1E7k+{)#y$R;f9^?yBRhq=+&vM6bXIphNmMeQ&* zh<%Uv?Jx(e@5PuMW=ak0&e~y&zPEH|1Tf=m_}#d)1#h6#U(3?EB}wOnGYWLy4}ul| zw;&}mqi7b_qf0I1y>1Y{cGIDL*n zIfO!dTJ_VTQUJv%{OFqttG7gU__-F0{O7Ff`+PcQp%%Uw&%bYeFJZwdWoq}+a()Oz zzF+*^nsL3DhO8h)VC0AhKsLx_iUa5^otOe-G>G9`mBkgc*g<)FtEVolK*-PoUJT}R zYOlq-ImE9BAgEhI83p1F>eGM%VPJdSN+}TQ(IpuLg3nw3rbx-z+txRNRxvqN>WL_> z%ObM%lei+sy3{)+wSryQV3pmx_V2oSO^&th5ql8^?1!KwXDTyhV+?tjJEg0^c@DBffQwoF_ZQp8b zp^`D$(GyXm5ThN-ftZo3Y}V)VnHMb6kF^sNk7F~)6+{Q8!kp%pA6 zeLuH)T*0{YeJ80XB5qrjsu1GV_jXi~Lfp38oKhgf?ZYQx3WT`zJ*c!OaqIh8)+#2s z)pvo?faF%+%^^jOaqIhcQo$Iv{$o^(ar-b1F>~yVztPe6`K$%w(&`yFE~G$+QTJ

Me}+dNkfi{oZRXfs~jTbEnOMP8{qD=uwmj* zc}uR1faQuKYGkpiDLaIYD5!Cl>@EAImO=Qp{3`|>t}=WRiK`Fn9Hy1#LVa*t(*mol zx6H4l*vYbQXesO$y(QPD>;|!nmC7?2GL_WM^Gv366|Lz=YW8GYNPJGDbgdi7WqCeH zC3qNyiHk#al;4T;mcAbVu5p6TR(MN4h`F%H18-@U8;fl6mQ6}SSMh^8WoD$eY$_-x z$FZMyXT(Y2)r^S`2c0?jAT-XV+3D2W8^vdr1P#T{t=8?xm*i7EMhz$PFGU2>J(QR!Go zvjgVPl*poE9X4a4HiqoBImji|2|LWZDOu={X2O(Q9=A(N?RX&q*j_s@TAo)k8Ulmv z`G=0cwDHJUp4}9tjOSUM21dY>OlaD`Ab6hAX`t+O|2hZ_bIKT{&UibAPwQ>j5p$#Y z3}gS718y`QpxpX&#*M}UlwQy4S$I7hummByV(C&#*LOoC*MlD z(R^mKZBHCD&-tW^%X6*RH6ern;C63i$X|+T7%oCv7X-oh^0q9|g}qmG_L#Mv=dv1m z%sJ2VNe#o9?RiG0!$q%cI0PMAf0$j5_%*h83*YvMMgu#5wlzMdCFD@sHVuwdzHMjP zDUa2yZHvY}HVP}wi9z0+t(HGH#>Z{j(i$!7UfSg6Z?%7tL@UmUxR_%OtvEaC!ZLGL zoXN&?t}yLaoR3!~3ncZ8fGBU#KhoNeqnH z4DK+^splvUN<+IDBa+T7%%X!|(fBzW7tb=ol&x)-Ak1zEvM{uXl*vMc>FM@bC@&xO4uk0qUi1n()0hAerH zgq#|(OL#qCC*-upTk?kALC821PJ!~tU5P~mb0r-6p0i0N$d0{nhdkH)qF?y(xaZ|W^B78^oI^~Khj~noxp1m~=fw;RLs|5Y4MXA-#gnQW z4<*ZEz+?u9t}$esu>DsjGz%;y}hE z)Z<688T8Z+hc81e&v?$FfEM;qP!|e3@jBW?S(U7*lZUE%iX#rn_+vkO7;bk=>al4=OyRjg*CG;e7(9=EQb7A?!Y>&sK zS$WB<`|Y@kE`J2*{#U?-MQ(e#H-}tU7S8V1(k?9KiKn|&Z@FB4`0P$+w7UERwx|1n zq?R;(uj=u;H7n1{cRw9Z#1Qu^_KZxsmMc zte~EiEmP0&Sr=C3?uj86Rvvd>=Vn>v-)^YthFxk#&-|1ND>M5sT^zB@d%9))vllTw zIM8!-)WxE_j@T1$>!?h;OCm1HGO>Odb75sp_uQ6oqh;2bH}LA-0N;s}X?b+qMO$X& zX-OAWUc&6Di@DLFJ<+G3W!}~LbquHLO=%s&g{%ATS|!%ROhTuGDbYQU)iGSQ*T!`W z+xd&uZO(&V2X#8KZZ@a26t#Yo(Ndhx)BReC6X(2;mf~XhWJ*hc`IF8IZtt=6%4(F1 z*--7*Q8EQfeL70!-zO0rB@5^KaUCUdYePau$&`Ir>%3&?^%^V7t#$@Yi{7pK~CG}}|Ak>_;zdlvGJmRTEK4(b?qyXU4P7)|IA$eyRQ_PFT% zDWS7RpYMw6?ZJyZ_hERN|(1a{925j{sorR->o(q>&i zuZJM-k<)379&6d2=R$f#?gjSzHQ_?i)kM^VL`B;pWlPs4YTBO5-OOT~ejkKYM@F!{ z#UL~>m2LE%+hTf>C2G%IK0V2*x99$do@CwIBelrc4S6zt&rSN6OP$@*tdA>?{}G*_ zzD-$a_q?VLtnmSzJ(v4kbZNNOMU|_@ z&q+x=EBl7^A&`#omYdfE+jLMY8yi758CjcG(G`c1>}=O0v=nly=RbNcxHhi{YPGn3 ze=wt^P#>43!Kjs4^0en}oqI;5e?m(!UwV={_l))YZ(1!`&tFey+>?9Zo)?2Uij$@1 z8LgIVFYnhDu*f+gMl@Dy`(-TkYxSJOqXQNlgPgl_eOu3omn*fw zGS>Qk>DyzH?(Xx!s5+dyMcDHVzY~|jIVYhZSf{@e(GaY|$NDt{%iduT4S~jY4{4m@ zZRPI%v_=E?wu}RpJG0$c4Z#fB{ZYcH!MeEnmZ+0pitK(Q?j(3}vu9GkNpLJXt>K2wA*uhQe%)^ z-|+dgn<9S~*le@DcdDvL&chi=S$$c>9YF$1D zw_$zURiE}(pPB{lSN65W7{c=tlCHW??fi4XmF98xhLZxWJf9O;z1&rw^zL4IuFhPk zw!8O6oP@Fbek-bBWD%U5)iAQ4e_f{|OXHzB21UhNd{zL~;u}ylqG|Z<#NXZ%Xq?I`4$j{90PR zJeOWZH$6?^MKvvWi=`faoMFwYA%SWdm*UScRa}62%QMDy}UvyUJ!qdW)C|>E; z(vaM*Nr?Jz6TddmyI(}Xs<6*)J<_jWv!MI8GZPBNn}WeOAaB#s+WK&P?c)4v)jjeA zSq@%;*G21Vb1z)?d`M3n4B|B~At?-Q#TMeu%?uWvlxjgkS^BLu#31i0n9JS4883GC zd>S|93j{Pi7>a_}-wXizU+lBX@()V1E=&V1glZOUBU&$`cB!d73o&?iB&Ntw>`Q(H znuYb`-L9aD;qIt)dP>ExAZ@)kq+m0N9UW9Lw6U^`?iD&hb7erR9%Jok{aQ@L5QCBP z*b7U~uz2--EeWh(ZYFxR^~-=Pax)REt=Gj=3|;F#$**GYW$UjpDh6M+#u6$9U$)JU zs2CD$WzgmeR0Hg7!R49w*{^tMM!~A!-l{W*6}nLg_r~f2svLAnJSi1Jl!w0`QZf9L zS^R2L#qfJ(@qIR3{HR%cS45R#m`_it7`nDk4&n>_s6tpiJS3yYRiYmqyR!-g_eSsX zD^MkpVC>ikFiUqa_sd?ToCQNS%ANyc310=eQ8K1>trB6G{7_nvW65YeH>BFbPs3CG zkW}UH%k7ju*mTjg&Jg7a^Q8h^OTQacb@2=A;*GA_{6+_oLn<6TPiLmvI1Tae*oJ6OmR5?`G2Sb27MkUBsSWcQ&Z$^=Y zddERPEbj2fyp9P86+@z~_@f#bewpaK6K!&6qT@>uRTuLuT>;421~O*VnKn84^3{|o zhp={jSq%+-G4xKqD+xJU|F8;pr$0<5Z3LH`KLNJm81B~VW55d;Pupj)J(~&CNbmGk zpN2rL&iFEo*ffaCi+?tJ7BXP^?HVsYyA2bAN zq<6*_BN|gsI6v*gtLT*6KHIu6lfllN+9>PzOh&59?=&?N5t%-k(h!VDPf$a!@k>Ka z0($`O^!o!&0&UMIjc9O2>XVp;K%{0I6x0w1`i!EClRzE!PX9EaA=r(N{2GF(a9B!1 zFjVIzokS)3caP6WR52AkOlSy>_?ozez&OqD>ogdWf9o1&2Y11eJ)=1q-_hV$#k|ws zN;?%Q5s?`aV;X{~P_1hlZIm^z8{Vdc_-xCPX1<_~*RAkhP$gXkg_o}vEaI1Mrk|K~ zS||S9v<7F#P7OH;t}WBAplMr@S29LFPHG6Yaf40+_{9OILIoAJXEX$}<|kd8bc@a_PU87djRrfuTBpHKouSddF@|^gD=DWboU(bRzm(7r z?8mR-8iGA925uh?+zxoBzY)?94C3Pn4Z)m!A*LbN^D6^Rg8lnl(22{c^Jl+?V5sVR z8luQM?Wqj#Vp)bE_4ANJ3cHKriF)}#0p_%PHNdkrSMH;@hr&|1c5PBLd5PeZ^jcNW z{)DQm#fI_a0nb{w3->fp2`k;&G-ZrStjKHMPn(xm$k)DGxWrn&_Mah>#j?Ei&477{ z6?yI3KJyZrg|=s7<|V^ab|01130#g}yJ+_x1w)WL;5UY*I@OUdWp^iZCge?m2@e>p zt4aaBHC3|BZ>nLlwBo!hF89k<61w)RqKnFc=pAr>8e*Qjm{D+~sj{mAraen3l4tTK z+?#Z2;e($z+wqn?@3(Sj2I+P{m%W(^)-^G9z~-1$fuEtt*SrZ=EA7A*W?I=(Hc+16 zop5EyYNdLnJiJ-9X=}f;BL8wYUE+BZXSbm*YQ<{ZT zez@gn@=52%EsEmBq)RhaNL-i~wL&;%^Og?9Ovw1=lD90k2y?gzVVFxtlU6ASdC6!3 znBfH`{e)tlMP%NICxzzm2-!P9o>DW$8vEkY&YgFGf&WgCC(bdOP+D*i;xt3J)EhJ# zW7>U`F)tCwlA!_%;gW33WHFcC&&|VHetZS&_H>?=F)aBwZeG*j64`1w2FU(RHP_bSR78$da0~d0AV9Abq~U;k=xgiM;8c>| z!tsn=kOU6f1xuoY&jwD7I~d#{9O?&}YZ>P}cnJAvACO$LJo%y7&i@0J6EjX-aKn)c zFPqAp#89+tc>tnj?@&J5Zi`wGmc5&jRs_|p?M6igRkrO`#STh&+kHN(780T@96_*A6b5mo5lHV$JPVv$XnH)Y1-=);yn6 zl5;sM0&AYJs=)m<4_X0uzvgbM4FqA`?{Yw{VfOjygy|Z6X=q*m#hU|`#?k9FE3Brm zY^^^i}X#W{eA3i*qX zADBl|r4*2+P<%`GOXsgxs3+mV;IMj4x-o+*xB;iQik9B%!?o4(TH{5+(t86Af?X}W zJm$o?EM6XQ;8M-Y?#|c`m(+E~AAK#+xQ4uSa>+R>V0P{^4 zz8s=J5~xbMD(!tesoFEN19p@K%C3o8Wh;%GyCnnA7;$lu>$s62w*{RH`!y+7vSm^m zkEMA_=cFK<>jJj#ka{Yss2h$QgH1f>eha zD)8}N->L*Ijj^q+t&X;Y7gaQbs~0a0&shNWeX3hoD4Fa*fAWKcf7CfP*Kvu(L4S%D z82qVUR!x~XGyaq=5ODA9fL!JrM!8>;3Rw{@&-X{I2$%Gy6od zv`~=O#N$@Wto&OeIVATp9!srf-S)hui9&YeQbD7&QFO3Dc6X3%i==ZFDi(y7H{!Rz z#Y>x8@b}uug_3#eviDe4A7A&w(@zKMRcm|`yrbkd~UnSCdj{K*S63O!pXL4d^UC3 zY)IQc1gq_iqzy#C+Bd3_u-N`#RFR|K2Zj`A88q7-uwzV;yW=)N=A}yFLYCivuAAqG#>z zt742?I-rW7_YdVE+w@_$T3k2;qrFTxWip0OIqyWcyxN#`QQXDh6BYGKnp-OQThHhh zQ*1oSU&(748ye(m$AI67nsBXgDz#=}T->~%xdm?9#Fj#+h zR`iwDWG?po1w>9$8Z7ee|G9#;;R7ukn$cN62-Dp$8&ffd3Z;H0EQM?R1jBy887PP| z?YWk%#f$MmrmmN*q{oOCF25SLSZAmw8%FJ_%%Q1Ck+oCIxQTj-v*nPeR*R$5UZm&H z?RIZgt46K;^;*o}m_(3D- ziH%M5i)8+U8y7<7gp}yuuY)XH+_=nmceU(BA3zWPWJn$~PGU+=$%wSQaco+-`8zGH zXYoo7_D7}jf-W?br=A@HTkLQi)P&n;*VDL=yAM9RQjMFVGB15}$j>k5OUistP+XZXC*!WnuMU*Fk%d5+QyJFb>!~#DY@1x^nQ3rdaTZRzoxxS!b+G5g4Hg~f z&I=;d$6gT}$Fxn$E06#yLP5ywXM8+);X)k$$WC>?27E|38m`7ieXAGLFRpL7F8A~x zAOCxI7%D{vK%}7>C96|G)W2bb~GT~jh=C~{$3E$bG+L&Zm3i}+%EBh z$fcLl7n@CTaArd%Ih`}OiLE;OoRiU&ITUer1(v6M8VAMr6uiaWzbXjS)?O{<#EfH7 z8Ukm$GmcDZ2>!qsh-nD^6!%y}LsatMVaA-Gh9V)quiE`$z8sx}hGv*iS$j{2>N!;8 z-qX^04(nU*Nq#+tRjN0T&~sQFdgsLS99D;cKoA@|;7B>L-*sit=D?}0EW90sFd*vgEHZFz!x;5b*B&yf!t z<4W_{@PRA*ZpP&my#b%=i18PafrAom>iEIMGw>Bxnvd=d)cM`C<*(ljTpw}eslM1< zUD7G!&WrQkbLYkTGcrZ`d0@OOKhPX;<+1j9dcT|iuc+QWF=0dXWh1~q1vlshaH0jV;Zf24Ay*P{v4<8rp%14D31wd%jGM4gZONb#^qj3F9jhy_?xK3y)=!CJ$&!!R@^+s;7-D zddGK?pt%*O#>4UQx73R~@5yrkuC2<8BON!Spy(?CaqvOgjG`*LkA>*tY#+L*- zo{f!Xfp1={_-$xBk#fpxkYj4sAu8t!ARWts9~~a2CYM=fm^?qf||qCa=CA~ zhR&)S-z(_-p+AooZjq#exW)AJ#!_x9%j%P^y4;E`4MLZpUb$h-1gq)s>?;?QCF6W)x&-_%UlC*ab>xd zy%u!Sz#-z&8AyZt~C9ABjHNZwU^y#j`|BhS8Xm(x0|VC8w{gh zKkiEN1=8N9(k{l0W%G}&+I+LH_YqfZS&?swx*3;c?p9ZAnd(1srDe`$VtV89p`YGY zLV6bKlxY9UotMVnO1kRH`n}TU%1hT@&${x`cX=9Bx6JaJHNAh1yJ}0(dC}dvRJ+|l zy?!|!VD$8UoN!|~LHlEFEK|8BT(m@cYr&M$=_ENDRT3h(|2FOmFDyv2J6ymPT#Eo7uwii?u@(9yjSbp zlXatIe)hR)Gf6i;nt`^b@JDS~-iRiVFoLvAg~XHNPi`doRLSn`Xp zF7bJ=>FHe`byJsVvmxz9i}5unH=2{aZ;GpN*;)5}&eghXpZh!^H}g_d`u0z{^5Xr7 zJI~G!o&a^(Xjp@e3>-Y2irj%%31sfzc~K34IX;LVjGY>o-h*f9Orhoso}ATaVB!s4 zrqkdl#Gw3)X?Kh3<>1Vi#u(=5;M|~wz$_Z9*EP?dLk1T_H7dM69lS#88JoF8YYq83 zSRT_^!}d0uauT^4BiY+-kmG+_Z!O2ygLC2<1046kGj*o9{0^S0V>q)1FNkTZaZ(SS z9Mmvelm<@+VNVnJ@WkMELK=osW-yS}Fp`Z`y8dt;b$0u7Iy3pp1@9pT>w{VzsvApm zM$1K2ZmfRlZdzWsXg?7vT6^o&wKXFiW{H_DzFz zF`X(ispH>iP`t5Iv^cadS)J19$?Ui!sG~&dGBD1bNOm5s)1uPe1t}<5SGC&4C3SP~ z+BjB#FZsZEEh}F0m5uo8=*AB(eFt&uwJd5%|1!VLuBprSM&?zXc*<#L{pzeuD%U!S z^4=$-S`O8BZ(T}DaeK1&4|+8g$CX#w^^6DiJ%iWybp~NAoYoPt1>5_$OG_yB9eoj- z9$P}M`o0naVT~Glj4E+^N~3_jZj|z19UyKySPnNo0u5#8*hV?Z_U0#iItG4y@KMUi z$j!?JPe#KqRr_Z9!B}0!nzgfmpw+D^acbv6d%&vrfN@{YZi72X;{e!_UkK3V2je!w z?60v~IwuIq>IjzTzEfg05JB77k_4!DC&547F3T13m54)@OaC)|2MnKgHe?(y_XCf` z958I}yuk@`fCjfD9O6vg!Fb4lbAi4u>wqyoKQ4_pVE&vu_({frmvfu5FXhD9)ojp- zvs0@zICm16lvAFOT<>?{+~52+;l$Ccoj1juICn}*GY*{H`gzg;Lwcw2gpnnSswANY z|K_kF=huUGrtN0rxP0frkOP-B|1rR7F3DNJ;2#nWoc9TX_eCAJRPIdBfy+jEaG!>d zz01$CP7Sp1aY@F3SI7#mGvy%4W#{xs#7W4;>BEeZU@vyw z&$Wiz-^J0i9WbA;vm?+z9WcR3jorhFPgG}e7vXcSi2|^uCKx_%2n=1 z8Jj-mP~TF&4do2#yDnx&S%PXJc9bP=u>)nzTa>cPV&?S8s&4HV?-=^R5LLP>tMX+D zJIbz|??Bm$Z$ebH0B{M1*3#H~$&tQ*9pGoSiS&Dc;TQ{S0!8_F#1n`5`Y z-FM#!0h=tduCFR;LuCQ_hR=pF5&Mo#+EBS`y}@o*W=3C=-zHox9$%Zb!{YLVAv-KB zM(i!hZ@7KNDSM#+89yKO)%om3q~kvTszzVp{JpFlmhK#CZ&K#Lxej5%dtYITmKj(c z;9soo{B4Brg%_Q8(W&8cP7a@U@kODFFS_v9Ya8(~p}?_Amz2*Aw=Cz2a4q;Vz}%dL zEF+7zS;NTEH?*f)cEig6ej%7XNmmU4P%_8Zi)5(ru>MJyjQRHF8q zGgbsus9oxqDT5l=UhlKYF!+r@D}uVwF28h`TBuv?%l%dvRHt^CJf;k4X1mlj6G5$N zzcppmDraLaOStQE?_JXL>roNPAsGAie=7((_EyL$!;ZZXw<7G=s|rFFpG#Y1=+IxX zR)k%BQITOc-U(P`#OY@hHFV?szZhyp2D2>RK`k%7(uE8_CidMJ&t2Ey!7V&~$F$`e z6`sxi@QHoC)oC(vY$)tMV|te9vE?D7Rfj5n+S>8}J@CkP!c@Cbrf#BL`e$twHv4YP zXbAS`r?h9&kQ2s%7lL5e68kFe*>cJJc~q6h{a!;nCzx*(+jeYK4)I&{p;{!Wixxnv zu~yzN;Y+Mz-$+w#QDY~BJHmVr#;g@Nk&>ZDHRh_Dm*JO%+q_i}bU;RLCZ<`ae8WpET?)O`5%EPE- zlPFir05sv%ttpFRxbzs8e1k|z&*Y1JK)oi45P7Fw2`I0~C-X`krF$Y|gc3y#a%J5y zz$JwUW57CJ$N)Q_fMGhUe<}&gsMiQO9&Bt*0T9m?;*PYhnC4A8h|sy@O+X%^oU+gl zOx#~sS8#tp2B>%@sJOq3Sk5}#pK?Jo5121Qc_+LM%xJ>^@dm-)2o=^9Jm(5utK$H@ zve@up&IxyBE!TXYblt0IQ>_-g{jtxy%&$)JP<`908I@Sv1nC=6Du^+bhx1pQ9|N)9 z!uo}ccpA2;r5+Dt(+Q{;1=(EwxQKBhudqW<18$XSdcQtN+fgN$|_R@Ji#+eHAzWs_OPAEw)?;`(&R~OHE67KCJ@1_n1zt!sbfV*|v{Mv* z40k7hTbsLDo(`UIai$<|{8?j}C}qd{3-a~NjUrs~au#6DIe?4t&K+{unEaAsmM)?+ zu%vn?EQ#AJA^%J3LI6EZ9Y!o8@=RI7T<%dLZ^`HVHYI~G+9{jq&a$+Y71Md6$)>vM z+T0UNhH`0xPbH1#)1fxu#3UH6cq(c+CfDeL5tYq(#J7pj&9b#=)vSfC#x`KZ`2q07 z1>?D{dRD&%yvS465N)}xusijZo*$)r9lmJ8zl6&`z8n$@sE1R|j^Ll(g*Dg|-ZS+a5&MWo~9fr_f-<#WPyHPM;JE{h+Z4y4-8W#(B9~<#p_|yk!!eg31(0vak6bN0BmxO(f z0Je1zMvu+{-On^Y=_2psY{rU0Y;F*Mm%+o{zMOvs!aL=Mx0)m!7LJd4%DsETnRxiW3Tj8eM9W;nN$M6;@NZY?=D zV}oIMVM@h})nxTBP;0C>NLM6mm{H^-Ne9d-f2qym+=_BZN|gqTYP`DBufnu&Zo-Bc z?S*XZ{ zFkkI9?ts0ew*!GuystA8^Q!dbSpM>)lW{3q4{vQ#V-)%NdIX$@7rDB+9M{k#z$`2~ zmYx{bxi2o1-k1^y=5t?;@s=$Yf7xR0!!fdwlzp7dOP+M{98S!#(U29vyCh|ym<54* zKMR`Iq)$rY(nHH9&6L{%?Ci>Fk_8z9r11l$`Yo~$yM$t*J2PPF{>uT1ZfndcOKUR# znA)f^fA!Tlan|1MkFD5L4aOA>>h~-Bdce$Pa@&hWVty{2kQMhnU6qcXFpdmlg7F>tplh0)s z3J{u~oQfz=6;GkPlix|HD5Ev`Uv`lCf476E*ON2QwzN~lRd(`vJBZj#e$Nh~o=$$= zud4GmAn)W4?V?;h{+64`AnNApw@^@5a(mw$#2P~ZnL8} zO7l*Z9a|x!#@$@WajISR^wf}I6#Xob0$R{zkUmK(Qsv?1YZ{g=$C1~Hi{oI*Boh}d z%>BvH$(I8wDmMSfy?j_4e%a zpVC<|-{+9$(?2UN%{p*CHMHVlI-%O(>j*0@Njq>pL9^mpPWyavX87_HO=v9OSouDxRL+I`X*~9&?cYoZlkJvAPVux`+`v)`*KiAVOn`57-+#X$0kA$=PxmZA6**dYeU5^rJYO_KfGG{ z>lBz0`Sa7{|8jVj|6+i4W z2#U3@_-D@EHB|5+_tDt_hrMb!xE`D5bb=qlG&**z->HUkVuQTS=?H%*W3R`)6w)a& zl%A1n0-PGk0Pp^b>--u5->)jJPB{sA8l(3==^TSUu_NEDm0#9N(>|A$Exl;>c^;z6KR0}S8(*92^`T*ddV zv-vAa!pOXkRhB>9lrsen$=YE|gn_k+VG83{!_hnZc3FPqyEqxM!%Wk|Gj^1ZTkQC1 z#13NvTKxNz1C`xN@zZ_>!LPTCZi(1%N%Z0BG+;AKoJN)GpNT+q_$t3$Rep3IJs|18 zWwARXVaKJ4{4r#Qx!o%Mn$rqb}8iHoMSKfa?<&N%=2j$qT7QOGZ@gZ=V^m!P>?hp2OG&mZ4AD!3@aFf z?lF5hnWyd*wt)B6q%l30JPPRgx6dY{_qx6m6 zh{iU9eb|1MPLIDEjBE%x)o^phLG3v;pyfD}W6vhFoK%4O{NU`}GkP%gyO>k2LQ2%e zppHQYSigRd)sdV@BkMJTARWi_9BGT{^a6P41DzQCAmPGt%8b76#!7*D*ssx*hmJ;X zi8-ihB$E-iZ=V(cuSO5rMvT6na_C~0Ca*5-`zkqy9@Bm@2wQ51MQp2B z90OFe&I;^y(4eH%_5*_;9abx(qhnQmttJy|^ye8JhZhCWhZbDeP2lFO(L^K?hk1><9WG#Ga`Cc5+rwjQYa@3oblk|zC(11vI`$G zo?NO?)VRZy!MM)vY!JDDVTx%PbfI@u96GiEHqhCom85zXYQ4PUS8vVVKk5JWl&tLeqSI~EWG*bDl`%&{#v;~paYV=PcHtPmjzN!l4^B84 zW4(Mp=YmY@BU2h3>FV~6U|(m<|A%Ublb&qiV8N15ICxF2}I4sN%+R|By?FudcfpaSLREzjS*)qaJ; z&UxQcKr9xp(ehpxR3I)Yw#4LSMDD9bZ}3C5Fh7lM(A&|27$zHIbbVjK$slFn%oIPE zLJ!3nCV<>`@0*s=l5%f00?OW)aeFcHp+*hGil+>t_xYV_UGG`5K?S$*Vv>a)%^IE3q> zjs_sT?MpzF$iXAA{b4{uaA0i(2kuBJjJ5gf3dVU!kER9Vru|49LYqBB zw4IaW{W!|Rz9&NkY)LcE6g(s6C#;xpLgg8gx^8L5@K=7=v!b|-@_Hjts|EJJ>pjut z5+GT5Ol29TeUAkcRaVmvXZs2m9mn~i_e6gIgX27n^j;8GFxG()>!yRc+~52^i!L#~ zt}#4pQ5FytY~*LQmbt);oRcgxLrJdLhecG3JM@v$qBaoaZTO?C4aCrl%&@mZn>VIx zk{H?dFHPh?IfTP8;9NX$FmLARb5D`OLeFq>1Oi6Mkl`G@He>?)U1WHd>VUDu9Nrtx z*~NVzI%TY5-PxFmayc3~7?>rGWN{z4IjMriX5dVpippKEADC5NK0`2ayxlEn>tve& zd6Q%0b9R(=hkura-CVK6tQ@{0TDZnUANgV2)-G?Nhi{~91P6JVx2W8s-6!_OrP3e2$KC$biRjXq=r zXyZ3=iv$~~wE)PI;dt62K;TNN30(g$VhYFz4x0yzP0OX`4Br+jNXSu0EL8xw*Bf4r z>wKbJ1PX$3BR#w@XhCS}szd>h;cUcp-Z*n%`0-!?kiEz7 zQy~k&jmq#J0pyh=1&1FDS`e}GNWy}!;tk)Mu^`fTb-aK`n*7RN0OYW5bJB}PgCNY# zu_-aO;r9tUPVvs)(2i9cVcw8T0<<>F4Gza?#iu@-I3~x2JK{ER_+m8r0>y2H*zCJ^ zr)-3Djnu}8_9kR7JMU#97Dpwps~^v(s8}dT+Cdqcm6HQ1E@#GNiAHDyY0K-*rz`0cXvm5p=x&$9^jz2YdV* ziJmR@1|0-4v;X>(1C~E#=;@!9R2iHRu%Prm;J~?L^-s^(#aWuSEdp8?JY%B^>2o3~ z%qLzw{rCBRn!`h3Ssu65q*ZAiSJ0I+0b7li%XqGk9bL{027?EbD=+jzw1A;LZ&+<< zjbiX%<#BAu6qBFFT5nA%7>nqtzsIc@_w=o$F)JhvZg^K!l~wfpaEOA{_$a$PK8@sG zv6<$W;#}5Q?mDigH6pc)?iTaMOw3~AS6I*Hmn_Edb|O!|0^;$&s=TsD*Yix+_^EH> zpMo%(>mTE?@ns8uplp1DsyP9qO;=T_aLov9e8&plH>CG65Xj9=dcn51ZS6N6bubE# zL5Oo(p9(@D*FsJqAPNCne`pD(@7CFwmlsQ$Ut!Z0OALTmy3J=LWa_c-46Ka-H+{vJ z2f}lVh;48(a}6ewap=rtBLnN5#2g{E_#GN}dz30WH4Hw?kMZqIICQA6HRXWI%f+uP zo1=Eh@V6&s$1wqf>X*%y884$YpzYy|RYPhhhA(c5A%bhHeEoN`Ws4aytUX)y#%zY9 zes}(WmW))EO*@k4k8#t4alpRurBngo4&dX%5+)$Ve;Tx0=N7Pysk8~o52zd8!FA3z znSotZY}|0xI2-Mp9mpZ_=S>*>4QD452ovt(L$d`v=FY}>K>&qbVAghClu{s0r|)I} zu{pzdZaqIKsG__b>8t`)5S0?~Lc0ktL|}{J0`Vr&nG` zd(>s%(XEbaVgQOo5gp%nXB3x)yOpdUJkFQi%FaL~WO?~XN>RX?M)CezR6Cft2u4?& z9@&hbQg+>$aNyFdtuH1mgsc^Cvg_w*3o1?;iP&{p%t5f-k1zIHa9G&-myiXJWkpi# zqC^3f_;+0H10vxOhdRE8%Url%xZ}!{d5ID12p2BVt&S!1(@>`Qj%9IN%fJ~Wz0MSu z0qbaufM<9(IJg<=xQK2V1{l@O?@}QzfV-oP&1@k*oG8YflOq-Y%k7pXT$?JW-yLm1 z3&4A!&X%kdF{Wru0$AQKlk;hxMM(C9TVhrSQSJPF(kf#ZS{|}sQWZK+v+D7@w)5L* zs}T3c_XVv8V$oTmXrZ}|j*L}?$vl>_B6RzBe*uxRij8My3P`?cgo0K~s>|;K76?(= z_`Qq;z}RCZJD)RD| zs%o09gMZxCbjXZH9vD|kGZ11{pvxQn8Nr2NZ{9hx&bR=_iHKjPm(Jyzkpt-scW0~+ ze>v}r05QA3z2%l4W`N}Nn6z6SrA!{7H=QRbwz>Q1JcfRoLfjR0tjky-*}}XBq#z|d z8;)2Zx!1YKZ-JQhTlU3GWZukEX34|(o`E~l1$6egA>$X74gUa`Yr}|#ac4DPu2DF6 zp)@OQe~G^!OvBt4cice>99Pc3cp`Vf*>-af7YsaRTF1}G@B-oP_;Dt8K?4`$8CHlV zQebF}rwkp>$MRRys*T`^CRjTf{J9IJ_DdODh!&lAE|$My!_WBhS8VJzk^B`a&Z8zr zD-Wge92>X?7luvxH9vwYwoSDk28;_y$c~?8b5}Bsx8Z_nMneBGnY-fILPso~lVoal zTouh-NwI4VV>mvw)bwUCdr3_VFOCCiLMHmqj z`&ib#I(A%L9bL=n>N?g{m(^LzuE``Y3L!H?0uKubBr2W6=+;?A@yrF#lna}4X z_nh-R<(^yKd-pZ)3arx^5gdODNkADSL-G!z2Cj|>l!vf1a^U;$4;{~PwO=|~0*rF)sw z`FcMU1Jm-1mh1fjX<9UTdGC1Mp^>@X54-Sa{oaqecK7)cd}uP1BAt(EHJl z!=PmKe%i&LrtbY*+(A&+@BM^}!9mAN2f?$^`w=kE4zuwMLAP#!d4KH!mcZ08j_;GrjTpk_^pW94RJ+bubJZ$B$i>%n~lem4ExaR zzJ{V;KRZxU6mpu`WK-~#J)fe2mHu?>mHi>9DDrTcO_7KB2_+*Bdq)*T9{PtAMIJWT z6g(_i6@@G?RqSIm^Fo(WYT233h1g? zbLrI>@?8eB?|o4kD2kCM#Mx8Aj%`@(L2+~`Zkf*!9?NeN1m}0^Y_+I!%;vrSkpL#( z;|e|QOY$I`9*1u>V~>j0c8QH|gjmh)jI(hC_sL`jIFR4Qyj;9YOd1IUo{n@7LE(SI zwfp(yQ_;^iE=an0vuDr76~SFS(apx;mWpo61`^pO91-1=hCqJ_L2TE{B}UCQCyEMb zjBPn5Swxp_Q`*Fx&(|~j{-_nz#Q@)IEdp9Ob%jNve?`oztJ;Eg2pivr%u`=Ddokoy zKzhLCBQsak$qZS@7NC`RsJt5V8iEK4ZD}vpZdS2zs{xTK2TDY<*yf?(B0?m0(=$=G z5KV72DP?}+CkeN3Hs-%T;2(MLT-AXB%ov87>zIMUJIM|rC@j*vT&%xyAH^TcV4Dq6 zvMY2sp2xDpm?E}R#)}9CP<^+Y4XLj#3~w1@6cJ(`+kCpGzAmqrIJa0w#a3T&39;mg z3OyJqVwi-l>BWT9KnE97<=J)9aVC`Qj604I(@AlN{L-Y;erL<+kQNc6Mw`zIK`f?B ztDcP4++g7gjrFe>v)q+L_}z>ST;4yX3af?N<@zOo4M?MSGG@;pt4bf}_RY_MF6n{K z3GoX9W?`yXA0{&bEPmz*-*ufc_bRN?(jr$CaphMlzE7m_yM270Ee>UQ;hyK6*?jm~VORGN5Loa|ZW@C|MA0jBRv#kSA z(LS7XSuR=Bch}t?wQ+c`@y{U(VzrR`wpO6~(_pizix2I^dJ=r+8k&4T<{I8tk|XOI zztz^;&`^POkB}vb%i4!KFG}nFEEyv;ON5uQW5?h9g^p~vUR9UyqSedQa(m14sq1?7 z8){ai4NJot7LG*rB#E~g{Kl2VH|ZV$S|@jQ$jjo_(d5PiJzV)Vn%p>}kV{GXQ{2mi z(W(MJ()-M$2Z;3L%DsN@3Ny5Pu0rzyWlX1pJY4x2n%rQoEBO|h)a`8H+|gsps^`#B zX3MD&CEg*zlw%>q!%1=puetr zI?eJam(QGa&5Vnu;zQvwWb8^-Eg@t8=lfn6-T?lrW@))ANOkz?<$Om8>c`8V>;CDh zhA`K?sCQJ8H(so(HCQCSr0(`72Wln^F$L*}*x9OO;1>ue!c{Abj(mi$swvTd&mPv* zrXdh&mTVGYL z^bTws7Pai%k&Vk0E&Ihfpb>w|?&%I}n%;YMWMgh>IW*h>O&2R$`XxH@5#p*%9r>t# ziwJgrV-jlN)emny`FWt@e7q9=$b1Jg^vqt%fj)fdI_o>SrQid}LNk0JxMkO92R>cu zXxXD9pDuE=>|=Jo)Ag8^-8%B=8c9octplDe+pYRh@4)B%>V{+oKCOMO+G2Fz({+@V zqvIX;e9f`t1n|B6Nj|6A(%v&)k~HNhwy>hBjv{B)?x%Ntz^b*R^m_0^${KP%{Gjr;zM zny-lBt~C5a)J3a&?NO(`CGDrf<6xd|cy!Bs%T-BVEpm04<}0F!<&1={2$Io$uJ|HG z%XvW`CBD?&a$(X>#Din9uR$Ik<264m9%@5<4RY^3%U48~7hB2=KZD#Y7n)Lpm(lVb zqvf`!V#uS6mcQg32FJh`IM6Mtr}Fx8%QQ^_rq7s8Z&p=DzTCMZNnaVezRq8dBfcr^ ztH)z+u5ae#NWR=(kB8>V32Bq!#(-$M$j?9Z?URyz&bdDS2s$=s=*065O~dbnutU=T zdMf77Wcr^jeI6{I>JEUey|+BzVo+~=*kyq__UCDr0-5~C%^<|TA}$1(xWmPuUjAmn z1t7*fZWEwif}AEXWQwn+xBNZmFu0387k3yuci$Iv7~Hy#h8zYr=@W5>!R;6`9R~N{ ze`^jyHs@z92;Y|9a+e!JeOoSL$XNLaj+VC#mlxw~h!Z zMnCEXt;a_k8r`O~!X-zyXg%6RQyY#?J9zFElXVvZ-J*5>gu_6$Xgw(G(A4Oc#2lKt z#f51XgAnKGE(V$Cn|B!K6|LcjL-!-bK4BLFbY;?}d4g!IG8_hVf>vE~7}RfCe;0BX zoT+_5%WfhcUv53b#h_l%dW7L1xP|`D#h?ai{e$Tsc*ba*;^wf@o=)t%hik2lx|G<_ zSk1-ZcAn_Qa1T-kV>lI-y=?Jcg3uC^kkQ<^hAi?rL2iO+QFKEFPQBlv2+*0Ow6|0% ztCrFn4`YE_SZN_*F}Efts3l^Sngpi)%4Xz}6Z&2%0C8a9AAr0n!bsgRnVp-rXkObm zO4>m?rk>%VG=bi^_OKv;2c9={9Aq;Sq$Fi!s8s5eh{HhFP4QmA0-)=r-UvH#bj;Li zrbDAE*Nt}3WbfLTBQK+9uGU-(R6aG^9RgC2x)A^sQ$GY;H_mVv1c+)5O<(6~x-&wI z!Vev(j~meo^(J3IS54}l1x^9S4jq0x?lGH+CjeYG6EFT7h0|-|2&|T;t_-8R7i9;; zgC^<9RMF99>R!+`19eZ`8FMixdG~W%mI`I-3AYMW{!zCId3nmMf_&A!qm$8d##W6V zI&yU7(CQ(m?;%lkG_q=Zb;X(GqlOQyrpnB_VmfYOx^&V^tifD-HQsd&dre3BL96|s zHQ79S8VV1!{|s~4_F7&dYH}edN4f4=lggCwstQCs8Sq_}jz2Fv6utSCAY{EJR#edW z%;LYNnL4woL@enM$CZtTCg3Ym)#W3q%FzLr1~iDf0Su!zQCW;TZxo2;7P4ZsDQZ{k zZYsW4xB0tBaRfh`zkHu)5rlkpzn%{oium9Ig5_Pd5e2^!uel~!#0NjIfi`Ylt$Pp! zosMRn<$IV#Al4K8O&@6v!1PiIJ65*m9b=_=%Qj5P2mYE?xF9Z*^vN=+GX_}GD&5zsf?&dG&bX@Q zvf`f>m`ta)X%eHjsWHDz2&&ig-_SN8m_C|cO%{g?^YI+Ygd>UOP z0Nro7yujga1%B{KL|jsat!2MPirV5�{Y3%I0!yTPSO;MdF)oPHqo4i6`ae?%M@o z_9oZoZ!H;BQa9Tl_Ns|qvix_EZKC?q zQ;W3gg6EvCXaDa)o?n3sjeswkDoAhXg_*)p!LCu*|;qM_ukR?Z@Ml?`h_#u@zysi<&fcr zYM1?}ZwrY>p82Gp=|7>OFif!glvUH0{zF?W42Au{rzm(jj zF(3lP5!UoL`3erc`6#WZEeA;_g-y2>kIX?*Vw+Fh5u4ns6C*p|olaYuuTAa%lA5e} zui{Pb#7T|P^!<)_=Zp_XY|s0oE4ZUJ-ED3M$wRDZQ+PWt?7w6Kjoxl`faDY%ydyzU zQ#Mc85u2RM-HaWGlatxHBQ@e=R)n@=Qx17~FU2`2cAau&E;P4i zjZ?iYzfG94SCRKbY^xHSl3v@b1fS@XZX4#>`_%rDMucm}g>g2zB#h$Bao8ud{yWHV zSMV9{+?oBW>25gOJtI$hN8$W)^-&QA;@Xqgw)VFV{%CdY z=(a%pup^y^9r&92+_uyOH#?d&x0^029qyH&Q@i%+xC_$Z!5Mvw{wBfN?ZFr#!k7R1@QL6&7ISs z*aAE02Ys`>XME@UQ0LsJ?VJuKzS;#Q>kJ*vtAJR8Yx^i%+=^@HT1U{luW4J6+$kM8 z7;5`2x>GuIeW-ok&^C1ZdwKhScyS%qJg&|Nkd*c#lf_khQa~3e+FGDeY%=lDp`*OE zg~6TDq5XAR!_GAi?Y!Imo8Bouv?p)x8rmrxzBJa}7dktU1ilR0_RpP*j&}I%yGD1; z5m)J;om8TH*W^t0gd-Is@|PqNzGs&{jm%dN+craIXOcn{tjWb#Y20`$o44ybXMv8K z>h6(Q9Xf94cpBPRP3J|u{l|_T*>B*v{ZO0Q4}$E&8vAh6IEt0V(8EI*2aOjpfJw|_ zjZ?#fXA%>8<1`11omQg}v!$3Q8z(xtn422UbEKGh8^<~%X1m5QaZ6Xe<*~8av}x+V zjZ+Mprn#e$Zxf+ND6fzLJrTKyqOi>kp~nCNw67t4381nJ})Z@?5ZngaV=Kx>Oc)PF>Wa7pKp3Y)sXVHB z5cc%nFC{x52IKXjz2xyR2wK^#S;ja-h}Ql7YtzCFBH8-W;}%Kv8l(t#`fVR&5P|^! zu_p-DR~i<9r*BJ_D+!u@{ajs2PC`qsFOOOTJ-0tUDG7WsYS$l3OMr(2@r23}QtF1( zay(Ur6b>YNGxHW9W1VkBbP^sxD80e7WK`d2aY^9gq;Oz_EKwPgmqsm;J*@k^B^|)h z-O3=Ostq7rvGpfK;@A>5u#4@8TVQ$Vq98S1%wT|!d*2cSbO|4Bi047L?B;ucazE3drJuqe9MV&!d? z-idS!^3}<<3}a_qd06R@L-XmpB00R3*Txi$<2O_8?y**O5`kA-8I{8RgE%QGx@Z9!ibkJbd2HT-_Lmvi1047uqgu=0Om+E3aryhPjaF04;}d zgufjTlXAKvY~7V1ix^Dd21=fZO1i8cXV#Q=(?D5gWs}dA$(YX7F*lJu(_Gzkf3WoF z3>&e2pHO1X-d7cA(9{KV&|I5UVTGV*16^)4C8F%4!F0m8wIylMgE@e$8$gnUvcVKU zARupRCtBA2JgatPgSbMa@0b=Iy?yIE%YE4Z^uR8MDe5G;vKi>IchZ9P$IKFZT^VA( z>#og1h>DiU7aNqks9E5C+zKVHf#kUQaZP`IBx%vQl>bpva7AjdfuOp5V^cJ{{h6q)h+a;`-7+Cd6VoryrCk)7D_inuQEFL*@Wc7$}MfI?%5p;Nap-z}l!z-&R z$5vF2EFX6^?##I}3J)V;sCw9hQ5EB>MvsE}Q3fH|I`&g_6`D(2-*2 zpL*MomVvITirQ$jah<#t#ip^7Of3l6QriEg=ElsJfIK6DY4E?I^d`C|3@1qW!^0-^vq2aDBU^+BXk=hh>Xv#&; zkV8{#_m4X?!mry4qzVWA>Ly1W8ss4^F-13>Ta2Rxxq7rjSB*NmdVJML+{{C_jkrE< ze&YFMbg;hqf*4!%;M|`<$KZzZ)f2Ss!6-$mrzExq!xta-8D=sHi@R0fYWzKOeD%2D zBde+)s*>SI(N)u~m_EJwVvL5!#W-JlHtJ)X)+t=o;;**zv%WMC+D;GqsJP(##*m-&!KyGOvNh% z(63gX6Dt6Bm>qZtsttph<{(d(@m84MK;3pHVB5jbl4ING?c)e`zqVa-+#QaNZWqT( zgY%Nx#qo0B&E|G-+`v~F+r?4Stv(}EfGgT2{GN#RbE7+qr;&PDq4^H2$W#0F1!(j^k;HJkr>4JWU~e0rzySZDf%KcAsK+chBXKz@M61eR8OXeIGpL z?$zbSj^mNf_Hof2##5{AAKGC!U3h6b#Mp5>rE^bh$MI<1)^`f$3YGp2>A{g_oeASJ zt45tQv|>D;jdDbog6)UfQkLkCJn*;b!U7ndWM4 z7A->7PB%R)%GXpcit;td@SrGPa_wF<8efQ**5|1 zON62w%Yy^U?9GWA*a!U8N$y}iZnjpI<3PBgI z2HzK#T}HMFC3L)<<+as9363HIJtw6*y1{F}0zJJNjw8TAUH5ksxD%p6@|W*k$Cxe*LW!8uu-%5A?i2_W@!M)<0mw5O)3D5v7DNTmKj-u?a$^ zgBCT2kne_-3_Y~|<(Q(-`|6*|D++z5{&kx|zo`EtsI1TcSddUiWMC2ZQ}zc@jS>x_ zP*$gv8aZgPDU6%?r4c2gFu&FnMb6j76h&d?Z5f5KDX(PY{5_k(0nMdJOEeJf77k2X z)JZV1@PMGA;C!K6yrcn*tSs!QjGTm^7w(l%NCdV}9t;qYY#tI(GK9HMwvo z2QQe4q8vRFQxt{ycjb;Qk}dpDvt%bynC}G@l0sP+Run{^Midav>mE;9R6jc6Ty$*6 zBI(}zg&S>(Y<>+9M|L8`vNWzJN>VzeD5^tKLQxdw_nM-}=IWrLDC%EyMNynf3`K$H zo3scHtb1HhNZ=x&-1SEt7WG!{u%a&(X_`VJ%tgOXD+-}3I$XKKl>o8m!jL7SCtep- zCKW{<1{sP%)fbh8Evi3-nX~`O2W8K-^+l1kJIFu1_n9Lb)FVHy0iKExI$WC<^5fWrVIV)|YBZ zMrP_hG!?ghgpk+r&~HJgN~y7!_siD2vAbfi?TKO43b!f)e>qWsr= z8L~-)SeJ^~Bn9k{CW#Tr8%GRxq*d#^qqhXVXqOKj3rArmsswt9N zBJSuSHQkc75N_))2rE*DpZc=6BI#-U`U68YNon23v`GT*9<@o-uihSM{b=#K{xG|` zbi!Rf3|JYXPHp+3qYL3+Ti6fPt3SiAv1qUQ33;0&KO-C|@>qX^T|J$2XC-VfMKL{W zlN7~dM@kjCI%rE#-G#$U>}pROR@rY{f4YZeQH!R;AYP3r0&W(q)h&ve<2f?35_(~& z*PH}BhV2Z2ow_GA(4{OVy5{RVXi+)3oO)-?a*G;5-Ma2JqVASw`Z({YX^Kef5P~jC zTLg9Exh50gegn^Dpk~RC-kRowMWE6(%|VNR{hFqvMIeqR6C~RumCYMIfCuD>aKE;;V!t z$|?A-f)+u^Sedj4s_<8aMNoiWhb@AFkdr);O)-2OwAzcCd>7Qam^imgNy#SSz@QB7JBOjU79wgfE#IjpV8gV?`vG@(`{SunbsRSYJo zhENq-!xljywW5sXsTx8NwZ<(10o6s5AOiYiorXhgibVUDS0cDtzI$xu5HkEDc@!1n zh^YNfk_cM+sXnKwa{QUqIOnTb%ZSP<&U;&g5miHIR;XE{D~cjn9a9uF%Ic6sQTkvNh^vnye6tB%3_t@%ExC^oMtiqw8cD2j-n zA}ERZq@u{=4~C++bwF_R)H)wYk<&VK-rfdgw~uIDBum(t+`ic`7uf<8seNI*?xv6= zDu-5B?^&q3Mfb3%!GDZP))0#B7mW$Ji?8PAD3?c^O~g+zCdQQ$>Zg!JP!)bMErNp1 z#zD-KZ~CbDSvnhW_7JRPt_m}Z`g*Q9&1KxS2y40NHifj-wuf+bHM;^=&~r0l3Wf~l zrrI#HQf^vU$&l~dWSc@nwb?vmvnr}^!990jP~j*&Kc|_Z+bC1KQ|mDV*MOmt3qdfm z0^uy$K$w{o6%%-gtv!Zt_N<_c=TI!Ux&n4myT>uqM$)QD&LlMDE;R_HlEa6L7+rBT z-;OzI7;fmO`85u%D6gazNnIj|QI)uHjqWaJz1w8zsA_sgL^Z8nOc=)(pYb|m*Q>GV z1wpF^Y5v%1FV=dEcHN(JhRc^v)LkF3DcHxIx%fL`X!$s-BBcUR)aFv;q+781p3|d=~I}Ar6+ehrMb+qW3tFj&1lJ>M5xjrgY+4kBusNheL~Y6|ielXyQxqn{6xqN*2aMO&Mr0YbYd=n-9H7gk zsiBM?baK_G@PzRv<8i#Hljcm~?-1ep)+f^y*w!x?Qa+?=MAi87ybHL;dmpNsf^VG3 zS0cCmBgk=J>h-Mn(ldeX1z%pLY<(-@3#RWlxxsvQSSl~;fvT^OXL99*PUuxMJ`cIA z`LC=+&c^0H(xF8A=}8pD4mLIi)0){C74#44RPECvJL3l(wX1mEurCisY~&JNVoTIWMn5>P@l8fVO!6`6dw zWnlBoL15t3Gh!{jJ?|$2w)MgqH}B6GDO25mUea}bKOW`vy>xP2W|?&)fgZyWkaSg& zS&@rp^Hs?U`WOZKDsw1lTMbnG&wbitL2q+56vW2*2;Cpc$LtFMk)Us#i zxuer`uQ))cba^?QZ-7VTXC1q)W8*rt4aH-4+*)s6gbCo7g_FNgDrL| zv!xBx%CgbCf7oTijy=$0uIc4lF54r@Hl+YzE2sO#C0;v{b0*=NULh`566sV<13k)O z5=@D)vTVik_4R2-L=LQE27Y&c&=F9ppp{_KPTb~wC^A$J0ibOxbDMs&xa`S_SL#`a zHFcIl4%{}^oK3>qo@~wuylb@;gsi1%=r!NC*>=og)1z@1>1G+B_U8uL^v^ul9ICq? zHGdgqG}nrtICGh%98F2X4JFZkX za*Q>pxCaFvBt@%67_-B};O!+XfxJ8fa!>9y_{i3CNl-$@f^TJg7-#YzIaHl4KPUoz z7oIgdV`1@N!&1P2m+euGqz~Z~KV!>=cxM^JiY93KD^%f|oVkXKSoK5P#ixOxM&72C z2}`0?kVOtfc8Wlc2SY9`yZ+SF7wJk%#c0iT97mw*adF54RJnpUDfKW=_h3{R;P$2- zMDBUYLehRUT;d@t;$AGZH}$l|$~~n>YQy^Ki6mFKwI^gJjsJj{(?k`Pa+mq$ktW0( zy&W8^wthmX^0H+kIJ5ccKBOx513$3P6Ntfk=?8Mp=*X9@P?qGEV%Xh_5C4nCEiwFUQE!f|5 zp$ma7YgPRqt97i#-`bm^uoCH@yiNF51DM^c3fN8P>1BQHNxSU0(&+B0t+5b5R*R5; zd%g_JJrG*oE-6uf)=eh(GU6c}hs5R+k`P-X zicDE8D=UMsC#`SE2LY4*l@<3+s{YS$$PeLGrTNLOnrP~$+nQ?Tl0(|+G)>pW0FVJP zfP$oH5s?g`u00WATkdYzO;6=rrk%0cx*~4#xrJPHvYc+pYrYWK4Y^gx^@U*@WgXYZ z25#bp?4hbF=N~KHjTbtmQ6I9%eMf9*rHaZN!Wx)XTI?fXO@j+n$QI>Rh6}`OipE_{h2Xu8 zbS*aJC>g3NDQ(q8Dpmrcv0b}d*8t=uEr&eyzaVUNQ+?U#17Ce&dYw?4$P{#Fon=R9 zz{+VvIm-99gu+-%ZK_ZIwNnpEcq-H?c`&-j$>oa-*#tw+Qz)E_45{Qmr z5Dq<#s@wWn*w=`J;Ajv9z&3@9VlYUT@sWKmyB2bqhG6-axJS*iSF+~PuV3?40~pS$ z-6C7NQHwaO%K!@MtA7{;0{5xo#!cx0sTu;C>LLXa8qqwrQ=d`CGjt?yPt+I5IcdGh zC=^oGIAz@T)L8xUGT=NFaCIG+@_^I5Is_-Jaz50Qhh1-gaL&k(b0PId)jv{;!~SmN zZVi9Y{I~ddQ^QuyYMbsNJ3gLd*JzyqsjHJ&ld`8$O$S?{Ah(try=HyFiBIjAXcpYG zmxV@SVD#`&i40{+HtncTgB6TFxgSBpb>{^ZyU*A_m2GZpxY~T8>1R-lQftos`WeFy zWsONr2`$&cdMI1j+n=sOgHx*nOAEX*>q^qL9%trk7`Re+pPjWeQ1Mqz-vBXVMO5y~aR=sTnG$~-!QRUg?5?jF&#(lUE z=n)9{Kxh`XhnTF%YUd=w4#b6#;Y_rRxx=AY5p3#DCR}ypbuyS>jn#WO8lLJo$qw}B z2{qZWXjLl(RxjZR8@5;9Y)A|bIqo~S$7>N~r#qiBqc-f2y8#D5Q-{@5v}5c(LZmAlTR?sfh|>HJt_vdt8gf zO^FF^U;=D*#mZnK1+pT6uGTW0OUFKDXnKR}{m!x{D`dG3^tl}(Wz?!HC6+U>Wq=ML zhb(zA60!UeGN|~fH&cq5o+J%f8>;~WJzfifr>a9^7LD;_zQ6*Qn@`nTOoTu+l)WI( z^_*l!nLTs1Um8+U>;exO&akoZu3ha{JoD*dx&^1iabG;Ber$jc#+1ff(RNhB@VgH$BlonkzYO`!kmGcm$|l3u&u zWUx_|@zs%%k{;cdz`cHvl2Kjgc|KbF?m|!K$pT(RZgB!}tI$mqdgU!n@3d72szTqG z#n~%t6@IU@_77T|16ARmw8c3zW)+SITZN+)<~UV2A#I7uVpd^5*eVQCm{U~Yw6rA( z#jHX_*eVQDm?~8`J8g+Z#jL`ZuvHkZFz2Ykd1*^@k*e1u!{SWUtim)^m~L8}8F8yH z%diTw6=tp~Tw_|I>*7}7M#CyZ73MZo_@ilw?uuK5dkw2_zrsAI3J;r>=&`s}c*3v> zPbthZs_?97iJp&Jg_jJg@QT8`rV6i{QiQMB&~2k#==CLd?lX+SH4*yc4GPzzaFd3@ zO(D965QSTGS-^*S1YV+oUiZ(iRd^HXx8;GkCoOP;5kwyZ=>;k%EHJIYM+RN+2j@RY zDtvs|^OvJD3sEyGAyUWE0N|e}nS*=JP!tV|XfX0ml*oJMM8lVPH}5->aJ;f@-j&ravNW443Zr*Q6;@$1R{RT=bz0Zr&i1&c**4>aM-a|n*Z*z!vkL2CFO`ycm z`5qkIlp~h@*^3Jk?=NY$?k^g6i{CZeyq}|liznQ?WyCAM=JY2Myn=r4Q_P1) zx&^o#=`L>3OROKYQM=I**BdP5#lY3x_RqO;(ZbK@M6SU67}#x#A`I%ykGOg zqh2k46wA+P@D_XR{j3wNS##r-5w8H3C3^*Z{6{}t+y@u#$jimZhj)CeN`kj^6D0B% zK+LNm#M>Hl^S+LQ*C;$VdRRa-cJ<<*BpP?|;J!)_uP4y*M?StXh_`Fn!wV9xj|aCh zNxYy3w=zP!z8>5vjd*(n-S)nPmT253=HW$&x1SfM6Yl^I?i-VMng{m{5TfzmwAthtcJsc@6YmHQZly-Nqdho!kV7;cue)`ZgAk2n9$s^TcmwioT(d#E!H~!w<+M3S zywf6XUQ?2I<+_IlglHV< znq}`^aR6WeQM-52dkobLH(kPN!8qfmKSaYAL)pey=9@Fcl4}%;<{!REQ#8D*D<<)3 z$X>&OnBoQCxX%}{l3lvc=MGU!$N+JpJPz_qoo*IFq0XfD7^1K|WEGmjbmP!v>wbP1 zrXZ+K1cD7wWmc4w%3JFKeP0MWO!>4*pzj~!ZXS*O!0vZN+`KHb3VF1jF0@Dc)j;3- z5^i0Z8zD=&o<-RHZouWPYB8&c}*22^0re?{HAe1u$o28sL;j$8-! zzR?bwT=%{{NWGeRPw$)1Mfh~&x!}(wkBoxiE~56wytii{EUtdvbHkQt?ybL|LV?}C zOS*aOA>z&O@?zliJp&T?v*YSJP*Xg_C6;x`TXBhHU2zV>aXqP(R>t24th=b)kjI%$ zP^kz?7sVyaD;3Xj@xRQO=)8|(W0 z9U47EswCUh0GYS*B@n}*NqWU$F7LT&gc zqD5nj^c9gt)gz9pGAn zf_0pvLk#@ytMOO<1js!PNh)=`W$NtQ*0&(*BT`zy)Df^U+G{VRZTG#vJ{5Vzq^!^< zb*;XK@9Ca;*2$Y7-A$wpi94%eG9vkXXjeq6{$kix|6AhL-?qF9b@Zph)4uA;GySK0 z^!zMb(hW@^A4sqY6EvaTBo=>zFzH}JEKcPEyZ(az^{lrr zDi$w*FXGsA=!J>;CK@Ph!Ke(!h-%RTJyT(^qN&f^JRQgirYb>IfEvcg;Xn=p@@%F?fWq3{l2H-zFj_+?A(o6|z3~Q0(3{A}bAX%( zi{4 zsH?*i%Qe`pj)^7L=ZU%@j!hR!Zb}n%a}--pEV)f5>UIq!=+TjfSaLV0yFlF=Ch9(H z_r}DMSd6F#Lnx)il7|`jh=vl7^O<@A)O=7+vffibKMB1!Q_q5mgZe8Yp9k`m%X zmqGmv)ZZETDv*B%GQrdvpc0_o(#iW%C{I**9Gfm0Ds`eNO>7a-Fg!xk znHow7(QsCnsI#%16%!33SvCr?k&uNGBpYL(lot&Xn3@P`0;uy??+=il2fYjOguF10 zO&1MDny5)pY(dd*iB8ldCbo!ZxQwaGKwSpv3P#QZawd>h1_^m(5?fd_%wgmlAm;!% zkEwZ}=7GAN^=^oYh8v)FbAL2R*@Xm~hA)FUC3(xTz~EiXn2Q_?*jQw zQZ&%upUmEH-S>lHi@Yl=q<3@IbHkHR*ub0jU12YS^SSQa;J zt)F6D_6Y7qE9HS+X&77=w?)5(XZ7hvEIrVxAubwzb9`+K|KaKnQNs=JwG6wcLD7;D zS}_mw8jIamWdan|$^*ToM6p(?5fw;dGsMzcDLYt0#)kiYj1zT77$s9Iy^CdcLv}Z~ z_pm`Ilq2ag8}z_Svf@wm7xIw7(yaNS&5mlBOf!A3tv)L%d9x$@<- zJS7?{lUR~6Y^{O$>yEs5Ev-^P1ERs2Ejl@ILvHiIv{(f{5S?n;qSGT&pSz%()~uiz z6^$Xs*O18hU#732rK>>c3ou&gh=%DeKK=UMw1O2Vy(NKzI_j5Ly9$)vkM7PhcKtJ={rVoT=zYcZ{FVT0Bb$Jf;G ze);2npk*#>(3+;%zNV$G8*<=jwAzI&BpRm&OQ3t1j#5%I&M=6&B8XC4G|u9D%z}|w zQPDVCBiZaUHbXSdW!YTF=0Y})W%D4L2if%{guFh1EhHLmG>N(?hD{TV(Iio~M6lui zZ7jPTG92GE-odgvA-fZ@yW@nsCybIQ8t;n|^(P&rq-cD=ASxC_DJ~ixV%fuxJp|dK zEPD*HM&F z@fFU}D@l~XqVd%{AzzDQ(?#R!X`&KQY(dfZCd=M}>@CRNVcENoy$jiU2|~Vapp+Ml z|B4Z{AcRs{G=3N%3cHWS4-=yCKP>wgvj0H#Da$^C>{H187bfKA*!~w2jkP*awI;TR zXsizsRiDHb7L93^r6Eg0wwPs$AzKVtL!OXJh&0vnr^n$E6%lbgp8?s=8kiXMVN{9?y8xWa2u=S0J z%w9TCdzsiGBJ+Ee?F-KDAv>1!j?++r-U%$zAv*!GGS(}DUK#WT1j)*PB(|`~3}V?J z$Ob`n3d>G`>=ejO%Mf*jDUMASnW-$B3fWZ1E@9a;$fiLyJx$2VqS%5WGb2IN6$VOqk(m`E zDiT5|Ei$uNb`@l^A)Cvxt09{U*}MoLuhmdWh|Kk2qHe%;eN1F-(uums#1;{mTUd50 zIJZD{JIii|>~_fR3=;CrB(|`~+?^-to;WsLWbR87^`|JdpvXMHvKVAB$R1+Z!;n1; z*`o{-Zo?#W>8$zblu z@Z6Jm$wVn4GCcQWF!y9I_heqv$>wX2y#`q#NK^u{1Y|t-WH9$+F!yA5?#W>8$zblu z@Z6KZ+>^oFllfPg^cFz20J0AgM12I=hj}cCu(;(T(AWCtO`8q_@*LiGFk@=Rbtcr-tDsWqaBwG!=)}+Yb5FAuH z^xEPg!*fsOyO_ve{>glwC)p2>z+rt#uy`x0!qob4**?kS7aEzDTH!iXV zgorvIk1Z;)2gZof64*i_d$38=Au(*4$R3&}>Tu{C78ltgB19bt*^!Xp7!TAj5p4K> zT#zUn$z_jAimcB5`aw^Ri)?@P*FTR^RAdKggdCX0W{B+I5~2ntu!ThSlrT}JMzG=k z>2adY2%}_*Y$!ogIrPd6k*&~)s)VcpvSCr8hU+LLMfS`zQJC4XRZ)>Wn{AGWi0lY( zN3qS(&>LlnY?y71NsH_lLuALX&GC?pgKT1wtegYAbMXJ%G*Rc}u|-Ap{4h}$Kz0HC zU&J=6p?8rfvPObrlhW7>k)0ADYHAFdCbE~X&1uk^2JWS7b9!E6r$)GE85s|&2 zL}YIYl9iivl#(JFjS+QA0$WIA`8X?kJM?bXMD~wt^Uk2i-VqnsyCQ_V8?w70yRU?( z`=EDUNM!G4n-AzHB}JCk*Rl^n_8?>rn}mD>dXH!#`zYIdJSeh{#YJ|0f@Dvmu^A%! z6x)0XdQXK!_Gz~HjE+)LWaBYHJ`35iko}cyVh+qcuZir7I>}xNqhyNg-`M8MX_0-| z5ZPDR=BvM=Syx#kXH?L~}3!kquA|ksyO5RrJC?!R9Wt^z5!YG*{`*ngS98+b#G5D3;b|l)H zhxW~Z6%LeM92MC$K?1HxVuNaXo~X5PY%u$Mh^V}QQeI?#ND}pmg;vyG_5Y;7yO%u7UFpOr$rQN*qD1{4^bSah98YvPOmsOdBQy5 z7ej{5$!`V}xl19t6kI;`%UuT9WsuE?5%P)zwonOvqU2D#G(Le#LZw&4sIeqdzOWyy z6Q@?Pc|pQm2VVcM;*Cub?}8wWQkxeco`F8Uj97dPY^+8+gorVuco%4V!E#s_?-h#S z+S70olJ0wc*RMHHdaI^xaS4=&)cJh<9G`Y}BX`-ab=pCJ(iaVNgA5`605`a30O#6f?@I7K+{>~4Y5*K}IGQ8sZ9&=FaZ+vD<|1EsITXbngq zM@DTV`mD-lx@7!0SelHfTYCk(k8r#@$X)I&J7`#-*V3T6F_@5N8$LF>efrd)-(Zmx zHh+wecS4>O_Ca=i>e#D4#!73NR$LXbD&&LgGU&q_1A$(jh1D(1Wb;hj2N`%FS+xLb zzVN=(wmHlXDL%dqA9CpRK~UXJO*V%GeQcJzdtc@hH&$7B?d3182P0vri5E}c6)QzDcZVF6B$<1gDO8j%& zj5jQntW8vNxjE|U|5QM_pGZX$McfpajFOvkLdvA$<^r3?wYlBx=Kdj{Jr9ljMe6oq zZBt+}N^Yt(DDls6GgY%#vNm_R+h$PL|Itu9K&0+0);0wuqvU2V}n|pz? z+h)j%&7VQz|3vEEVr^4kGD>b{X;9*y<7SR#v1D!TkGR|f7j!!q(gQ{6{$g!YU@}T> zu2B}9`3pB^C??nDLDS`?&!_*Zf>aZ!hp-*X^E06Z-8jqh7w+aD2K_klD7m>^Bbt6r zZSK~Xfj{i#QFq%k-*@F-Avj2+9xc{31tz29=00W7<>tYVVzQejfV11?i4PCh2hxK@ z>WN})Q(!VmZXVH;NvAeX*gSUgl)G(C49q(j8i$A!&W!z&R$wwpZk|$JT(x=D=CPY+ z+)2yrv*k|^rf7Rh$3VJa+S%=}Owu3r4&S@sT3+k78|8V3OT@Vk(u1x=lX3l;R6LIBGqC1A(l6ZG*S`6`GZPHo|h zA;ocdV~}xq+g8SP6fDRe$F<1ti);4G{YwElUZm1R`j@MIPQJYUWL)09B;)e-0U6hE zQ7bIDTWU!9#Z~(AEdu~ML8O)%{`tafaLSGomp29(mp29(m$x6vxb&p5$N6gV&DYlx z*F*u+MXI?-zFgzSiOU;WLzhORA8L1*0f(--RB>k1FTG>Rww=2(J!uslcUNu=WwUu zI^9sZ9M|_zzqo!GwUYLY{Y5IT`NvflLmcA~H%2PkJ0Dl2sdPE6UrfKa4!h)lTL3#z zq}E0KuZSu`m^Ok?@3ETjY+FT@GzHvFWcRpXE>{uyZ zbphXe_5E%Hry0Ng%6CysU0U8P~x8*R|8fVtsn7ZzAlWrd9vS6iYgw*)idPR zj(7d|=Bt36BNEN@#5Gvd?G@kgxC&xOalX7U z$hf?1E91H$3WxmTeC_X>uZ!+_QG03>Q{ZPhro8gJ;D8sKE&$!^}Wq_S3 z>W(h)Z%5qTt?W2)d1H`qd1H`qdB=i`>kd=d<9wZv^o#45JKm@R>@-n_OHTiP{oWa8 z6u*qiTPGRUJ(?%3ftp`jAFLX59bl)6x`D|ZkE`KNhO*5$W z^EB%?=P#yWa$HqWzjoX&eDuSBm5aKww4G>2$M(+WE1vYkHQIEY4Uc#;_za{&L|r)Q z4aZ(ZDp;6`QuB>5lu3kRJzhz7P{|)xe?rIV<5LNxm9zH+s6y0D*okKGJ-wk}Du0v` z*(`LYmcx_7D-lsVj_dr6hoAWF!tvUg3cxBw-31YE9=5r=TM|;X?Pl?w!fzw4w=fvx zkK>x`ldntS#~nW;2+&YbH)UtKi>G~RQ}9%STJ+YDq1cV??_ zDJ#FE!E;@mB3^q4Jnvg_YV+`}F1(RG;ntY=@46bpaXqcsaXm+`43KdhSa#Lx(5e!3 z&jgFabw!Zu(r;(tYL69*>jZ<1;Sb04g5ej}+8f^dHxOrux)%$Y+|Jjmyt30dah()W z_BgJ;JMHMo*W*)<%>j0{sC%_oJI+okJDn5P8HTdQalPr=j$`J3yauol_-JynNITB$ zer6TUo4FRHd55RuN8@FUMJ^kwC0KHL(?y=jZ=U3H^4@Tx{sno;<`Se z>~u~$o)c2`xE(+9&DSdn{?7nxw5a=Uv3%VWQ+7HhF6SZ_$CZltD;)cg_J#x>&uW|Txb4x`vAbk-~-@hk#@Yjlk+u8^Td^j`^B~N9}@-vHdfSS zLq+1cvy=1X95HdeR+RX~wJzLs5#kz$Z=lEh<8ob{@09+9=XyN(`qnpJXIyv4&47&; zb*qZx%XK-wbK?4w?uo12H($fdt3!ZIz<1w^<*U+Ab~-1nSWwyHcKp%sYsc(!r#uDN zL{axsNs)FO5mI(KC$5LR`C4x;^x~83_VL896TkZ$ZqE^Q8;l}xjW;?suE)Ij+N$~G z>!wRCo(8w)in^`IB5_?1>fE^I=aoHb$NFwbzqlsPyZjr#&J*>!6wB9CP1)(3c6>6e z>~UPBzWLhc&<#z1{Xx{17R%R+kh0S`aXo7)dmPv9QNMi6|8P1T7oIQb`)WnnagL_! zbWU6^D06(gTECC!7uSOSUULa>7l``bM~lRDLtGi^oVZ>xl|9bafg!)Ru0Hj>V*tBQ z)E{UTiObn=#q#BxzjRJqcZ5CpDvSHIV@r<%Y5!=5`u?FJ?dY7pbWU7%M?7&2F7b=2^1uIn z53ot1esH`tKf*U(7d_IG_K#CV{m5eZa?W2mC$2~Gp13CD z{o3*9*@Ngf`eIR!M;AOxKWZJod*9y!-U#@kz6GnYU<8ivEj}*xlp8i+$bMp0thu0p$0iJa;Jz)vQ z>vFEQ@)1w2%iSC$4E;E+tAl>+c-#2T`v7s7sJ}YlpD(u3v#C4xCC*!&`?HAV>a~2*4+ze5FTck)_??#oO z&WY<0P1)moVRz}XA6h@`^Vs1w&>|amC{Nnm9 zTu&GFBBK5;dXcz34k<&O6W3d&vd8&)F7FrD!>v<#0CuIQFT5F7?H?OI)0CagiEDu} zC-e2PZ@zkbH}Oi~W{dh)isg&e3FNPH;&N_m<$S&Fo3Fi3p7uvLy-L(4Vny1q*0jRv zq<&wg%*lMc8}@6*>P-1RfSV)g-_85Sg*PE8L!FW@ygNqO<9sbh_{H_ig6Eq6n=9)7 z9WD~rgCS+7bK**y${xpsOKv{%>YEe)OX~nvi~3IzMdErwQ+7HhuEj}ZkK?NG&DW*F z?z$MTYeao*v3xzN%ydrw!kc}RL5?f!o3HS|#~%l5o~Tb3%h$`AveP;F%0`tvj%#Ve zuN|+RzxW=&t`+r-!6NPWhBDJh`D!whL5`~_?H5<|^9%0=>^f2394Qjl`ec zb~-0t--eVujw|n*uW3)+y8^HqMSZ?lzP>P&oz97?Ra5pju5~fLcFcX4PXKn4sQ*2=Ns`1+ zi4vnIm*bo{2X)Mxb7qfos6=Pa8I*|Mv(}!q*53Qwd%xFO z&sux!n~eFI11@p?a&~ZiZ{jQGTwA`H*ZuJY)Efsm)t&g7#{HZfT$@zpkn-iW<*Ub# z+wMcP@sQ(o;!B*roE==7!zNsHLw5Dp;>6P1Q0*1Sx!C7WkK+90?BLp_m~b_8+u?fE zmGcd%O@N$+AqQOI{N?Q6%8^aDngr}{-Q&6WepGuEa;|VY;9APuoSk@Y_>vKAU)S35 z_2#HGWW8`Au0|SSL=vf zJ^D_x?u}}bA?N0RLp_S~m$QQ_Dw%No$7_dcO`Fg{RGR`h|A{!@I?Lk_z8Ttt>$V`n zggkR z7?y;YpUg3w=V!JQ!zA1Iu(_^RCCCJ&5(9%rVqe83@V)zJ4OTHfN00Q}6%KhidOYj^cH| zAl8O5$3WIU^o?TpNVUUoUA5@NsPr!6EOLquVjU=R4A*(m5cKx&scn4dyziWysP-P@ zEOm+xV$COW3|teOr z4#S$hx4eXE??cY&kOKy>mXkS#o5Cgx>pXTCV9!m5QEeXNtP49}5bHLXV`!tAFl@Aq z4@%8R15s^0Xw~p-@HVbR%st7i{ChbhXFBsPhTrW;w(M{Jw+kB|UezFP@T(I49>Y#VtZx*<1-9|w-WyNWN2O08w}wM}FwBibU7Y5;de?gl z0-ZnP)(YFThug0>kF?39kXzgD&>k-GFh`kVm@P3|%EKiQI}B~+bghPJpF!@W0S63X zZk#y=JfTQ$6obb$K6IQ|@F{97gIte8e88`TFh7}Ncq+_nDTXU;<3r9+PjggT4!Ku4 z#RoAr&K$#V*@U6FZG2dieHB?h4MDE;{%AIzED&?!%rOj6O&D7F?ApWr{JeiqZ3X1E z(j3}@m>Xw~VXzrP8^0ZflWnhj88NJc+*@1@7{uH-a}50ybWS(g9y-{@hpP`QBK_iX z$i3AmK8U$-<`^DKBXH^Qp>x=dhw2kM60X01+}oYvgP0p*F|s5 z4nxN_m#sjxuOPQi&;dhdKl770hORQRrFGFyvcoX4cP?3nSq-`UR0j;*{mf71819mo zEyeJtZG0H&R*BXc$i;V8+n)2{SCNPY*)qp)pKgeMDTXKfc07EbuDcyIzJ}Z(PVu3) zhdIg|!voAz$1oybharCHFI7}#eË~JRQVf%9<3qE_Z%y!#hc;f0NBT;VyK`1M*>5U$0Q44 z?66(09?#Tt4DZ^;hxUatFGIcWAoo3|_%K0bjxxtEDa>qXT`0EkVaw>QkD%K3ko$pC ze3+^*Kbd2gE;Cz-VUcZo*wJ%7iBTIN_hYB{5LB3-%rVT8nJvYzG-%fz=2hRZ1l2Y{ z?q^{7*;BF7+%^M?}TbUK-+F9EMha{u6K$LAFKZ!!;&zwr5H9#c6AYH z?E4R@ZGqg)szY6TrZPX7^AHL%TZ$paXNRHT%H;&ZR>;lK958&YFh3b%FuX2_V%X`o z!*FxwUnXM_+aPzB%K^hG`QKyMq%m8HAsS*Ba*M+JK9C=@L1ey_4Y@I&1BNwz=EsQ# z$7iv2l*-JOVo10d2IDo9@_k?3jcVH=HxY8cu$KD~7;M&I?B@3A51$Ym6vHnbhQY|g zfSg7nP$LI&e+fHaSnnr3$R;qD$1F!43cizw9oZ;`gIR zzLCxwxmwGRzBSbw);ddHYBV%Gqgs4||OM&YV6$m7uTK%gPY+y%;je^wuX{ ziAG!B{k{z8)7HK5J$l^*k$Yu_*7umiiak5@jqn)#ojHAiDnTDkXVROXPrRj#$aMN9 z1ce@U-p4W>I$HNGB^Mrkg2*FIL&x*1gtOFnkA;~-a=2$spP)+6SE8{p1bveNMj55A zV4p#T^gZuAjn-$JxV&6i`Z;?286r<94z168xc~PiE<-p~g1+CpMym9Q%K^7hrqlOF zP{@$JiJ8W}+a~(REer(^8RvGO?`4@4LEusP&S>bE;4%6;^VTP*67(faR8jg2uc8p0 zH^h&P#=f`Qr_uV1^S&Fk0k`1M?1o6tDfUfcM|+k!?`#iqNDlYR=@V25`cfJzLv&to z#w_R)XRwsMk1~yYCp!8A`qoMe z3#ISN(}{h?*4Hik!;R=629f1Xv2Q6W;VjYjxyl@#9r^@Sg1)0Rt#7@Dl`H7`P7*SV zeH$~4eR-4HkxQS25LxdO`_{4&&Jum!tIXlqp-)gH=sO-^Wr)tZ$zzo16PL|QM3)RA zJ2Q=aFHN|iH@YZ-NRCtN%jQK8cxOIzm`Cz6k9~qFLEp&`11;#=sv2dKzTH_~A;Z>J zBA?FCQR+`G8I<}TtV`J`hDeb^?6ZurXH1{P-x3zA(hf;IXej+z&y9j=W0J>9jrreXlHhWG8x6 zA@Yv{eU=3#O5d)x!wd>N+>GeESu)wGh_CCwtQF{zdB(R0;a(d6_>!-$SZVrqd^G+MuoP`e26i?H}{YI`mosdCgr8 z^qCi!bovI!3`*woJtmoKRcuw3k5FaSc?DI1zWQ!fhM?~;#VFJ18|D`>Y<(RwjeYK= zv3BU86!O{y9OyGIFzNJ-QdzMX)3-}}XouROC+qc;%;*zT3Hlmp44>(sw2kmt8$Q46rg+rQ28t5 zz2Ff0cA4jCI(@S}tXSHDu&ygiDtr}X^g8b@vP7Y8)K(?*nOAi(qfbyJ=)2y{$`JGg z6{AdVeQ)`N4C#CQv|^uO+B~p!mlf#YH^`f;+7BJOykS-Zfv3~A&|{Qk*7~lKO|~kb z&%COW8GV8(LEjA;D?`vX*JG6F^er&RkiL1D#=h*rr9Y$B{g5}uA@*6mu&mQ(S#-*% z^9K5^p{jeVbG8vD-QH*XMn{T=caJIwnm zAN1Dgvn)DgMBfr0I}AbJv9L)dRqXhVH)-q^R0;ZS2{C_yKAY9%0`qEf-nXX{`;3>0 zejPiNoU|Q)yssQ$pJkNQ>9ZVeCiLydQ`n(#`m8c31Nx$m28{l8qj%Wdp369WyKnU| zJtCv6Z+FLtkYVS|4rDlV zNZ&-~eJo&<>7DlpO~{bGOVkX9j@RbS_!B*pLw;?C*taXhizV=M`abuuVu>uHX|rV{ zkE*2gSw?aRWtMYo=h!Ex67&t_m<4?!IA$^Oj&!r*AoITbYfdZn8CG0A4b1I^9#W9s zBy2x)SPp~IXOU$@pVgMoXO(3{pP)+6_e@aG!fwvBxlF$Mc{6>toKEaB&ijV7YMjE7 z4nh76PO;D2GAMo4)`DQI6gZB&mQN4U)@PMvLSNLcF{%W8FI1#&_m}|F6Rq!MuaIHu z>y~NkE9u^RGI}@+`JJ3%-?d>@1c9e_Udxp+1bf=_SuQGZwgHpP;ef@b067;Ro1TE}B;$%`b`xGqQacaS5ZbMF1vI2VermdFe%I&QkhiI)_LM0s1o$;@GyU(^Zvv{^f5u5 zz5Bzey)7W=&xP38tI05-N4zX`{jFlknE-erb{?o(Fgg$GWQ<18ISu!gmbNU2T zg1)$y`4jZ*!3xzk5&KFbLWZrcCR-LEk|oEi$w|%`ap~U-{|8KI6Qv#-5A6 zK@4bm&>{BOw!V*8shM|P+t#-v%pB6{kZh@4k$<0P>GJ#6Da4LL3S`+@Q^&VVkucu71*Hj-^o*^$c$s$ecbw zm7wn*Y?u1R>5GEfD5La6DPY3%!C zV3hs;JMR-zar&ZFOjOZ{OSGECF!R_KJ&zv;89H`cep<25Fz;*qL*2b-SP6F2cZhxe z*XMl(ssw#Cyhf_@dEa`!QKq*(cSy*PKD^}eKX+c^yzi@xm)1o3D#MOu4zbS?t7z-9 z$TDhu*0nvARQoD^XoP}{&UvGK83Qy9?^e)Xv{ps>qIKO&QMA5#K_NpJQl_!*wxRhA zvAlC&M_Y&3XLzF?@4RP1UqM%yL8erxSiIGrgfdI3cbxYbs1mKOzK@k5VqYT@rF!f0 zgoGZ_ceipHt}!FD^i8xrZ$!wDKD-$BKX+aueK(ZOS&vw&!j1=I z`=O)Y0agM%+%xXH1^vU!A*Eg=^xeP@jmo4<=o3^4`dafcMC-c+OVT$%UwglhA$`M7 zC-xcXtJ1x&A7ZTrJDzZeeFX!+(sxF!Z-{L4M<*_4)cOQfg1!zaD?`xNF>I9S zowu_jWJurGOk>}!E?wp#edofCQBJWhz>DDYSz}eurnO*uvc@mH^FC+tcjoj7ssw#q z87ty7IMF*)CKRo&hhNB$zUi69z8PPKZouQL4m&10#lA7DgtOCmt<d*eKImUoTn6kiPfbrxW`O^S%*7^ClqH^I*r@4zbTN0@BWFk!3V- zvD&H?smg5PBB&Dd^p6*`-r}Y*7txcWJup4ADIGgd&CDj7Ki#qqhwS)AH|w^ zSOD0DvusnX(^>ZtH#h$@^4O}`@1f2Guw#kO-rT?hG2b&h=&FLHw{gMt>R$cF*3ay&WM>;_93bY6X zq4=vXw^}XjST}SRihNMK3XkP!FUyKOtLDG^Nfjvm2CcqEt8j#66>pF~A33lOiu_Q# z9(lW4V_C6#l?U21E{5Wb5)^+Qf#R(QS7*C;Yxt(LdEB9T`Kg-^q z0ma*8Om2wXA7WOqhy2|`b^1ebo{A{|#k&QJsPEaSmH$GKA5L~hZo0V%H^m`L5^SID zXFj&i3b)e+Aps>}Og@N>@v^MgSaq@T4?yuR5)|)4yqdzYij$rb3lsHF;zMpIJ`y5@{prVFn0Hhodw(mKybv1_U`}GgJckOFp~49d6ypP)@k$<4j8}?a z@0HR3XUF3PKEu;p>OccBN=1(;VG8!!Gv549yIde2SvF4i!~5O3fm zdks`f0f;rlopk%YO3Uk2<$H)<7R2O%cw^F(;!OgW@c*@($#^q)!QxrVQRIjCH5$Y` z3ir{(*YUe^TS2^q1o7)45N{P^S@9d(Qx4P_k0KAmuMa`2zQ(d*jkM>g^_>Foo6zDW zblTR#vf^#kb(60d4e?t-m?Vf@tg@`wrNOXz@dk+hN5SNUct`Fq-YL-I{v+R@NXF!b zSPfxyQDpm1-K#?UcC_dmhS-PvxMGXKvwPH=1o1oE5buUgdkTklhaMhy?oTK}hdt3D zp42hg>UtZFeeb^zzc+x%1@ZsNF8tfuhrd*aKfv9`ACyPb{^2qd`5}J42JwC&<|E$U zKd1MwH7JrG-ZuiVDx82=waCY9rniLn01w3dA&3tWR)f6_7LS^aBD5M9f%xMRDfw}9 z{kRPAp|tvj1tC5RO`oEuMg|~065IX;+6G3U_VYo=-AD7r`XK(23US;)xg5eIK~6`7 z>`iiIUAWK#Iahng-p77Wbip713iVp^e;&LqRTWrpag<@0n z{Pw%Pg!oDc;w$jAg|mXpNA{<_%@f|b2I8wQ>&pnlzZOUOjsN%79j2iOv(`i)XK;kL zU+0JTKVgV(^fDjujcWPIPu4^H`*4{vY;(IVFA7Shh z;D1q@c4&o+?o%+K!{2?};qQ?~3p@S-@%?BKjX?Yl7tbmSe%fi}TPO-*@<2Q#vQjd% z`D!f0%Q0(@4DrJP{GZa~9$VHz{D_2NbojT(Iu^KV@zbxM2($JFV0%JBkMR>;P}|9n zgQv;qrjm1Rs9HDaK~O7UE6UP9t;+GLRU-{M1$u&7Ma6_Z_Hg&x_h_jD#T!Ab9>L^? z?aM{ho7xpi=d}g31_r+iLa=>2ces6gaQLN7E&}y(Ea!3@9qY&pM)pJg!ku;g2DPaK z>J<^#c1-12S&AHOdON6B2QhhITbabOTKZ!5zkpWF5Mi?bWQ&#^si_uj9lQ(FmR?X> zgh9Q*%dFHJLgx+7ss?H+6u0t0VUTnqwRH#+cCq?&uN^{s(GPhzzfUt2354I7dTlLG zJ4&ER5m0Xz9&guf`=j%AP%(n3oqZ6Q7vWZgYT=UGuL89jI_xHc+9SlW)VsXLdkj2+ zB6N6X1R_})%ZfCXYn0w`2vnaJ)VtB?eLPF;6(|^WadQ-*v-|M;;1z{gZ5!&F+Vk*Q zQ2PWhxj=m=z_Qebv`M4KwnGt$@rlXkVQv-uOW7$eMTGqkVSjY`h(P$Lx50Cr=AuXf zbwC87fBTrj=s&^SHb=XIIv5cS3WJI_x2B0whlK8b%U2sk=)uf)K039mXn$-~DdaSWurqgwLSUQ6c6~eZl*W|3+-1 zqa;Z53PVoqunX;9#Lj>-Ty&g|$zkss9OF{W3SSyt=a4&RY3eEh~rDDr?h3$y0>c-H%_ANQ5~3hH|*sBecrou`qb zoUdT=LbgVtyShNe`~c*3Q_0@Pp{!ai92u{Z$lemnTY`JnyU5;W0ZcgT>{G~I$OG!~ z5X3f8pDQtMCFb22CV5{=i*nW(9`Noswp6my8txEE~#k_BEr0MA+ zd*MJ6x4L2bPMPFw_h!{hsG#O(WG`32 zZ_BV-JU~oUnW#sQbO3{)Wm2!bI^P`ag)uWg)Vs`SF(qu|Z*O_IS8x(aV>D znnI=W2&ji6MDa)%69O3?;fhbmCr2G`4eC+!codb7OGNP>EbVw0V$TP;;%NEvYFj3O zdQ!y{fJ9aR6%&>G_zR1ch2ksHpReDQ4T&mlNSvcUqB_BtI4^`rg2V*`NTP;}$qlh7 zxRahxPIJw#awrLji~NvqYmlhpC5m-1)d@murjIMW=^B6Oyg87lhaT%mkZ3?XHdG+d z&1FP8)J)>eVU%`zr8B-&9}?a@bjAH+gJ@pJj! z+AaTv#H|5LE=Y8Ck)k_mm}H23>E#~3Qg?+;%!5Q%1(O$w8&E}`2NHLOAhsqz%ePlqx2An^jt8|}wm zIGcMYMDoU>)=LT`#?cbSh47aIiC1Xe1k`**gT!P`QDTbRO6m9-iZE*uX1zugr^%3* zhK}A)*wH0|!7W)ev8}z~g~aP&NX!hA(q;uQc_8toM)uxPFk$|j2-$l_#)MAhiqhVf zo9-){h$25Ee#IHxSQF&_zjnXo{qsH)c_3Blhs3vj zp7pId;+bo)DX-Na@h2vnpr>1TVngUa=VTBP;V>p2BsPhxO`**>jbDYtM$FoXSzAQb z7VW?fw=ICgX3Sb0g2Z--JKP?Qcjz|=64?HT*-2#KATwG*>;i>%$jmgQ9|L!tn)Hv1q^sB(uz%GV_{}p=B*UJoLXg1V$%r~AjjWt_A4NXc z(<}@LEy%NyzN2lQ`VmDQNR*+~p$N}9?5ose!VnbsA(7G`@t4Rt>U-kSk!w+eS?^)i zafMs`<5{Nsw?B%!keH9_NbV5J+H;Y2V2|fVph$u}7e-)DZIM+c@ODnKUGARzT)Ur9~;0yFU^G; zxNd;lZ}smObn!vXQ6H`@c2zOw!lI>3iy+x7K&lG&$?A2^+d=xKf3s$d+h=$A5t3J_ zBs4J1m+gFBFlWx8n;_|lkfz2od--jAo<9i`_Nth7IG%>W2Zv>f3KkvzfwW~w2 zCLV4B?sJvLMzi`|N3L82$@8#H76#HjbF=MAKljPz$45Z2vYT{uR(idJaq zwaAI#dn(f$CfjIM32t6`QC6+%a4I*4`OKbcr+Ln$J(~ZKRqHC$ob6Bh%-*8e_^iD6 z$g9b$T9-@Y%pt9rJ11bHSxNfq$ib{y^<{GUk=D%l&aU*VrHhv~&8k%gHK(}Knp;D5 z#}28!uV0c?>%uTOM`D^^cx_5|&EMQ?K~~+(9&*~1*39iGj_4uY->aUScV|IX-3)>W^VEk0mm!4SE8VRj&=6j>Lm$J$k~G zRj;)VPpZOc89hPEs-tP-BrPqYCv;hLHwFMt>WGZ^BPW1a^(wo_Ng%T&CyZHjHI#kh zNz2lwsbQ3T97@aRiELJ_Heqryo0icN`mB2G5#NguCadouWpr@kVwRSc(FLxodbeU- zpYSrh!Dx|HuVaL)O)?o-3eBo_8|p2`U-}3{qE}YEwm!0!%4B4vHLK1ySbLL*h%il8 z^|NaJr9%8dk1oUOS|7MvmGm>L?O%`!T95c3Hx#M_+b7Ae{axkq&4YKL^@R|ffOR$1 zP3*`9u5(~pWk3GFD_BH8HY{XYmP}-0d{mH@)l`UVf>E~hcR!I$i5T^=h(2DWVjJ#5 zHm>NU0dD(+T!S+L-1ei}JK>Qqs^L1#L_9~g1vpb<4h6usO)?$e+<-wZ4e&#wOuxp6 zm${f81=u#i0A}Cku>j1;)%tx`)Eog`1W`O&u?M(<`7rz->Exe@|>O5!LEI zbcV+PpsC<=FaAYhg%Hp>Ks|61`KbXOl9??57+D-(03(Y67J%6^QB?6!VxlqgWD1(0o=_qXsf062e=f58NCMws1=-VL&Tz15rLDR!a#wJ|D zdFPD`vDWOiQK6TzU=RYl1fuV#4gf8K0JB*}kbu!gI8!aA`-UmvI1eCrxt#f3J~{LF8U$D$qVpsNfR_cCLjllc2*%6r20iBi1h2(2Jql2hI6%!`5#aPI zkL^Z)4Irun900bEn8ULJu$71TBLMU7;_*5E#Yjbf$=P>SMYVV7;ykd7B}?m>;UX2Gk*kN#Ona?-hdGd?ZtRwg;ACnzz6)ymbSrpJPzj1vsUqrTl`-%c$KJK4$)8j4gmW} z%%Na#fX67y4B#M%*^-iX+^;YOvxkN)og{CQ;$L^!BdFFGqIf1}KTkc)nLge$!V1O% zNmuc(ph1?d;*q>Y2tab@Ncwx%eD=5fzw!;CBD^X9O(42FY>z8Gin%sSPbyQ6a(H=lg5BI)o={$7UmV9(UlPWGUUL+N=Zkc`*!BR1_R!Ea%~C?&s7z=x5lZOwL1kHG{|~hTZ{=p?8R5@Qr-egGN_FbWOm4 zhaVN{RSsyM6f3fkmOVIf>5dFsIfFak%{5WCIu;sCp2BIr? z*uiZHhMd2{3`5SZY_Kf~*BRyMgSpp2^joh3hM%Q>k0HiwDTZr!o6NaJVAz|#KtT-6 zAiCb|fT39X_ZaqYTZ&=6kMl4+-8b=j!wtMYKZY2ZLo}>8VAzKs^)2(d(ANx!HEj>; zL|qK`^EP>K(RXtZ!*vk-!7^I&e(^^z4dGw3NqvQrV^}SC@OpI&$ix2c=1)KjEg<@% z>cGPh>3_oD+$R6#wzMwrj<9rmz&pZp4A~3Z?@aTnh@mA!w^SH9(!-J%BS~Exj-Yy6 z3`6+|OG2V7z@c6M-Gt{HKWTm9G=_)xl^WxwY+_{g94 zBCZ=CnlC%x64UIngR7}z#6|8iWVo^v&R5s$KZYQ#8zH(g9`EO7elo%3+#j2%%pbu;?yyYb!aFQEU*T?>x?pZ= zi2ml(?!_5#Cb*p2eM{aK2rhD;Cc_m7bG}+f++Snv%@FE$Bq)SCAi3)pbS?b<7j z)dAOi^4Z1JC&2uXdW;_CxbS{ij_YFi>iU@54)dLGJ;dCIap*MKmos1eP4!6b$W8Nw zcjR(hU)4D0D#XXAIk!EjZJ=(zBlbm5W-w_$Dv%=er5V)MQ9m2TpT@Z}s6 z^sAIikH&=f01w$G8^usv<`^#Uatv83@5@E!x8kkIVFwK3{L~5l$ruCP+{|n#h8ilz zaFO64@4&BQFQ9w#2ADa=pi z814-)TZ-Xg!Na9NQ5RR=QwK40f>=E#9`rjjh_B2s{6{fisLx|DK6=G5wC;Y#5p;eV z#2UyBburt|{A7;drUwbBpFs?r@u4!+0mB>*^OHFSv8YWkG<9(d zR|y`r4?g)LVz?b2j*}cPyr=$q3}WS&ELDB@b>*9}=q~ucXV{_W`O0Y(oxZVv z=n|S}Sit8;nJq2)I>}J9dwiwKQMW7J!S7W23uI<~YUcI(D~K*H8XpK?wzTN$F^^Yz z+1Bd4alacrF5p18*-oj>8@3Jf_p zn#0(N@qq>I@{~;2RRTWZ!2D4r+C&%}JO%&l_#0&9v^&IZahu`rVPSQUnL8CthfKSo z@j+l_ON(xAEV@bLgY&THJ0bQTr=q{{F!PLy#z#4rEiGCK8jgDQ;u@2&=pOj^M#SN$ zzvbqqEING)5Gji2(N?c+Qpi5pXwjX0hN3$a-qH(o?}Auo%e;jXzDXgbWXrs0{VpY9 zON;L2W<}!^U}pC1ucObN_$0E=;i$LD#FT7KMeEZL{l+Jzr}uSn3j!_rPF~ko^z_C9 z*Pze4A=bmGuD5$>x&NkURluf2-z`R)d($&nZ0ao{F8zm;A7<%zpD?X>g1J8#my>}sod+<3Pr`j(JF+ZoSg1D)H>J6_X%xo!!`$K%R zVJi|?7af1y{SRWe7awES?0LXP;F+IOW3b~PPi3|gLq9ji@Nk&(FuK#YClJGZ_?VK< z9s@qd#QdBZgB=h1d0V0w1_U^Ufqsr*^~kQDB8Fc0E`pE)hJ&YB7j`_XVDR;xI4I09 zJT7<`=k0qPV)!q_20QVf`I)24c}Pjjmhvz}@G#87dAM`WjFpI?H@+9Zfd|9QVyDJn z$HNJa3B&LR=iw=tV|ch{yJd)>55z{e9qQspnE5$12D|ofl-DEW;b||&@T|%)%&qqG z-H73Se9J_@9)sbgj8kK<PshygE&%?}5U9`HuCm{B%Q_;da^P=@9Xh`w2=nY=OQSYyuUk{5Of^YV5AUr;n zcjSzVHr%a6i{7Y_N;f^$5!)P^HtN2Oh~Y_yZStBcoj-RX)*ldqephayk4g@SY(!R5 z!TbKzuN!edP^Z&}t~ewkh@i&C5(W z!1R+rX)roK^S#bGKz!t$=#Y&A#Frva0sf%?^IaU^F3AEA?`!t;{GF`+41-v{WgUz$ zc-8@mA(8?tP&vR@x;yh5`(j0&S6Z)_i2#Q~Y`5qC0|p-rF}4JQV%(qr<1z=hCt_hR zR_fm3x#<`J904)a{l5gTlAnR50QV^s`UW<=RTFg3A_)a(c=CZU$OgX~6jt)tGkDekiisx$c&-3=f!_iU9~$ePe>ot)XQ8mV zVh_+R{F!5Mx|5hQm4De|9JvEhu70(7etIxj}Or|(_R0gax6!i!{kT=?1)=AZh; zJ@WDtql|W5d@ZFxmL50knx0thrnoMaD&Q)tuXL&W{&+0td3*zm>VRvs-%wuqF&J?X zh_yKKG8cnhy3bl3p+N=Xz)W#9qO(8KM4+&-@A~tTB-o9D!bVPA_21)SILUurkN9FL zCa1WX@KK`hYT44z3a<&b7+=2@TE75=S9<<;h{M+@ngBKruvk*q(mIS4Uhn<>im#6& zz|l~6ox?De7{?v*7Kd*QWrc{E73T~n(`(i`)SwZ*(J0JpDT6n6cJAqQxF%0Ta`=4X+e0jfvL0NZ&uzz!9nvE&-lX?;@!I0g#ah3%`@ z5;q)&3&UABV~`yf`A-jy{KxlNv5hj=Nd&tt6{2xh-~J^h$&%VjP=h{-6x`$ZM^tx(1Nd{ck&H$*EL3LkK&;>0wWIphpl&LeaN z-|!mrPSHtzk(eF@=oeM|sAB2GI4fPddVfs>I1UQ^4pofr-C_}5F(=hi$$B~0P+2LMm-(i!T^&|faAR!;G}@H ziYJGvv^lvSOP&aY6Cw@(Z67 zKnIiYMYbU`2EI;V9tQMN9n&+0>B<}9W91QC`by7peuFH1F4j84WVG_$3i8T(N3&Mm zd)|8={NhpsI0Xvd_Syr)m#;I2v;t&QH_y(~0LA(@1IX;ie`-tqC&bzX1vuBw0WNS^ z0e8}(?@!(a~>n`<>O3`0{mPIuB$6lF#@cY ztbqWhL*bW-J%jj)Naj!g6nD)FfZ|CYl$`?Lj|%fg0eP7td%pg18UlPB z3fG1+04Q#s7XWK`Siz?TC?08~05?b+;15zofc1J5&Om@~K;idl1^~r<^a9}J+UWuO zSz>w=;AYW_Baw;#>nz??1px-3aGNUwfc=eN(rvIQFZk397IRw)Fkj;g{#+pru891w zu+w-@NC!OPBXyj z{7Q3C4VBkojqb}nL|pGc(fOAC$!k&g5w$1|{?xVDIcy^7LOvc8)zT~^6^?Vw&fgG3 zFz-UqMLq|T3iv^ZS}Y8(LWnH0lNwcKwzL-Ox_K?ucUu4!HSo3UROea*_#PD13poHh z&054SHnD;UgZjjM1rr(O#icS6Qh*I*a>7sgVo_r+uf>PQE`JDd&4nV5$Bc{DqVPjV z()*$~c!I&XFZPg37#fE-NmscnBw;O9X|tj&f_Wc`u29Ti*x60`HMPVk0i#FM13p#= zk!4nknupm^lCJS^fGs>$fbV$+?rZcamOKxNnuQ$zo^CBxJT+xN$bWip)Lq#OOZ)(eI{Yuy zBEELq1n@Rdi(S*v49CSA+e_mS*8(WI-Juo@et0b!4*t}&_!NVn*Ww)tZ|Xf1OH(hp zOC7y)RAmJ7Ar#$V0mJ*E=>kW(FN&L#2tZnk<|UzYz_MH8{fD;zT8np!h}z3%0f@ok zll*WD0WO51dmJKa`u)@7uz52}zvG+9GHYfY53wT~1=u^l8GI;U0a(;G^j+mzC4Z#bF(?t00(R9?WpFfn0h2J+?vS& z;wKN-Mgh)JIl#9iYZcFt!+*?u7Xf|>MYAm(g0(^XwkF#IK$}o!zI3GnG`yvZ1H=#1 zFn<)Fevh;1YJSoDzyn==yb^IO#m`7OL^%8oC-cK`nJ*jYAs9anXwcJf*mYc zW|vmzyvtmBm&6pbS_VZ+96B$40fRZ@4B~g5j56Bv)T1GTOlJ_k-ei!aFK3CBMG7z! z;sC#JTbdp+*k;}C)(CJp6s_<%^bOPXy)=XMj9}8EtZ6h(H@%shE#CC-yB-WQ1^AT! z_>C1{(ORFk#+IKEUsD#7whW9iaJ^Itq{hA^$nRL?4yODZuqE&fs@`3xjwi z^)>&%JJEUt6s=e68MN!X=D{M(ps7Ra44UUI=_-CYYy!AZ<^Z=;XoK5a)$gxDs(2+7 z{phg=XkJ}OPXosISRsTN+6HZI*kC&3zhF>=Q_A3WQN?)`syN?Q*z*hW=-uZ~l;c#z z<~a#H>oSMBG(gj!a*8UxL1Dltz#W3Y0@+fVH^Ch?XUT0^(7P)1?{UCzm&@y za)9{FU89UvF@C+*AWH+hENGCW+n~4}MFH+{bAZ28sN!v|#DW`NM1ZTHs5E2`&~gWp zUd7^xBm$ZO#1E|*z?`CrC;OQm1^Ann1N{K*OU+oI#t* z`j$~cXVA76zu`3jOjf+J9&v@Lv>cDPRzp#_L(STTKXZT4JFjU9k;Y{rGhMS|-6mW| zMAJKFZF+?+*TUWhFGqlDpy;R*z!M(kkP~N_G!cNt4fRe@vtosV*6a!1^olE4n;rt( zJ$%sZ2=Hqtb~!b@DG^pU2WbBO1#Nmvhx|V!K*OAa04%N&Vok5Ox(&c7U$^=a)xLq^ zsy_RsXSq79_YKoxZ)N}uQ(Fq~eBL*TFS7OxWU%>=pMwZ+Efm*?H~=(vR0`12Uoxs< z!>wc#U~QE%Sg%5So}y}{HR~e4Z=tx3<^a&#A#?`K?J^^PhP$^Yzy^3mZV4)Q+x6$( zYsd=qI{et9)6~E`PocQX^OOv0*5_f(l;Ud42e#s-ss$jPy8YgxZ@9*Ubd3~a^4 zA?8r@4b5wmWkj4|(oX?iBLFtH0xaz6OO1YR83GJL@wJ%%-0lDO0L7UK1?Uw3Z}e1T zuipjV#LANfy$! zLh)0U3dN7wp}J`!<~xrG^TnZt-SUT{a2pgqZK2!2{BJyOt%}u#UpOsl5J~@7M0i3; zEGg?h3L8l2A2%qKAUZ+=v_r`wzN|W5sY|b|LC$`&Vb8r}iVr?QQD{C%e zazmL{BYW4Ym;(4UK7|}IxsY0%^ps9`_(3RZr)9bB(V(n@%(4o{$u~!G^P%ikcUI+C z2uj{?6Ca%IC>|6*0}AVjFqA!k%ELTdahTdgo_Yn84Gm$EpzP5g@fe6;@xO;5X#@wZES0VI!moP*49Bl~ zm5b!JuY$6TXu45?eT`(Im@PrsW(D6T#R112^1s}@#a1ZGL;n#K%6@Va#h=2Me6a83 zAoI9yy!^yl&7Og>-KexX0Q)8fh++}$6$PLyLCH#J_)CVe5|!kY1~GYH{{|n~`vtXr z!7mPURLI_bCF{b49w_vMa8DZ^&bsgi2|o`RVZ{|keeI9*tPk2qADry&f}gTHMDbY( zv}f>}=L=k9Z>5rTeiJ`vtK7^?TNR#~^URN+eW_sb!f%&Rvo$hkYur#c(of933qbM~ zpXK}84G(O8476<${r@=ff8zLqWV3$zOTCbm}S=T=49v#bAF23nzpNw$0i`q5rfzQ!-y zhUizIwUQ9G+AID2-3yICE0sXQd(q6_b8hQ-a29C4;-Fm54ch)Nw>sccsyFKb8orHM z+pk)_qfu&@ zheED~ccBQK9hEF!(jMKYZ7zOc7)g3hgQUyLtdbC(S$ocTC<0`EH;h{lJ}{Y0+77l$F1&r^y&c-~pl2Q=-wI>$K}j2hWtFt^{l02@ zDJ0(wVUi#@kHbjLSA4CvHbzkZ6CT%pT->UYdj78u?t~CNCs6MOaqyhu{y#U-=D1en@V_E789 zlB$X+0Li^>ZnZbq{|~JjBuf=cUMLymV_79Hx-P3c>1jy*8pPy*}mjqDvqC&yGM z!W|cWW*YMnAt*nO*p;6z;V+!6OChp%p&yPEgkb;s3fa5F1LgHXP=b#P;a+{TsgKn; zGeY(nNl@M}0)=&aWbbl!);X7jptM<#!7Obdz3yq(3Cf$unB0(0r#0o*Xiz>1xqDX} z&-?y2<~8^o%JD<)<*o3YruE4jr2OVEq%e?`C~oGlT=GNtt(f&mfM+fB z+~f`X0p+(TnDDq4hI!V<;jVRWxgE;8VOBRUl;XKSx?PptpnfoVUn3~LGl0njC7%nc zRe@Pc$NmH5J@KG>;z2KqFsqW4?#IT~SPA9#;i23oLuqe6%PM`q-L3ViO;FxDjL8Qj z82ecp4$Hd_#PB2E54xcIfgqHu7FlaOH4mLU0p$;4R)5UO@p7w(x_swTrBFT~g2@l1 z4{?O0{R3K;oG6qJ@?NG)#`QVEZ~Ro5gL>~%Mq48=ZpHj>w_Xcq^bmA+h+k6VjYD_H{((65I%ki z#@bHk2<79#n9$d&0p`AZqUY6#?x84hL8>}h6>v}`QSESx?DwI3GFnZ>tUW$vRkByQ zBlOl1D4&Mqy@uQrDLhM6R(@U7AIfJ)P#%mx$pMj7=Bu;l(socj+YRNj6e#&c<5v5% z1MYrtD1QgD=3rJi9*I7YEjbj*b8W&pe^12}fb#kLxXKmzmXRfgQRIR02?*m)KezhZ zzv=jIU7`F#%vyk5pdBx(w1e{Bf>qcBFjSY5P<`CZtxmdDd+xp-%0E#sVXN%uV_Bu0 zT_bw@irg*3tYw%5A)Zy)|A(}o3Y4!vbSv;It15R`THU=!**pWvSA{T1P&!EFR*#1V z_8k|4atzbuILR+PFU+%Q1bXEERs!W~!{1? zK6(twb5%?MD4igzCV6|b>NN_=cVgB~%<_n`n#fNMeDxzJ{}~VYXFTL7Du*#G*k_B6;B~C8I38Er2jzQ%EUSE<`=t9r+S_wuV^;Tu^>U;bk56&FyEReFcNYwN8ZC_jef9K%Dt$HlYm3pHu* z55hR1VZuZ1;pSO)`xezZwgpm^y^yMeakXlIWu>Zl1|NDjfFgwOs|2a@ygchXb$^5X zL$R}lq5K&QN(XpYR_Q=b<)2#L4XGM3CO4!S3x`eo9ZCc3QG^atSk{#y>nhJThn~L& zMVR#`A`C>h!>1!3%)K@NscX>U8Xu&t6ILxe=ggUMD~eo@YKm-(R=L$n>OFsyVDVnG z^7^3kG@qSXySNZqWltW+Ci+2-9%Q6xjEIcBvB@vQd#i@V=;5sDKK94?IEm{R2LbO8)D}wWY6b^ zL$y^Xoh3ZJrCrjwYj;RJ;DyxvVMz7!a2)-V7aw2WA5wkMYhSG9ISR`veNVlu_MX0w z@}q^{2dRMpmX#XhZIpA}^(eyf9z~|!53;OMC78Xr$s$NSjuwxpkQ$1Z^r)8_DnBZp zw-i!O1~GY{bb-XONjp8B(IcQZM?~t($v0iUOEiQ2MDju4T%Ti{>4K)L66_>xR^LFE49+=%1OF zj(`*nDk&UPN<$n*>F2>wHES0^>eUD)KcuF}+-j=SPhK_+Md)m@0;OMy<612Zbd6gE zsp)7jO@q`7H@BJ*Y~KDQL>N>sd7*TTIIgwY=D$8(2&vg2OcJE#__@^_wf%zg&xh38 z=nF9@mm++j)y+|s@`cFXxPCO4FRFOKU6-^=ypu7lKv zXn_Mu>SGQ*^>O%-XKMZpsYMY?ekk4SVlYa#No!l}eHv0rR7?R#Eeo=&)N)_9QB|g( z2%UWvg3@dbqcm3=UcG8-NUcN*JO@av@^GtF%7UETD>{1WziLiA5v?= zn0%1>Ho&dE)edO3zq_OZq;OD4 zkwGQKvr3CyJ9_?g5>lJdVzU=g+sO1Ig#$%uTLenuL9&2-1|fQs zY8FUvq^Sm_B~-Hr^9m8x0XMOWYnZUge({mLJrbl6xO{e4C3~e2Nd2Zj>0xU3iwvn> zaE+|7hve;dLn?({PExxAn0LSprGHb+GR*q}mxX7$h~^*h^>M zsiPrC;d;;BYSia(v^$26U96>hClomRxF7aj7-Y;Aj|{yver`871gLnz3$gYQba|+< z4-QpQVf!&s+@UI=EcawT?8hr5=`OzirLeMK$5n8sS_BgYqVxPj@q9P_QXtZbn$)H=dgeEWJNr9W!nf?^`8PidhgxA-SD;l>?r{G#lKa7uC>;8Ktep$I4E6iR_gO-S zO&pidB!#Fp36;wdgIMuljb# zZlKbtY^&|Er{{%<@4TBEPl z=&K>a@HCaWu6?i;1lppnrs(TQ-m8V}vvFBXAHe)~mHJXk&H+0$tHpTl6(rq*dAD6uaH`AOyOjuNTqR z({5VjdB(lyn|)}krvQQHT#((4`|2p|F59yk1p0^&=&gaLoy2-QC*59aL4OGJQ_vs! zvNMeA7o-8>J3In`fhGtHKwq8sa@noBl~D&FFc^KkguZ(4UcID;OQj!xz)(2)Wb!dtl(q^kI0v6pml7y z%#sk0(AOvzc;0ZBOc0nMLI6)nd4`fX+(iX&7j?FWqSwulaI%1Bq*b4LA6Lb>c-i4? zje;D1S6)=}*lq~SQ*m-YU;*j&u@eFx3*Z@RqYcx|_WdS5HdtgcmtF4!k6WNsp1;Md zfmc^TV6g~+&onq*nXKtcaJy0mZA?JXVq*Z$WZ_rK`v8FzE}U?4bVy-UhZW_z(g*_I z+99w?1Nd(VX zZt%Q1vUb_(5IBhjPolxEc-0C)m~(p@2;ixez-b$Ja=5RHHm^5l4g@@Q2xO|@`G))Y zR=TkEn-dW5J8=@gb4g=_A-PAxZ~lY;9#;hL;KB1f_w|GN_{l1`naV+5Ip`~5XT7eN zhD3U_g1{y8brF62=wwxEZBH#9-3J08^c6y1S6!^?hTP_r133`5Y=uBn0ng7Gt6C?2 zyQ5rh2wX#7*YH9aFkjx{rVnR+G7*AchoA|4ZD3WNO|}hPakv~T?!-v|?`_PNx0E<6 z^GgAOrK}JviM}>-Ut8txTD-Ldf@K^y;g!_V7K-65t9Ub??g+v1=&Kz1;)5m6cGKq8 zFWnBo3h1i>`YPvOz3vpc3^}<6f@$ch68id!VR&|l{W~^BV^tkEp|1*V)~k}TuloyT z2v&FDWCPD0hT++#oN93YYzPWy@E!$%d}kD_tzFq(sSE_~bDPs%vBB|s^ffkE#|gpl z=)%g(2OpK1Z+Hd!T1E3+KWGqaO1e@}zCu~QmyVhV4Ry~5f zn%P;e=BDSm&hSF;DHVc`xghwoja5CZZ7G|66oReY5Nv`~&mvQ7r4K&qswPfAAI~_k zrvri=6jt?|tN!(O+hUO&f-S8O?98jWII2iTnqd)EJ%cD+CD!W&>+QvFyn;m*2)0L* z?lxA{UAueMU(Z1BMK=UHVO1{^tLo+IG3>Pq5bWuM;PWyBU*^90x|Ua1_Zt?WuNOrK z_UBat9RIV88G=Pvg?p|bKiv@=Y%Q}<8jeL4v$=@_f!;CEvzjl^cSh3f{Xd z$Ppao#0f98{x0ZqBLs7WH8KQ8lDU!Ca-;~su_6N=tA0H3@b?hJW8&Z#3HEmo^={); z2;Curx0Z`G^xiKHYlAn(1ZSYN8CD3stI?|9EZ6hp+MwBYC7kdwbsY<>@;+!5Mc%Wxs%iL*>H?^$#m zf=it^3E*w&rd8gjq$eBqdJ=-mC7dh}TqV=0AbxK^Q`=*a6@n`j@HV&7Dz6PsfPVcb z1aT)4{N4rLR@`74shg`NX8js8_9M#MR%N}~srSqOEra0C3Ql$iu4h%j4dMfhf2)Q? z4xCKj?cij+I@z|{Kf-O+CJ}-gQNzv}t9oAEs8+^eJU9vB!HM?;JFDt$`}f$0UJ(4# z1VKDN3GNhVRdA>Llk(-g5ZvLy$p+pY++Z(RRU2G|;2s$#D+JvN>vh2P*7n^yvB(a= z{VI6-m}r%^pXp$uvp+%bkPU)=yCHZ)rd7eC!hUO)Z?OoC9ag|Ax@eVmpxAfmfol*< z7a(}t1wqwDtAeWH|7HBZDD$S;ff#!5cPO6}+K*R`>i+ z2wq2H*KxVVxoMSmg0w{Glm#KPf|DIWB~)4!Dk;vLGi@mrIdH<|nq;L_-YM!tZ@K3o zR2r{>+^#`-4X4Qjp>nt#sf>0iDEi!8*zGRt_BQV}Q+@8WPIp5n4INcdAyn0-H>|4R zBtfXUpwCrzWl9v4nF2-VW4@6dhn<>J*o$0F=f%L3~=$a=#EM7)-R2YOvt z!{c4$&$qv{0z&oCMt!u=gjj5%;$IH@7@M71#E-R|Tl9_tLQg6<*&)}SZL={7oQI7#^J zF$Zh-irC|7u|p8*f;PH1AkaOCoOT)9l}kwcdJ9Mnz-V9}s99v4`eimh2!_J`y1Gp$kF_OnS=&`d>Ei?s4gJ3!OOO zJwTt3mY-qEPjL(ACLTW5AoRHep(Sp;<(K%^m&kd@q0cRqak4^axs~b{`c-)I7yC2_ zeXZbR2XDHIR(Vf}FF$zaDF|&4AhaI+Z$T5Wt$ApR)ZBmNEQB^A$Y#6=u@rH(O*We= zAjoM8ZRpK3_1m#)6omdnuYaJ6T_UXt?Q&0=du%m?c4|0D;Pr6Ne(}h*?GXs=MX!5p z5ISJf=?~iRFBN=E6l%lwq*7~T(n&{++9twdVoYa@EEZ!8TV z98HIEFfdVtR(Y?w-d)h*Duga!uZ!5LEvxdiw`@77lz~tPdxfyqb#4$Wfg_V@Lg+H~ ziefK5vhsCO)?R)Xm+~5-Ttj#>H+Y-ln~VlOLKtM6tl;aym#eE|+A}5YfN*gQC+t;H zpoG5L-7TD(9)WNv?1dw0UpHRWLxjkZo)9jBy~<#(G7{@m&ibxAYT$RTq2Ju(7Ipv}#T94$E)@?1dXh-|KExHNx_HgYroD2=;med)+Ius@nGD zL&n#E@M9u`8*1QlD6DF<()>slG}zdMlMQ?im{?U^?W-f35aUVg^#t}B%a?1s}A7V69r~)ityGpxCr4k8cq`U9^t+obsai%c_)P1 z$v9cTHJnDf6j{~1_9f-?ooEEB!dP`5?{&Xxl=?iT!&R)hf>m{RuLms)EByW-L`))t zZ)o7JuP}oTJAP9C`WGU_aqm(LtE>X6dfH-dF$AkhVO2@2YQuXy>#Dzb#CV95#i}w` z)t>w6XzBKF^J);WU{!gn>co559ph_ZP)(m)$2^mn;wwunM*G_v5_=SUXgk-xeaZv8py!4dlHBJAEJY zYYvgRDozgAH&HT~Fgz+gFMy37H|cYayKu5Wq^YdWJ%QnCszT%`(z2Nq|56~*g4DId zx)xa1iqy5nx>i`%M%4SYwcuYeL{J`_>wt6ZW$;HNwg6Yu`4f*{f=DMPP69;iR=uHJ z#mNDY7s%WT8vZ3gq&u1Gj&t25I5*HujhuVcG;P@Vh7jq6270<6(#N42c^T*W;9Nhq zJ|`+T*&#AO(dPzAI9VWq2Lm`a6k83(xz{y)ZWzuDvq5AynHzy~BXDk%Rj(VR;Uqz1 zESVdNb7OICyi>2kb5D`+8f5<~QLyZz>bcT2CP8G19U_xe$UecVRCVX{zS$6wED)I{ zLv|*w@(UvdhzlSx!-*3PrOztNV2)6$;(bp;WTqP@^f#O6ItOjcmLW2i%)Kw*UoMEu zBXdrin}>5B$tDv-=8O232Irn7b)Q%v^05MuMHanok^UE6j@Zvh4ytuu8}$N27Rxwc z<0WLVm#Fxc10w%(=-rlL`Twx%S7h#MocjvrR*<=GaBc<8ts-;Z;@m0)vN~Y5*ovOj zNt|1yTRn)ZwnOB56(TFGy2&5iIEfI!V*#A|8K<>Qh%6vWuny;b#kma@y>0`x+#o_^ z8F8>#fe3DdBU_z%-8L-Ws-ay)pZgQb|4<>a$*s@rkZ`g<kcS5Vc(rjeeMv>9kRpTLy|ss*lDhCTE)wjE`9E(%Uret_B%`J&ROv=3yuGGA70j%&wCh(4;~LN>7%UO-u@eiiVmExNh#9p6%nEXHHhNF zKv?#w4WfhH5Vbnkk`J~t9dP1Nh`wfl=ujD=4eWZu;W)kF#HF|Ba}F$bSRvY%dmG{S z?dl(sAUfKC6E+#*&>Oyq4d29ugWUSuL>ojWxUtT~yiKq?+UtwIAUeeY(aG3&Bx(2- zHkO*Xk#JUf$?qdt<_OTLPH~ANcE|77uLUbYVwn&2LA`3)6CE_i{uAey} z%17$fqN~uzMnUhk$%cQqA-Y-C=e8&~*&(`B)aSNIIAO~_9c%%%3nkzFEdz_N`VS{W zcUbj?d$7?C2SoQe^tpXlzE6PY0f}`x=;-CXb2b)XL$?gkLz3R`A1nT)K$L8OqK91& zJ#2&MF`0EcZr}L9z^||f8y>}mCkWO_G;%_Q=qch&bwX4XAbLh&-7+0Nl=}2xEV4s1 zLxrf9c=MqVFBCSooNN$1YtiR$8ydxJX!Ja(`w#2>!@B8KW->=R>wRK9L@#3F z3r=ik)w>1VIEfI=cIk5w2Tu6^96Re4w)Ow_!(|Y?VuR>qY&?p1yJ3asbp@i0bZ?i< z7PyROE~4+Sz+E<}@1L9fI$SQU;)GVohWBzQH(bU6Vl-^hyOnX^g#Y8V3;!*LM(&W| zaxt4ecc%!KEgD=dNgPzflDnK}Mb+!lWSp#Uxiqm-)drWVxN*|KF5j)<~Q=KH$Kk#tco?|mv3wRlR2#~o(CU-CiJF|eoaZyvfSSlPt`W3^|9*LrQ$75G!#;_ zyyKqCc@_xv!Smhy?X=}9Qi_&kmo0g|r8%vKs9zzYE&HYNUZ%mn?fRS3x@r1lHrlej zDTU3_ccs^Mn$x;U`n9@v%k(pr6fJN6v&x{w<}^F9cC}EO?QJPqmioE-tLf&nPRQAr zalT|v(XwRPp^ezG19EntEz{pl<-Npxn@4_aPHTsp&p6}WPgb&~uzB0QSJaN?v}cgB zwVSpq;Y`u8_&*({3^%7eE$dfO<1LTd94T5Bn=o;!)tqKS&Za`VhCZ~&Jgpj z4;=4mPHTajjcCi`N8}VOq3gl670qeQkP~waf0#=r>j^1ZnqJu${n(uL1ajU-m*~W= ze2G>lEI;4f-sFHe?R~t5L?YhJn4kBH%qDMH?#Q|o-`rsq4CEY4ZSMY@ z>&b1FuF`HcF40@aiJ6W+;z8XigjD)Nfsjw>&;cNzt;{hcCT9&YU&^IU7=&$E8#@ z%^lv(Y+z1%13Bw6n{V1u*o1>~erRn@dmTA3gYbvSAOB8D(b9B6>4iBz1Z~!&EstFn zY0Cp`HI|>g3cpM=Z&!+zW&gK2Jl71GQ@aSgH4*lA>j)VqGg#Fyng*^aly3&AzEP zOU@d1y`dS>1^sCR+A__Z!e)urE>3;e3`gyN&$Q5%KigBZylr2fR`?vqzY^f1JMot3 zgHkPf@$+K8pUv<$#;CMHTh>bDz1Y5WO+046F%&*h6mNO(Ju!uM^V1psd)f?p-TEU6 zv}JQwik5h8{@!I~*rftKq!Djwh zhYR)biN1zJPt5s!3!N!imYZxXt-*ylDz;X2n|jM>scha6nOfr{ToBy)`n?Vp%HVb73TnLN z{ynL@-yX`Gf{(Now_s~GwdqQ=M5RVfp4J<*YbI1|8Ksb2>-zxEx7H_%dnl(jB z)5USqy5X~*xJ0Au@s{|C6WrozYpnW9PU2AM`zePVkqnF_pnVh{bSJE{73{Jd^ zoC7si{>`SJ2c>0Z{L5T+vIp(a$khG@&UVkZ$!K-cAv(j!*2avjd&TkE6ZH@UmPQiS82Q7 z1!Spo)~Vm*6)z)q>6t4Z!SPug#!?w8&6SVIct^Y(Z-=+pVp-Z?O~27rFT?el+&OQq zoGwA25@jYg;hWPm+)I{l#rxuI`+8reUB7WZ-k03tkM{|>;L>$D-i|ykV1}y}z-I<% zSuFwknrm3~Cl2Cef#>>d#y5`E6SXPg=BhUJpEBUeSy_AJKH*Bu_C$=k(R!F{_ z&^wqbKjP4zHIA3Xo=XmGv*P_Mw2ZtO!CcuZ0KOg}-j2M1#9SHoiue|ico}(_iMiNF z3+5{6i`tX-qL?dR!FI!GU-IS@bEV%T{p~67zVTP{JcVUlZLu;OFs8fpV_W@o-Ma@l zN7(`wk$W#>e5mO!5z<@fKQNgg_Ay+1j}R##g|QbQ>2DLFWoB%j0)#E;m_4H+NjQaDe*p$c`PJI){AFc3|uFofI(ROViDOIb|=|u-QS#_}rQg zz&GWB15R|(z65Y7%fXE0mYV^?!_O^5z`r45S*}FSGsIIJ)Jgsoe2Xm{0bHpu1-}<^ z0cNZgn-8m03jq&7#ww?Qg74T9VayUX$G2N0mc?@L{Y2>aO0qb)FLu&jaYg&;fG68B z1yA1ennd>^hVx@tpv^ zo+}2pXQ`!lhs=psdjvB6x=FaW?Mx@NgABWZZr!%ekyv)lrkBO`YkIlf?i}`_`#)Ni zt?Fg5F`iyVxHOq@U5Kw6$W>)(`V?$@6f$;Oa|blW72n@d+lA(ek5Vbu>Ge*^b$Ua5 zqk>$!uYcJMxsE}`ewzW;&$4bq|1rI^^%5zFml^978&~LU^}aE^V&iPROxNr5PBMhn zcjl*eYAlXcb@N!PJq{Uv8^jUcfu`Hge?`L;J5tc?5w4>arq@v?*)4$u8b-g<;tk)U|c^9S@Ho)XX(Qw6%n00%E>vK1ae6lKzoOxaowd;I5w1-l(`!?FkBNGj zT`TLL!wksKY^k~MjeWWe{Z}Mh7m7=|E&WHh_SzWNUWMiTn{#Y6(BT=#2pDX?@ST7$ zD@DZ>J9EUi(pAQFLS|f>+jS|64l^MmA{yvbUMO0w3M#dy>y=SmpcbUYg_)s zS`TDgbr^7^S*e|(iDT^SooOX*!f4wb^09B z>-2f9R~JjCmB{6TGsO+IU-)juqUDO6L1kPr-%k9`$@Eequg*g*Kb$G0rq&Bz9$U0r zv2(zT>ne-m>1#ZW4?gf+7`d|GOj(2N7ruJ3Xt`o%u_>4SHp=*T72ie~(`(-|YKhF= zc&;6?;mn=5ZX|B+i^tCi;L9x&xeUiz@$))*TYdc?V=a8&CGD%{xc+WS%236^7+xQC z|NB^b7VAX=hITe;N4K3nhK_8#B@9)$4%N91*X!2&2N}-6nQBHlbkPdV@PbPF5{8;w z2f@vB_{|ukG zXkVg3a}#4|tultDwkZk>q?B&2<>Z z^C3G8e8_MW&WthAA^H4A{>Ct>O8JQn<9R+zGO>JU(fDxz8Lr`bhmGJ$EuY*51 zA11q4PEHdU!^>YOU6A2AzQtHG$jNhs$OlcL{6q%{ue~zY)ywTTh^}wInYWBW5D;kh zyca(tC$@_XWv?=9;(Det!F51*|03=-aD+S4oqDO`S4VZT`FG8+iR<_539cKv4pc$c zfFI>B2s*y@IdN$W6EA*Imm-p-&fzD~iEG@~bHU&2oB18OHskkF>;_9+Rk$VB@$1CN zu3Ot^U*h^BcY^C-y?(%5A&wQ!%(oc0zS~8+=P7uqrN$Rt)4s&@CyBA%P~or9=(;$* zD%&X5u`A}fiTu0f*u*ssPUwi}3%-^;y868cGTesm*47Lb|2~N>Lf#DZw8VBK==gSS zT1FUT3u9PjiLGvU=4<5V@Gvrzz}I%?ivDf!BgX_gIz#M=!lNp+oi|q##-O*0aoI%L zmvDWhFs>B}<7#neMt9^Y31_}GC2PaDo|dVdqTy=C>=CY&4#xGJz&1~p4=q`RT&3_` z=B{KeIylP7W+uc)bF#+QjOtadYoH9TgE16`&g!|ib92TL*o)24IMYE$@_y};-2S;-q~E!wx57*v)m2)4zTc8Oq^%?A-*#p5zhFn$qY=J1s1w2MUyw5 zGJAyU9FJL!ooO@BTSh{zO88NSTrp$XELIb_^0!~)%S0ITVS4P=J^Ta&V|c&O(%-Nm z4L=cKOV)-ld@0--L#o{6{DdJaGKR|{%iRzDopn1hRK^cZ$jJ;WK71QhH1S!+mWgm( zwJ@$5CZE4peojD1`MlZVg&!m|N8SIZZu*)!cdawfM42R@hR?~a0VHw;g>ja>A>Q{ z?G#OXesLvnl~I^BVA^myMWfBuWUfkX##KdO+EiO~r89EXfXp;YG8fC;Kb&;=@~_Pf3++p^ zx!b`QYR0v}+2QeI z$v?wkR}#YmR;EK;l`(X09qNw^0)C*yVW7h;o*_%Q9XX{WG1PZ5hKFs8p-jgy)sf*| z{CJhyfFbsjkZvn)9a3%Q`7t-i2dl;y9^*Rfa1Z(#8EQf1qgDe3Khrb+4Ez|9Ff_I? zh9|fV9ZL^biwyVS=c0^sIOm{x=AVI&!wCc4^-j0xEo2sp3*Y^qAwzA*Y-Xf`RtN^Z zPa+Jh?2Ms}i7^asH1#wx+z*+poCdK7SPQNL-zO19=k zHZIG2fsf1T96C7;E9&CMkBr7;eEIU$Ce^r^FB8$GyT}-N#`pWU{cbs+!DeKrhaZ2- zH7=w3koePz`tsz>kZPOJT}jfRx560u@_qbEFSYm?86JepJ_h^v_*0k#W8lZ`M2G$Y zV|Yb~7Tm}pe6oP?|Q3wM*ibB_w(QX!> zw!K;mLWYMS6Ca*Rx|4^k!+g9_H1VmY(1jvgV|jeWTiN#Q#m^Rhj$Du6_u-UeE*2j= zpArA%fBoTOC&DmMW!g+pnKq5Ki(X`~LgpkPnSp7;$5BP2ja8w`M7X9o8P{|kv-0b% zEkv$I@pEQw11>(cDjKdv6f1VT^|r*g-sLgdKBxEZ$khA{p)-^$f#~^b~u9&gBdCFFB20qp#3?FEW;UgQ%n=)(mO+$u8kU38>hz}ok z7EOFw(nX5JXFlipL}Oe{s`TxPT#rNM0&8lnORbZ%A=_g7OdU0zXUyVHkm_yqcH}r6 zzg$QA61^75Os~a!J=;C2MKR=Rj9;-+4Y>Nas2!$Pp}0ha+9O z>(vCmlV`A=;fM6-uV}c2*r`3jwbaJ+`kLog_3@7$K(40vB|d}v!cX;4J4M4aLZ7ZHu}Yqo{jDH^W#MQV@ewVvnKMxI{{q{Ty!t2tzDFv>5dOzjj6*8&Vs>_@mZ z%S^AWJijVdpME!TwZN}B8s*nQjoK+1E*u-he}wCIo?qMTEbp5XZ?AwSvsOM)|c`pmvIeYmI~2BU}e~91rpQS}HC71G!q`*ENmu>t`3WQ#4%b6>5)g z{mZ$I^8D)m$LhzB>uLOcr%`@koa4Wu;o54U_6XN;bRW;JlO~q;V_Ppeffa4=3!z5& z#gC1OhHHnM#C0n1)}OK;+4dk#Tl@~GJ2~CikaRyw10Cm_4Tn&-`XKM6gJP2FGl{PM zRc`>geg;2mYUKJK+B@%~-1s{~^u{`3Y;=bz$A2Um_(~Ukn^&U;FZD#%&*FDeb0r5` z>Qfr+p661hy4UJbhK=?muFqK#Tw4!zdlp@{gUoYw1Hm)hw0r(tb8O;Tb0@eS(%^sk zQn!c93l;;{KIxWRrxHA8v7PoMu7ioOo^F|^k8(QT$7qdWoo%7r^IYmwt}k(H;`(x; z>!YQQ)I`_M;n!`AT%RlWQeVnp*u?b>dxGGDq}$#@*B$XQyG{ea19YJakl`F#cddV* zH=f~mcrbeA1pO36CoHxnyQZfH63BJI^L1(~We`G4MS@dGpg4Fo>D}e4bR|0Dr-H>~ z9JazGKkI6^nMt|A;bq?VkGL+A82@ckU;74KcL7gX!NB!(nRd@J^-{X#*u-`DMAsME zl?tP4J9sPxu5(tgl_uY=<8Ncq(RJ6yo4Tps`%fqp8_(BQxaYxhmzsL1@w?0a-%@K1 zw3}+F6W%C9TsKQ}{lkW_SI~7=@Knx~dNkJYcT4H+jh5QbbpkdKyqZk+viRv{wwG;n zwf1#ncmY4{oNMuE`s3H66BnPPKYmj>vCJ?B?>bp89;$+sXN92)Vk0r=Hb->k%8ZQ#4!+MQV?5JtQ-|tUSMt zR+u^vxq9FywvF=ZahcjF8m^`awMV!b^89Klu{i$MtW^u-dI>+`ZIoZlsF@lruWYB#L$Ead79o;DT(t}ZgQQ#5*YRj56})lOnu&++`a zZvX8Pa`nNFsVfFt-Km)((yOOH4HB+SJiqK#rkAVsJNnZDFXQLhjqpQJuF(RuN4P|uUjuo5o&BIb z=C!#$ctoT88YfaaMZ+~gqV@>aD=O3LRh8xaME65;k!t{W1{vkoWQE!(8ZJqo_6XNd z2OV|dFyG#7_A0~~2%e#?A;S>xOtmCy!xHpc zJGGO4hSf|%!Z4ld@DA5uwcKkXG7JUJbR!+sSPRbZvxD{}3^Tb7vn8g3=nR)bhS$LJ zu8|Jw3!%ftWF6kKvV2&=b@;GN-wb4U9X#(j3}T@aLWkdzb@-C&u$1fYld08EWEch> z*+_@KEL6|@=fg2K?Mq_u71v<}*CF#*)oIA^26(#(-$ zKNFE*ICxeX>EN+bJNakuJ855{!}nZ=A5@l;BilUk4Kj=X&-X?;oGXM5ImtTw=wvy$ z*2Wl)+56x*XR`x5Ke`Qa@=_sm2qo+Av&Imbf9E=EH?dgsAJzF0WEcaU+*c{-{vueu5IR&y*5NM~i^VRHF}NT2aW*oH z1_Hd~DU9JT*J0Y#B~y`M zJb3;w(!nYgoPm!$2*XhaV@MZREGAVXPtQ&O&ru^C8VLnw;A0QMaKgJCV?lzVZiW|T5uis*n=>5os1!i>(FUi z?GDH=89ZLM0YmFT6fq z42a+5;4RK|XtJwRab%c|^+r1MbQYK)VeCN|Zeu!lONlHNTg{&~M}`^Tz0F96mkXW` z31bh!P};(DD624r?u|aIhYW9nx3t|L7X1ssz{ehhp`3#;+$k`I4%^QiMTU34Th3*` zFvwEySn#n2VW{9{43)SJEBie86*9~O?_CxHh9T~PGw`toVW@1Ur$cZUc}K%~z0mc$ z;H~U5a6QaP#tT8(sA zNX-z9QyoMY$Ko>fqXPE2%V=&H#H5S^JFtk;f4((L7 zV;Z(@Q72^h2)xf2=#Vp7p?31G!_TZQVd&sw44rH&A4b>Rb{-k#gSUg*AQtN#{~v>~ z4x2>UmoRkJ7{l{2)1ltDO&T&R0B;v-YKEMNPHHFrI&4jj#S3=E&^@jL=Hw6C4<15> zkHPzb(}3YEx!??c(yrtt6mJi%L$A0FxQ&V|*r;E`{RF%(8R!t);i86&bTE#^9>z~% z(Fa|{ZqN1hGqHTQ`tX5Yv0@>3`xxjDblVHgaL7jc5(d%57zT=rp>2y2m62f)cttIB zEP_X@)K30&NEc{d!hmBxs>7=aW3UZAdI}jn1@9oc0mCUbwUd8_GYaiX7=}6+!?3sx zn3G+GhnFJ5XW%uuni%vss2w8)g~u$$eg2U62seEhnZ?D7Rd0& zstX?>gACrcjdZx{|JA{eA(UoMVwjb9mA#?$QGGc5Kk&|SrC$6{RgHGfbMaH%LY#9V zdGY76tL(Vcv(>NlQ;ti)JJ)EbtGjQ>b*kgI&^<2NS6>T`chP9{k9U>XS`e`R)B_oo zf!Ap_So~TNwPUpSBm?80f7RQv=!fv|A^sx_-Rz8^hlxdhV27{Lkl`!vF0dFdG*PJ? zBL?H>KdI2ZgyAI@W9Th1hM5ocK8XxpgLjb;LrXiglQ+WyVq&}4T5y2%B@8cH7(+jW zG4wAtUC)Q*;9cxC(4jRoZFodutV0`>b|wsi6vmM7qK1bSEZ0Y{E5Q4uGc`lbMv260^xLh!0q-(D+MUUDYG)|L1EnYe>4KkWA1==w)2b{M#xZMmhT zPU#v41Mwemy+cb7eA}U7o6z+d@b0u4xSr>r-SfZH9|^QCalI!o)|+ZQa1Xlv3A}rZ zV!gmcyXWaTWvmxEXnEha4Wii1zqCiY_SW@M`dh|+ z2Ja!4f#6?Cw0r(tFO_LuveZZHbOrYwH?bA`&*eYNM}}X(d&HTVA>k9oc`~FNioat| zVn}x}hEpPA_~GHs`l0%-;7vCQ!Pg44lW&I527&e^I-IsJhD@$QjSn8_jSTC+d)l5_ zhtOu0MR_x9lc_(wU2G77>v;S}7(84DKiA>@(2R$WVLf;~Mmp?d>6Cwly{;sNti*Ny z^<5jX(DerJW?Kyw|Dc_A&(n3P6)KQFNmXc9|<=r8S%(EJ;C|Fak{{Ntc@ z@?;35C$Yvtkg3tWgyEua868Oh$@WXs*5okU-!O# zW_uHOFPjV)a$M9-{&l#N%y3<#3_jpGT&?+6ab(yG-s`dfL#Pl8my;NLc;X^{_RM#i zgX!@4=E?d1U<>$)8R>9ME3gh>kZE5%AABVR#&ElvF=R@U8X$v$^^0P%4?B zjKmnqSsBAShgNh)hOOW$qZlxhDFj1#HAx4YrLm~Mb(osnI*bh4z-ROjZul-MwUh6h z45uYCROC8T<~r;h^MF1+`5k-}jdZA12!;F$w1VrWnv3RZ!3|*2LnkbCnNhQXBPY`Wen)5g^>;_*`Q!)d6WGCD;i6Q95 z4S%>hvz=#-g!?(E4tl$675j$xc!X%v%)z)?#^ZxrC2dRe%Vc}N*UV+WHAGCbU3e}& zMj>37Ml@z^;=@hknw(v%A9C#lU#na(V|opvSmfMa;ko#@g>bc17+3pvE+N+-(Z3S8 z_JQviyMbOKWD2IoqVQZH7qv&YI&iK|Jin$5X|Ery?FZj;M)@^bqIQagi;r&zR~MdN zT^%g%2Oheu1#-E;*TpEm-V}NV*La>^WBTlrkn0He#v0|<0rSGpKOsdK~I zW5pTpt*{xy;!k(M8K%>&l0L}5wo!X6)K2~x_?U+8Ed&D}dk_Yd>u`qa@K@=x%aK6?pK72(#3Y*Hzr5GYRQbTi9)!Web?~`Z zEPj8uP9vd0^rd|7hpSVT${f`N}c2*Y`W zF=!6P@bT1+`kl*{!1tfYfT3(582H$OFkBQELy+r`GtBZIG6cYPQ8Zw%6oP?|JqSaH z>k#ESG_3g7eaH|5U)V^8in1yG%YRPtu?Jze!gaXjX0iCCdh@o(5CY#7BOR&~f`N}c z2*VAD#=>v5GKRYv*WQT?Ves8h3}R8e5Da|mp)>f4sf?k7lQH-wcAkw45%3om3>bt$ zFz~SlVJKx|3}v_uAxEeeGDN{&N-|)mT?hs~_8<&pxen#I4nyn>^>OuO@ZVviLtWJr z|K&d?`PhRn+{tygOJlLPt=WmO$Z!SxcN*vrSmC-QhDd`X*4X%gk39%OB|D2n6+2@% zzH8z;$Z!?>mDJR+2&{G%oPm!$2tze=729w6tGgJ(Crd}Q!HR3(uVyn~_}Ni#20r#6 z3^hf@a4*+Et`OGGv0n#&P1%59VyvqIqf%`jB7E#Y7~1oE=*V?wv^k>&G86}Yd!u{^6+#C-_8<(MxDIxn54I!O z$C2SS@OL)S;aVZ`fsZ{1Lsy;;-P|lE?-|goJTjC3e^-NiNO-+j{$s(%9)zL0#B#Ey zl`)k6s^m#zC<*@VO6r_Uc)eNv8TiQUrHe{bLWWY{?=2WGR47C~@UaJB z=*M*!z;(FZw6q@?ZU=uqBOR(b3chagu?Jxo$aNU(WU**ExESuci^4h!*%S7}vI;Vmg_h#Kv7eqmXGOiNuMjWNFXHY-AS@fj7LJHC+)V-fqj1Z;dw?A{ z;AnBmm;>eA#Q7-PPl^qDhXwIgt)hZwj&{a+r|Ljb>s$|<$C8q9MhYMl?VUZs)2$Xl3)m6 z&L1x7gadAo6Ut(MkxtHpvUm>Gl4uzLoXr*dK+O&4w6&D)nu359@Xs|;(5_O0Bur=? zA}?r(WwDiHt~H@726*ABJ)tZPINL(Y2;h7RQ}7d8Zoq}orV2Z=5b#d$&%f!ia(pdL z4rhK8q#*kLEC&;|3Iy;oD+Bz(og45=Ym?4z+>L-0!2g-iO42<^tr*}@l{#l3PI%fF z%VG-VyzfYChd{WQxr>z%z@_LuK5qWnnj3Jr^XVnS^tJdd@Gq4OaxjI0kzPWg<5-C6 zyAsOcfO~8SW$_U6Er1S~E;7LMfpTuZ@9kZhzC9jWR0RJj)2#z0JR!^#?4l;X!~hfC zl+Hq&@DwOfa5c}tpKe-<*Sfp=hc8CJO5p#|W&rrIkl;ABC&`&(Ni2(PsQHsLEW`;< zws63&721~o{_JES-k{}D@WfH&$jrfW5ikw>>l6b(kr^aTBz-L&i2ufZdKm$X481wT zkzt8tu@DDGx@lhmxQQ#c&7KR;|GR74_(=i+RtEnTqqTTMvJ*)M9Hmhw3^3tgLoADh zIMhQ;Y==Pjsiv|vTBZZ0KhG3Q@22DeOfP94CXe|M0jq%jFTp^;u}LtowYY&qolrnJ zum4X0bCtzck|ruGCx9=xXo%D4U2bf!aH5ksv((om5wI%w_u5kfCOn?3!w|q+qm)<< zChd3QYjN^|5Cuc6DId8M>p#F0^dG)yGqct`{(<3x5wIHg4>?i;Mka{VA_GkRICLxr zL;Nu-svv~;V^5?n8iLSH77<-R{}F{M=szjt3bFr`yuNWrK)}1fe_XqDz+{*>U=mDB z!Q@ZK#Y4Q=Nf(#^W;ht2C--&`*W&YoTjJT4V%5Qa#$o_C#ZC<}1tXFru`IS0&tQ(3 zf{~f-#C9aa2?GrR=;I2W%e|8L|1(u=@JdAltO5RP(Eu=cn@4gm!Y?E1&@n)#kN`sz zr1Sd!EW`-|4FZ^BWeNsv%E6#(Yt2vbIp<fgICqf6-`?Z@E-6-jW#o>_QmtX1elnDsYcYv+s0T9CX5jY;0?AG zXO$3g0iNjN`tH!AItVC0mdTo0!Cd=Yy^vK}H2_Q+1mtf!7;2*?K*ts2dnN*Sr;7nr%pKyaG@HM5xn~iu7Gzbh zrv}V@;3MH;=L#Lk!Gu@9upCTvR2NAeZe>*=_b(+KZ5}@%*sV<_A=iD7Rn?iAEAojo zY2kIQoCAqbjBox@ts6bmq`o)rw5Fud2^Ozy~1fAy;a^ zP|~qk{6xoMIRPfVQArvG7z0N5Mv5r-n7{y==H94a>UjrG)D^4)S&tiqc!`<_6Webr zwI-Gk1uyh=CzQoPd||YbP!?Zj?J6xJfKS>OU`tyr1^4aOKC}%Rfq-=(tC_(@CGvF= z3;_(L3)BeWe?&kYE{%W6VdTtPnQCx9K$ee57Jt5a^ktj@}@Lr3t6S+NHptAm^xF!>x+{17Q= zC>qbf6Nxaf5U1Sgt!4{C0J}&G@WtFYnAKgpF>^;V1gsBPT@6-}$dB$M6(oH#(~~*L zE5wk~)t1y3XCUDPYy_|e-}Cly<_a+aRYC znk&_iCB+Vx`qKZ$XdAD*3Eu}JTyHpU+Oeg-ZaY@4r;1#UK-O@BfF``+PR}l~QAs`t zL{^Dg=1O?q9O1(Inse`PPe`UgbH4f&xvY>i#+6#HTuDW^a%H%_ElK?4saIr!o31SJkAwzi~h#&8)O90ep)CzLR@I zaQuR4&GirPR<2?VA#1vkg2}_CSY(riC-IHl&4XmfJv>A*NH|0xfV26CU~X$Z{G07I}#0 zi%B6~$N@jQX=Aro`>}ZIiwO8QWGyrROg;dM=U}Q3Cn=qWf=}3!0GG&YC0T09y}MW@ zKKtLo*ATEVWPNEfSV@v$Vry{{Of1B?Zl^hmI)S#^Mq$X731>k2S*B$q#LKM=aOF)S zf@R{Srbiz}z$TEj++9e()lTY|Ze~C`m{=AYvS|BQ83Fv>#sFQpb1-X}SnNppOayES zS>LOL1WdjWC#K+0rWRN5AC)c$0bFZmfWJyNhd5)w$sZ8#3CLQj6%sJ{E}a;l>Y^|l zFkPc%1n@VJ0d98R4ES@|jA{t@BxG$g6%sJ{7M>WOpR(}tL0YDb781a1E(Z9gdNbhi z?7htq@F~dp-Cjt*ftC@#^XNW)Y^kN#4mvI$c>!Crf~@m}+z#ft8z}}@%}QZ7U>YkUfB}&K zhMhO(;0`VHGy=AUtY9IxgSl>1iUHnhyBV;CM9T=^6@dX>mv08FX}R740iTAftCqs$ zV6cLdI!3kPD@k2@LRmZqYqNGbU^b3E;vvqyEyZ^5-lh91Az&NG##7Hp57W_mb#h(F zLVI|0o?{mm-Mb!mr8?U3}5 zkn7?pC9#a0cZqCHEHfSkCY(zoToyJ)$iB;!E3(-YwZT)b4?)0ZAlqWJZX{i_Hy)>6 zd|FO`HU~2m-d={8~>0d=|2+*bE|jtAL$Z#AswGi2grOU;jT) zSJvnC9|5e%0c)iIJoexHT@kPyWZzR*z+N%0_;22TkJxBK0(d_UaXs73A#Pu4-W3FF z581h2kVSJa)%lHF>kG-ji$gG(W52usAD3x60*Lp~)0Nkn0%s!Al&R;u0lTnvM8S3(u%mSI zO7iwApYKG#&XC={uz(wtTLbJP(sl&UF0hs4g%p6xuC82IU;LBMX1J;qeXmE=bjySxFrQ@&V;Cvw0k zDF8ot?VmLW_#$LaDlA|_g?i2#u#}s&BMMGanSyVtxkEftIN9-NJp}9y+0&)e3g*0H zrv}k+d_1^8NGv0Rg-anRq0Bf3LtnCX1aKBRp^!b-dNZJ{^m_e_M-RxJ?MMxn>y9fj z($97KSN;GGvIQo9^Elwmr>1AC*C)=t3jtq(Y^M=m7d1hdn1Y45oTROhsXYSt$<6m~ zWG}X~Z+x2%xq3qOLT75>F4nYBJ1nx1ZDL{>5ia>sP9Bl{OrU)U*BA0lhe&v>VM2-J zxT+QF1=&k%skuTQF*}SaG*hCs^)kYh@ZBLSGv>NjmDwX)Yi&1iWv>&93C$WKS8vE( ztET44eW3-3Ao;$pI9Jk5L4*rZ-3u0~p1i7VxH*m|4%$~WUiK(*^?~f)4C0vTN_k4I zcFbR%dWB}lRBNKwR{KqQo!D*KRN~>!kn3g0-m0b6E7u_fSus-`f+zDE$1%r;i4*$~ zuI)~?Y1^IqEKBwtcgxHDv01Ucke&O(7W6pimN#ulCvIZ_y>w8dFeFKF1`_VzCV=}T z26!;{wiho89_dj$?p$fj0i5>jqqENsIW&f`q$g z31B7%^b5K6UZ~*Z$=$z0zyXl$F#=3}G={7s7yBhSCV&_58gu-|055)MODKzncm_)k z0(e$oIhd0IaCO@D<3uq^1VE@L94G3G?W}QaSFI=ir5;%hfT!3phH9|2W_r zw!j21A~L{h;?0092UI+TfP*0Wipc|p7*Q5cyb*9mja(O0s2EXD5iwkG-vS~c zqBfw&rUXSqP>6^K2pUloQ892;5D>yHdxT+~g)qawkYtkosp_t(?oKy90=#cOPtT-J zSJnCSx7OaO&q2T^p!gKULBStw0OyB|jy1qPLk63k#VZtpO|K*&FSjwk+UQ=pp-_CL zD;KbaTK`@Q@gx*`!uEibUx@^Tf|awQ+zGWYz{=mbiDst;X!v-hR8T%X$N=kl1zj26(9|0I!T%0E@43E$Y~B0Rlb? z#g}{S0jqxZ^8^K;<;g4txUaQh2*&{VHvP}y(*KqFM0yOcxlaIIXFX9vz%iq1+=YP8 zLGjfw2f#%tbwHOB185!(7UKgkycW0eTNV6IXyb3s??S-mq4-9J0c+I~mC>Crz)2yV z9#c?>3cz+bS^S^Szn0xYZ>+um#ccu(3NAarfFE)`b~U5;*0=z?GoGv9pSP^T_RAfF z;`XWo;EE_u@x)~D$N*1|DR?)!*Bk2llw81-CI6#99}E6KH7gT#tH1hCb=FWGKF7`Frr$bqLrSik&WzR+R^G z0L?92e!^8w7YED$pH>9m3)T{c4->z#ZMz2nUxMOi;|?XRGIU}Adnb5$46tWF0QL!6 z6hy$1wzp;wun!bFT_UZjI>CT3zX`B!Q~>5&B1ORLr3ZdPz?Y%e>FM37)CmSO&#zW2 zFOe4KTp~rlNBrksj(~lk*y$2!RVK!dSX7eZDuY`^dMu0IM)!KFH^P;h#eLd0ItxR* z0>wieTD`*{5RYGQo`hVlLh*-+J=cMEJp4d~aFz)I@@ke3j*js37}xl?5bjeoH)p?Ebk3&; z*dK}~I0$DM;1LzaR{J_C+)3W;^Wl?4Mlit15^$`;?Grk1uZWG zYJf|R9k8mkj~^TZ{4$o4v&c1U$hGs3>vbre5wz#Bdj{foa<*!0)WkJQ4n}83EW%;O zwyFKSD75=iUW z0Hj?QvP?gcSKX-S8sP`Wa`soL;BSds!1|+GRwCd4C|=-m05m-SbOHc-a6WCc{D)L< zsV^7M=WACJ0S7|ypAKcP-1Gp@2>^U1#0i;#%RC~BSJ`Cor&X1c5O5F_$DFd*^Z?Ka z0PGbv0j`l*yg8n$;5YGeHX`7gP`obTki{c-dWKWVcY@rQcO|LlC*m>%w?>76JFFcW z*5bcsO}GF7--6<80S7?S13)K0!2wZza14;1(dt8kl5nnqkN&-G3x*gB#e3oofWZJy zkgBCMGsmMKzG|c|46sZUfE6}b{NC>WU5bEjL-BsE1K_kUPw>P5hD3S{urewDQ#M(A zxaKK%Yrs7OimRNm*z^F<2~cpN$`6O`xB?SmL z97<|CWwGf2pc4Q%J7QAsOmwejaRZwyR!e%6Vu%q?Qr9Vqv7yqJ6O+Zig?M^Q!G?+e z{FgP0ae&|Fy;^+`@Es^Q*CC6o)7_|aoO(RX%q^ILwlKi+RRMTmJhzgJpWbmZ0=^3+ zO}!44#Po#H3CQAKI3F7N^W!6*A43|H!42{#4{o3=2nJH0}vB!5a*ap8(NNMMY7e;oPLZG}di(E;w^)0p6<$zz5V^z*=QBRwCdBP}13< z=vA&(j4&)`E4N3Dw)_;FDh`+db_ol>N5U4slJ2g*`%YMgfMcNKVZ~m-gYuy_p`iH{ z6z%??`BfF|?w0kH7Cb6A_?pTOjsZRz6M#>+tbk7{OVTUeM8FTBq=&<~lHGL#oAY%r zpVvEIAUDic$pD`U3PAo*Pcfa|rXi83HD}z2fMcQLS--u471+V(i%`(g>(MN>^m>|t z){2kmfMNDK2G~mi4)$0TeB1BYvV%5%A3@2B9(zEuGFtOzr=VGBX9f2ah4=wez>Ql~ zuHeRPF)QG^t}_OAqh0VgC>a*E2dw(a&l401THc!16#Ok>2&XA%m|=*;r3xN=Im*K^ z1wVATPSSh054}3zVI*A~_d&^6tTv06#$oYh6nF6!MgOtckGm2p+Q0V_vX6(7Q86?7 zsjzrs41FbDQn)Ylzj&h$ZRXi*7jFpVhFkB?wQW>w;>M}1ZPe&vgOVF}JqEC{tWTgV zd9iAYyFQGz!>8h3Z6V0Knz9@|1OH0fsuBp-S2!bliU% zrYdckj&~=d4RxI37dp;C)z~~WqC6f^$4~Y?LdV;mK*_h34kvFN9}?{Bc>Jh^wJfVt zpET~#78-6t9Bqbc#muJAa4$x+Q23kbgB#ZLSb01wHDlqzG`$899#`skf81!(bbKKu zZK&g-sL*jyJP#cg2gc2A=XyOTz>i1hXvqU-9i?Vc z$8)1RJ*nf%s?nzD_(o9LP{;L(&~ber4;?qePnrDja@27ml&rRNK1b5gT7E*PndKR{ zyy~?zJ%y(CK0n0&qdZE@q>kPgk1Tb3+iSFGI*#;68|t`6md8Cg<#7XA9Qv6J@!qu) zf1-|IC@Bir_cNAy$l6E4bV;oIFda<~MdsH&+Uhtd%#V@jgB$ksT52YByg=n~rH*5w zMw_PNgrKycj)xPXJSMSIHn)#Q)Ny&eD-WWMpF+uj=+WzFJ#MC>`JvIg%A+-36j~l< z@k9JS%A?dw>Uc?*N0vHH2^ejfj$e7C%>|`3y8@x#h>XeViXQrKjY^b#5P}W>Uw?qdYySi8Ly*3F~8-v4Ka`#&K%+KzixC`KR7I!eu?j#uG9 zX^YhH_khu+>G&7swze=GFBR=$>81I!k7E;u#!j1oI!=bt3-V|mt;db3g87l>{MtwJ zqtT1=8iya2nn@k6lE^+^5o{@o|GJleL836&os(+4-~`x&X3)bWM@k1NY#QP^nHbSyEK$2)wk!kp?H zc4`(&@ATaEX2sVi-xMfq7q)K}EzO;F8e@9uA-~hX%0c2V=;5;Ax5Yu@(GlePbWCC-%J&77b_&?bS2)|3q zPRa1++E}k*nZ)+E$7-wPfjm@|1YqN9Bc_`nPQ2M@8 z+xJU=yErlOncwI+{?aF<&#oL`R)sGA3{FEL+zsS8lEF(rEg)rZQs^{R@b9^ zUqPw$m3KaDHs8}b0RxxfFh8^tQ~IP-Qoj3hOP}G1b}8Q?m9sGU*5=#xT{z_4vrxXT zp>%~)+h=;y@C3-0jC14@BcGH?%GbrF^vPH8gnWi)@R@u&^KJXCB$X3Tz6g}Y9ooKw zBx*d|<8J%RZ>FArwoghW<$E~HnMLI_ybU1aGkk4<$yXWA?K%!dwX=@W3+U;G@g833 zA{J-#}@?q4ZhKFpgiok^nz6QTh(<7R@@dDR{`pW9r^t?xuZ{eaMykg=07Ro#!`=0kuy*T$P zsvYVt{` zqoF`jyPq%2W4K5gM9xEa2Ha(D+M04Y4SDm z8pCPwU2F=c$#=6UJvMMTbW_9_mtAo=bb~1zD}9HqHHFjUlTu0fUi0wuqp1kJKxQQu-NT`T*QGDarchf)lk{5Y+b&8KlL|vX(rXSEM%&@xaXmR!(%1#7!y^Mm z8=9iU59(fpn53Z4^Y;@q31pHLYTXy$V|RNRbHb_D}B#$lXmyaPbD~D z3a3@xmrXWS`l?(39$CuwqH45h@}<2d86_YefZKLt8w#d>qRyEo*`S96AePNaN z(Quyf)#$wb5tMHZly!4z`(6)l7ecL?-(;^0ho$euBeZ?C1DC6ZoIM@o`w_~X zQ|&A7p?8D}DPMyycS~)We0Z@;U)W*L(pPm>*l5$_8yzs(H2J)yaGHFfs4*NXeO2e0 z!fEnJsib^UL^vtmbd{$k<@-gEHk5CmP20x@F1cL?J{6~`eS;|9Tqx`B(Doe~ALlNl zd>5N+ntWpwV>qq!H4PhWOg>9n%H*@!FePgj!{oDs)8vynL0F!s{sCPf#|(q3yFEAAi^JCAL`FLJyb8XWMm5RE^=7e2471 zj`PB%aJU7Bf9EDc*Rj;Yjk5GD3rQQ6zEAUQ`?|Dl(iP?V8Op}T94ha(LGD77KHIM2 z>zFZ|HgK`)I_5@<;k3$Y*LD2hF^1FROM6YWgjp(C`c{azvh=O-@wigHbrESp`M$}w z?K^z!^|zyZzd+fSPHo=;;a13J+jY$Ia};(MxCORd$DcuCI88pguH*NZF&vZ6w(F2m zN%=PTcw8yp7LU=Ubsh1Lw4r>_BeZ?CT}SuP|B9k~^Pp_5Q`;Ada2Ha(24SO3Yy18Z zcv3#QuH$c?DQ=v~Y}a+H7Kg^t!$@G;bx5hCeB1rphm>!Z@GDE-o`?*G@-4S%`;ORk zjOZHr5as(7%B&wN;+IPgZ4Gi4Qa-z`V`I!1?*G58LrNv(D=|r>4V%jhQt1O1!^}CX z>%c>`K4nmP#IB=mx6_|Q`Jzy^-l6oJ=&qw85H-roDzEu1f9+wHiU)-RqV$>H{#wj> z-sCxPV|weuv^^~6CPUXzDY93VzN#P($mHAS%axCpKHJKBy$UImZ$6am3EFoZ=GX7F zvyKY$nFy=A<)(QAv}c7Xp7e7(R&rH5wDJ+$#D>F6zKYjzkG9ZoY}{x0tOjfQD*7ah z;h1~|sbuL(DLkC4yjh>o#@fCl@kkq1-b^G<`FuWTkMb>mvcoF2U%3z`jd8w$Zs~`X8ec_ZRH7fF&(l@K;SAV&IRGw`1Cm-D6$)k0GiXHoTCa z!5J$3aes}fZ_w95NH+J8GY^Ci<|0V-#edpsSgC%Iy+ekNg6t(8$X*Uan}nn!Jd%~gS)kZY|9X?UfA(Rj3>Bbd8p~@ ztL8ygiQ?*q)U8yiY}){2+azE!W*Kg^4-(fu5!l!wMz{PiTm!J(^nbt}k@^h5UDIAmr-_^wREGyKhGmO%D>-1S}@c6Mb) z{ZS0E9|a)$iH|y);Kg4N$bRPI(Xx}h^?a|!Av-CGD@OgoFLq6J^*``j3&>8v-BW^) zQlorVYEZCu=!yQ2osO}lqo~VS^4}k)2 zBGyM?by0a3vcJV}4M3(`@MaErn@{e2D`XdWAiEF?`$Q2dH7QhY#O;2_E{WiZC3?KV z6HI-Qc&+j6amcR11Xl$hh1Z~X?HLjLsQ=q3$gaa(>jF^JP8`?v`JtIT_Eti6a|l;2 zq#kAk?jPLx2e-PoTrS8KVX8$z$nIkMcH^(zF4)l{$kQ+O$6qO^g;9$Aklh=H>ZM`6 zt2*Yoym`Se$nL{kWtdI{OR*w?zZA$;#%cNoG3r4tWDm1_hjHIw1$OpP=)N@WOMCIW z%FB~1n;w6;Ynw+2wmI01grK@orR`4*FL9k5f$H5+x>XD7XD$4HdW3Fy0{ANdyM7nQ zyM7O;Pap6T*O>}&)rrB9yTdeKJ(Zj}Q-!59Vsz_{Fd=pDF8agbaLU$3BI6FEiK{~# z*C1?JALCeCHuxV}I_)vy>KMT0!3A3qBG#7u-dA^DaSw5I@)6fP3D`czOOt;9*ZV`T zc|YUt5+bM9NkGX;ES$vEEsCojHr4ght?nUG_^$|YJ?f%ckJ4WXR1b*Lt;aFs<5*p9 zW~n?CCN;Z8plD~1?(3-#S1?9gFS0aWjN`8$?0HO~`(8;9&vgMPZp6~;A0~y(Jj69n z)sKg`2KlD=+=tQRfvvUC=jC;Hp6JZS2q%#v!r51;x#n{!9GCwKxvDs{=ITau+Eq#%i=AswWBCz6eGV9Yzt? ziXf>!85_m(BQ)S@^t~$#+s4J{*19OEkFRp>*vGgw1c_?{CNeup_ie^?QwVkpR_NB& z5UyTGUc&Zm^OC~S7!-9%aCh5Fl+)U7X-Zs07_i6-#h0;YyFCOhz02~#^*rJ_6vs6P+xLg~uD$)duMTPZ3~{Bs#FfH=JW}{7S{QZLKL1DJ$_9ulgSF^g zq1<*)__iKfo+aQ85FiOC#?h=!SeytnPFIx?I4O*)54PW>@LeUNJU4{uZ6#0>ch&Sk z@gadxa;|sNxivEcYI_NshE0I%2}%yQ&hJoT9DzEx>x>8#i!uSl%M%a0`_d-_>IMj$ zg>`v>&~V%0#IiA0oK4_t+|?ifIB?|{MUTZ^_P_Bqfpf#S;vjZqnD5$NL+L;L%`pVL zaa^(Lw)SGq!i5TfyIuJ4ZZ8kG=g+{y)0h82;Ia^|UMRgeAadpA={tAz^bE&UvOciQ#2kyE9>%=Q6J(Rm~tB((Mga&DV1k4EJi*;BMb@@n`#OQga90o9^>%{q+BwAYYq!aN6L?A? z@MH{jb_|MLEh7bEuZ<9RE{v-WcGioDUG)-80|QzU=!v^}Vv*|Pr$^Zbw6U@D2)vrWRfQc-3eS7%EBDm+tv`VQJ_2eK_C6zWW$(jLXm#K|0)s=iVk^)@ zareU)zVp4!vIcBm&s%0yeua(W@tjKVvnjuEdHnAwmjI4@1dA0eX~^f&>;|I#Ycj z;MDlP#wOn+@I{mqMxq4pj)PV&3CxI3T6x>4Xi`bxw=n`=%UzN1qGsL&Xu@6l5(K`D zh*;kRMlGH2Jem|zSdIz)5EQ%Scn>M}T!tnWDXhcK*h-%3My)NPoA(B4`GD|%6H@LmtrEpKK=!LJ77^+6^DwGLQql5$9GlK zir+M_@puAj6Hwk8*SAE1<%7M&i@R13SRaLontmvs7~-+Y!)o(`+uIYsE};BPTub6& zS843&svGwa*cyQH2{=glN9?NJp`3Z~Ff2FQd<6c%<4TBK<@-a|_MV+0fFr*05*L&o z2#W+O{VOMAP|0FUFoBm38VkaTCV{o{dSF{z=7aJIOt2~-VjcE;Qv0tgf&Cccd_3d} zWvq+5v&Y1-WLLzX{E!M2m&;vOc>nzSrWXkuj6(&!%~x?9I@C@JD_VqaP2PfP;Fz(Z z8Sc6CqmBj!oj~Iuo;K1yqkH(Rkz-d=7iK$pkhxLHXIJp zfDL?b@PY(vYV73!w@ve$`|-LEAq`_tQ4xYoqg{O0#$p0)*V)q5*G+;HnVPGETQ{3gPM{b5Z~mY$v+px)erHVe!3OJ^#x4vJ)cPN1K&A=H@79E zmqJL-7{vFnoaq}N7Ryk3ws|dvqWn{ld6n`js)7;_Go-gAh+EbZdZ@5H$kv zWeK`9C_uhG`h~YI{U2O${oRC&h~nxe zWR!=d_#UpKkRJ!YT#>IMTl;^rl#tP=;b?`B@lhHO$KK>){68T`weN#HLPQa`eN)6hOGK*$W{ZblS;`3d=oQGOjJV017IgZJ1#pEwy z$F@C8$aYM1C)>9(hQ9)Y>~YbMdm^|hkRGMbt&#+;DpWUSTM31beHgmjOZS!2UjafY z*}h5)S&1PZjPe7h?wZ(f>ZdIUsltF&7%&y3(b7R&T~K|FR|GsSy7u`|UlM|iD9IpY zae(himqnFmyGF!KLb!T~yD)%hxKHxnFFd#nVIHu0i{jb4WhZghzzcviRLC4o(154< zh`Ux4(lcqkxobyp^+WX?F%j)<->_i^MiciLe&Y7vr6e&VfXok`h7CSBNZe<|a19W5 zeTwgH5X2S#pW~ui=R|N-pn8%=r1*L8owe6|O5FcS;EEu=DBqR2+WYDaJ%$r^6O7q7 zMBEp|Xc`x)xOyN>`#Y`sNgwon)Ocb~;=Uw`D*~S>f$RHzT7AX6#CnHAO;!y4Li&+0w?@>xl zCGP7H?|Ls}y3qpTZi#8M3=sE!7_g!ex8i}!=RqDVGtF~g>Yu%cyA1|voq)_TkJz;$ z_;t-@Yl!;_8CT z9Zc{0&~k4GGWP`NzRrl%IRKl!i_@*Hc%h>YhKwfYR<{VQ3hY?zqgy>yJj3-vW)MsB zMU3}i05Wbb-S@JJtB1H>VQKcGzrv6irqF$_`H8!K95Qo5bZY>vYKXW8#_84|{58l6 znI2KP^)|*EjPWjI@vt>?V{4dc#I{EGhyFbEw3`A*)pM-EluIs{d zE3D$`flMnG-TDkePC{>|ghl$Nxybj|&Lr;7S-bb5 z9W`-y7^LGsJ>=%~A)An=)oA?(o^w?M=wMP0xoK6zCgiCr>ZMBvBtmpV%0qq=wh38l zWPcS;3reGOSgVKJ+}dXovgRexKGO)$(}6M{4>=%V6Y`X^S{z!1<8BunVCx|_RYq+> z*0{Ru^=X0^8|f&Wr`gSp^W>AJT#IA*O zS@>Ja@q6(!MWyE>ddN+W*&Ta9y7|7|c&-z~&($gfpBWYKoNO`7DL z29IEk`@&C8%Jq=T7m7SG91)!Fn~!NuQ0SSx9&-D8icOlXk0xBSmelMPqZbSGkb9m9 z^N>4tc!i!-57fL3ue)Lo5RK80Sl{>DEz&fEJn7tPe;z|zlfoD}p@%HSlQz918$uRN zY2M&TygH0&edf_aZuAChLKe)ZnYxC!K8Vt5$$H4W?*wf^x;xgsIYC?_z4Y?59&)#= z#Rl)>xefol3onbS7&^p5z8JSj6B^C=5wEll2xI7k9&+2yQJavimwPw3kerFbEW9qS zhg>{e9MRIBMf(Z;;ytIrmcNm;6XV3Pw6t23PeVvo!_}}F%1`mpdlxiK45{_Ug*E-O zPo_4^4XytsS72M;l^qX;(@x_WG{N?~Ew|x`B@Mp<2a#6>cwB!3ZS_-VyIi3*jH{ie zy6SldxiqHRw6olL2@Ka5W3X^|UW{=ejo}*MFPb*sX*B*PjePV(TDNKE-1S$Xt$~l) zDBm+QJZTu9XXey~akZ29`oAmmM4sAcI3K1$8l11v%K+S_hNNf4_Z37$;;uT!ord@Pza7CQBc6)T2e#L$n z=J7VKZre64hUwM+7H#XIx=p)3(BLXOrq#HY`Q7#fdL#8e_oM#!3x8t^QXRnmFwaA8 zI?#n4?`>fkZV&oh7)KMcHNyXxR)Dzrhjp8NvnYx-dJ~4Fr{8K?7$mNzcsT7wRo&fQ zyyg(n<7zjy>Q^9h7j9#B)}Xw0g5F)z!?AmBuyqjnsHgI{)ETLNWsu%#*KAlQ|A_I4 zWAP?Edw2lE)Vuf%<(7$tJ@F}mMUcGBL!Ts|@#q3B{vB_8en2y^1J-7?#RmtBwmMM4cZRrf`ETQvc2K} z*fziu#9Yz=H3g`h+B86a%n;6b>Ro*PfQO@i^uYr@cl$Z?fea(y-tnF`%RcXhfQun{ zXV3xgK_5@>!~k|vczP6&KH{JQ;v)_g!0of*yV5Xwwul zsj6qONmV_IpXX6s6ma{f1P9#yLBtC9YV7g313pH;rI7T;8~}SNJVgoklF61IAZ=>2 zg#wnIsc^v3Gpq`3ULPH?_K~v?@GnT-i;r#{btUN^CA0V+;Y0!!#kpe+$hYZ#7MK3dYA3+dMgjNCiEzL@QI`d9&nD$RZ^kf~dkrLe zs1AU|ewr9vB%qywCbje|-e-8#f}v@PIpdLGJtUvC zv>Sq<1E!`e`7_AIj_L5bV8F-O1jER_r(TN;8zA|7%t42afn#MbYDgLAvvIl(_-veD zXf*EN)yS|Bl0i$^6*_1qrIaII9q7|{oS!n#N9OQ~JRQqoF?eU?bSy#cO^_TIb~yY8 zc9?TV_ZC%${0O@$D>A@bA`~(^JrM9gdE0F zoE;VHsxZw2#JOYHS^E)ZD|B!nO?bAxoMDUGitpE+EmklwohV&|xp18XXzVQYN%RhHa31S9M?*VagxpqG6|l zcDai)?40Q3I_w;-54MousToiG7a6ugG8AxNct1$fpiBNbXcy;bT&ly)*(zt)Jv=JP z!|-9#nj=FIB;QjU7{>W&8g$8@LA#Vl<5Gq_c-2QQlf@HcdAPpE-dB)e2P8*(9T>vL z!Ju9Ek`8iy=A5(m#lvQoB@1lQ?pDvvV!6nd=+92G=-Y z;hpHZ1d@}3wt3C3oc`agSBre5h??Lkjm8%0T7SA*ADVRY-}x%KE`{V2zk};_Q9btm zan!l4jo8d}EMPe5n_EryqU$n9PW3ss-Vi)G*LEdbUbA4X_u-+7dfolmS9YW8eUO~) zad5qvd;UL;I@dKm{w}bY>)BC*>n1l0jic)XB)`P9xsSU6mnddiL(+JpQvy5J5}Uam ztQcJXeC>7p(e-{v&Wt&@-sU}a*Akn#?jc%Kd>2P3e{s_bwj)D1B)^V0Fccl-;cGW1 zD6cH)^7NQ8(6<8g-VNUh5Deih-$sz30+Qc^92iOiN2fzB1AXs+$7MRy61`hd(kB>} zpS7ViG8};9w?PMn10tOxw>EYRhvG&C`o@B;LlM5Qz)wpiT)gsAWT=GXY`+6T+RM|) zpCKFIaj6dU{RckMNCX7Kj{Z#tAj3gO{@}A`0JlgdZw7qB!o+YW#2N5y3c=7mxnU(T z9D?MJ9tVb+BAxshYI{u#^W}(ZxjYM4?s>Ho8LA*TH{rlgN2HTKL*0OpfxhX%%fn+a zp~EBLxBfGVHrk7p( z8#1IJxgcoIK*#U;lD`hJZJ`YGWhY$+eA!9l#pwr1u0)1vNdD%xXTTSDj-5faEsWt^ z(LUg-Q-Wb-QP1wkkb&eMJ_m;DW5>*3Xj>S=U7~K{i&%o;@p=c(LxwCQ7kL~QT6vD0 zLAEWFfxgzI=LNpjB^Xjl)WOJX_$z9c3XE+5zA31k3B zE{QoX+!{P~9c0@=8R#o#Is?9PCKzt>E$fR61d@M692o96N(SvA2+Awk2YjiG^HT=; zHk;0XZ?g%8b@l4wm5Ks4B$tOA7&`G}SlW&!Df)vLG_YilZ3|_fZyDYiZOv-92Skf9KgtNacO4<9>&p>1J0 ztd~0c85Im4Ex0<43@1Tyjn9Dr+Yf!oTL*j#k;i2WFGsl!_%fnkc&f&etB~PjNUrlZ zFg(VSIfn8e+ZL7=#U9RpZ#@cz$g)M#kf8=7|4ukCJf(1m{B@9R3)7)U=0#CJFqF-B z^>$=91(F+L4h+wQkDWobEtG-2$ElY|e2-Hwe0JxL*j5+Rgyg1(14GZFWawiG$Hou% zrYPs947)-u&Va9o3Win-I5$y%abO5Vj-5fa zEsWvq1ZTkag$2WcWq-ec4CsGX!hzuf&#^PewuLct3<`#pQim-IYG#q)3`p*YIWQdM zm2Lf@M#@XejaD~h+rk*M=g8^Z(4F-XLWe0;v)3a-9Y_{O92h1XWnN4Q8uii`WZOa+ z=*!i5y}*~N1w-Such5qGGa*?Ta$xu(YE0XC{9wl*+ZM*~q$m&g7Pnxytl_DbA;Vda z+!u6U_)X}JzXQY9$H5@m7N$cJRWRJ(7YsMo zyrmo&>Or!?=fLo-a_l z8R(n#dR}b*#VZ(2|M=Xl$j|_i2NMnqbEC)3Alnwk&|8!Te5GG7JlA;E9%MKhl2tJW zhI#6-Gsw1uGSK(vbsg|MdW`|^60hm^$ADe^%YO7 z^hLH?<~sxQC4SDeN7n|qpy>|}BiA{QOk3_miJR&2x+6{d__>xToR#WDXAsc2a0UUP zS8~FQqmb)dNMg zf~!>4$7$QfsK|9bq)xT8RlMBe+&Mg*6T`JNz|&)TB_!AWkkG5wqM7`buez?!YN?5UM<2RAKT9A+XcBUhSViT-+mn*m-&fVI{ysk zYAMV8U+*<+hFq6G>hhy+zmAV9ts3>BQ`*R91)D0%engy0ai$w5nX-N!T{I7LMiYHG zVzZ^cKSzVoaO_kKC)43^X|dAh&C#EVSTW?ht%!3Oq^_~3!*9|PkHVuL+4Y%TV>r!q zT@jbM)@S0;UDs@X(@b>T3{uxy8YJ;J;Pj|5Nyo-of8&sbl}FvsRGIXdestIC$L^$U z&gGD5nK0LF;rbHKvAb>*=5gs!(`jn;{9nE~df#_zWXWOrn4z=awpd%A*Z8m8{l!7>-JHD>kU7D?L*gBLFx{dZC=x-NEP@=N-nB3z|a;Ck!+VQAA#A@80qxolE%T3U|X@^Y?qHow@F)8jiZC zYSqW+`rkN}RMf$B^RO{VN7wr6qcp5^eT|>TWv)9b2G?($x^*JDz8dGWQXO1h7w6HB zEO?iYF`U+fhr%m zz7|s5obvi6)6wMT`X3ROx$Yj~T^-KYrFFshB<1wq?)(B7u7lKny$*-39OdD+75-$V zckpuQ7{lX=V0bd2F<|=^97Z1`Eog!BgE{4XN0E+G?rZIv9Rm)@^o21z9Tp7F=|gbT z;qld@mmtISIQw?oL5D61PsfQtYi)8F==|M0E@OBB>!0?pd#YzdXNQp7Lb?+3;u&M?FDQZ z=u~`s$(Mo7)yLy9hM}rp7$J2iId{)b$e`d9=}`xUr@}m)d>M={wlIcwr4FH($P3?u zU5_Kf%{XztlMc^CkDZ~X!s9ZA_k2Q!4}5}Q`t-VEk)butQRt*YkSF6f7;|c)=q!gk zGGiDM7YrYT1jA3{${&!S4WvHwI^;#)08c01yr2^(^01p)Jm) zuR1Wi9_Q)g%RuMj=W!Xs#IRtPBz5>TR(&}#w8P2%;|>f1ygZ%!8J3vahsjchDN=`U zv!}-(!!0~PV0>{cg=b_|rnGRF^LWeK?A}?-V?V;u2R-B;HNr#~#oqTnmQ(T%D zX1WB!*Ac<6tt>(x@3;-;zEm9Y;ys?sG1QCE=DhgEIB@-Z;H8*y!R`1N5T`8oILLh; z@$hZW6uL)wT$Tm1jRV&`Cq383XBg&)a>zaPJg~w&C{}(a1 zz9jZPIy}1zXF0XM0)SJXhI#aSUDHWZd0ggtVbI_@Si8kCblm|bQH?kp_4k52f7d_y zO|F;t46fTQ?Rpuyz8mLg4LZ2~S>e(1cm1oE$7M&o+~~UTke8l8*M9r}jDu^#i{?jk zZF_2l6y-)Gw$Q6RVv3^F+DMzwUsof;J&;=EGatS_MG>8+mZyUZY~BVs-@DOP zCyebDPW5lFX)n(k9^+$iF#yiZ8|2{_*Lv3Wo4Gc-hLg-_ zc0Ot1!r5xld(|6}>t0B0jXCJ`yHN*Sub!xowon~3z2u`BG;iq*3p(w+F&uKBUQ&O? zwIi2n@0`Gt(9gdh*L{%Mkp~yfGi>yiUp~s#mvI%xa?01{QNGunyya))>IA70&(Z63 zTwJn|VqE*h{79(-`q)BLfK4re7j<0N3IRJqs@$mpEcF>hA}Y<4m42g*31=8!iVVd` zn2q7I3=MLVc9E(o#ODP`r9##knvUJyt7dBiydP552O~sHI9kBP0P@dgu$lOP?As#HeD~-K`^dbxh*Z~ z)w%K4^cr9nNT2Fd(9GIs1+Cn~s&Q53aB(L3U+OhQgkxNFJiMT#>v}8&EnUz5Yo_HW z1bhh6b^Nv&NBCqZ7%S(pK@9aXNORjb-RjbIGdDxULR}481ODtrFLJ=Qi#21_A~keT~Z=5GQ~) z!f16?UiIO^(GTPq!f6@mIutk9^r?m9G#3o;M$vnv|Kqg+DuFs(+g*%+-67pFWD96p zXK`wJqhqba$x{QKv-D$*e4z(|Kv?4Br3VJsMm8$91TBDAXM5H;{Z<70FQnT<901?X zQP2v_vKVL3H^Ass!h9ea-~cZV#{k<)z`G(Az;uVi?>*|{JBJ02Lb|;@Af2qAC&*M# zY5kPbxA82dGxr<9>412PPhX^h@DH~{0R(&u(vGwHjkL+)ZVGiu z7Y<0jTfhvOO;->vL+OhIl$S#pU?5=Ey40*Wdlhm$4(aX=mDf7Amr7d~pJMdKik{(( zC}hxuONWf%kOS+6JV|9-k4Hq#K5gxAH|}>;j~h^qfKNdB3BP^L;)gm+PE-omJZ7|M zb>mv2z`AhP+W^YpC0`|}&?fKNjDd3!+m8BHThe$8JZY>JB;#+il~ z;7h7duy0P~?G@R!rN&+adq(D2|)bn4-dxx2gxjc+gb+GL;UUf zwe=z3Gmw7MK8x{_9V$+IetasFv_W}=xWn80_9Io^S;d{0pw{@4fAVM z#SwOt`CysbxK*{Mnp|#+r-AEP%o z*5^K!H@_&f*0kjcXC28S5Dqlu1%5cerc;bDXHC0-n$q9aBK# zf~vACw%GKx2?bD?$!{Z2~+&4oJU6 zX0&N#(A352t&6E#>I%w}H3oP%kyClG+aDjlc{pc-N^MCRD;i6FI%n=z8tQjzV9WUb!1#8+2}RO_x97P z79rQ`P<^t4Uick2BZJo4kMbLBEFX`W>xKxA%eYSS+vVfacm8Jya=ii7rzPz5vg^Gp zmrfX$x%bs8z-TXL5Ea1nVw~F;*O@V10jlf!EES--L2$#E3VH(!KO%Ql&;ihN2BkN` zrmJ3hBRomrj%7hJH`WM*BFQTg4DcMU06Z_J37H;#s%7FU1RQ{0uypFi#)zEYHPpTd zc_{~we#_4Qt=9zEJ~F_@N>0w=eTmlZO}`hp2I9v$9Xbd6GN37Kl^%Q;|7r_m)pGVT zBLmA>9)|uGIcvCw!niJu3gMbro3`r9)s>4@UyXo+p!$-4ZO++@ z(c5&g|JB>xQITsfRR71G%Q7Rsc3;9Ukt@$x?u-8C!dYy3rzJ;c{YTHQJE_{Ud0gj_?R`d)_&#jj}Ra$ONI z+BB{ojr@8|_%2}#ha5N(_9)!OxE_>kN!Nt6CUo=Fes2`b*jaoP0LBl`+T`pv3U|x_ZSO+h_hNZC1~?#| zBOIO_#(HNg^`O`HAg8~L0*6@>L(hG#K37yYt0XAr`<9*=Sx<9bUKZSN3k z+q-0;=d6J@%tyd?p?a{_0r1$zCB}!E1t5N&*#tO30*0a#tmj*C7BiES;7@0mcB$&&>u5|(*fI?<6;2%@n{~7DHu_5S{H1VyDXi30dkFo>Ti7Z zTy__&ErSErx|jzCjLUu?P?<1s{UCF8Zp0!SUN{-kZEbx7`~a$daLQTpC{7!x)b?^G zyy)?5`k&{l#ios*Twy=AGvVg>Mb6H*0c<__CVJ^<3{=lc*eYllfoMg~JbebM8{8@V ze`LU!F&>ry{w@I*Splp6OmuD^n~Z=TLiO)XfaY^VrXVrh8__!uQ)h96x*>0PmRT$ST~-i3f;q53byRzc%iC9-aquHrZXa;0=tSr!}bnKHmt5^!A(U|0O= zd_gk={0OR7+XLEPH(n*OiI+i3QD6$%1IjCt3~+-4+@e?%+*Fz9(D2b72sjR^H`)Ul z-@}j!nzB=GpC9ybpd*$+!=qXZ@SnKI;vG4FxB9=D;N5_L~vJnIF|zh+#Stn{_xVkOBElE@GG?RR{;IJe-4b5x6yIusL%X zF1j(Uecl`{Y?fawnDZ%eeFD|{q7Gam{04t|qY{c3ZCbNzx@^L@h--;CE=Drmn`B&- zY7Q5kH~zT#tR!+xfa-%@2QF{i$e^{xmxYWrjjOqlRpWxz9%DGk<_hLXeNjZR)0kM{|cBdKc&*S{y7@#M `-fL%j)8k!uoU&d8_49Y+l{Tz+O; z_2PCl;TPBF?a1{RWa_K7dRf1}sMUn)WBizTh7xnBXL{LQ_IJxm;Eb!0lEa0U{qJ3N z?<2@H88VIH_FRTpz$pXcGCxA1b*4wnC2tupt|qy9VflJGIp#&=`W!M%?e((j0gSH~ zbG_{PP~)qP?3hqw;+B`(8P}z`dTn0m4{e!$3vx|?%%x6xnOiSsy>eSLp4arhx}CeMKrLztPSiY zm+OIk-)=)dJj;95=OA3aFc&}y_m;^fRG6wjP>;Yb}0eq<7J$iBL8_4vr zFL97HpD58T$~w-?3(#;^n*rj*J^ihqjA{eeaae;w1e^t#*PH-bhPXOhL2G}X51{dK zBLf^1&Z!c`)0B&PPodB4e+!v66^ES7y;(yHQ|D3Ee7K0=)<5GKrsQyKR$b5Zc<%+| z`VKO~;77*{A)FRTeIm!{hw*KEj)veyf;J(b*i%)QRR!_fan ztOA@VxOtJ4J ztmnd7TcUDiHjK?r!8QRNnE}p-2*8LH5bMT-OV{^Cz#kzq)9;YQrVHR~IAT39&j-+W zn}Pv;D*=DV0UR2?B|Q9Y1e^<*@0T&{mi4Rd~619)=UI4+vV;llpEqR)%BA=l54nIE#vP(rTp^Mtrw z#;?BTuUEGSPmk%f&}GNf(;FOzT)#kOVZxrv@Hrg81-avK?u-7%a7P#~P4n`wjBA-k z)Pxm5OI6*Sj<;>KWFi92gUqssEugXFazM!9v)TH>g|pn`X1=~D*`Tn zjN?<=@PCl0 z2-qr^Q_xlFWRk+22tf0hke0>PGbW4#S@}K;13aAD>fr_aJy*;|p$mS4jN?U zA6XGx<})FU%X-FyT*UA)JLSr{yj-}f+nTf4LQnkTmUJlfJ7mG*Ae^NFs#Lh#TSk^^ zZ@O^(!pOuHdLRgdM6zXKfG3A@nq{0$<-t0S-j7^=K(>ZcowXZ5eWPgJSQE^u1Y}L) zWxd3iPj@Mo@gaN0Ra**oM$}Sg@nMbHzdcT0)>sJH+EEAL%rIJ&kOOd@vzC#T4rnk)f14ds0*$XY@!6ABTbC}l5B`sXAd#uY1 z8(v5N+O(2}KhkS2dI1w$O5F23UcNdxt1=YYDE4Lu?vy{Ix8Cs{-lHQJzRT5w55`=_XRJ(nAVXgrV$7l}20R zCk3nHq+nf)ZvCy|>Vc)(BXnz%7q*srAwAMhx3(yx<`)RCJxsUa5mJA6kQ8k9(XH)q zTybkB3%Ls|J43J|=;zLN1cRHG^%_YE_J(owK`Ea9=m%HYR&_T!tsyDchr9OqAoH!l zcV)f}v>n&qOA0D6!2=;ukc{cONI@$4=-)qQ&=epAhZC@436mtFlKPY5klvf%X{3ui zfqr+LObSU9SDcsZJpq+^FWBn}l(q0A+;x&4wvCJ7u@u&flKS6zVaxdmx^*hA z3j%b@;~@o`W2CSSJ?g?baa@C>u&#%0)s5ncA^*)G@n>S_-X*O`VS_NPK2mtDp9XA% z>$xFVayJ#S(CZ~<&QxLN`4PI+OeL;eVc48-@gpVU)XC3}{hAau$6fzKAU!Wg173s4 zU5(?Mr(<-hg+dCii@_c|)xxbCk?_UNX{XcOy!N zD88KQ<)WwNgxZCJD@b9-Ag&m@Q-G$?8Po3+hV z-%AQ#Mqe-E!S#=b1YZj@X>lJlDWve#7%6;%9i{5UU+8&Yh$=7$_YI8UR~Q6+>YBh4 zz1}{96b{CKZ(+cp9vX0H6jwhf9G;+CBf_|1)fnXGAelkIGoD{qN(x7+xOzz8dl4G& z{Q&+-kirj`w=oL-ib3%h7mrpv#=E+~pifBQM_y7m7QKDUynP(SU+8TD^ENR|3McwV z;iMQ%@-r1z4=MaSLbs*_@K=HqP7TqmX$t;|k%Hk0-J0Pggx8hPz4OK}@hbO5lf}*fq!dVAoL_N#S7+DXffZGTd6PW9#2Z7u4%yv&BpH0Y^R*s-u?OsloUf& z`1FuP+x<3al8#@^exJY>eyX+}a{0oTO-MNH$xlZTn1Jejr|2QKzo*!QbUj*7a1N>2 z4Ugy>?p@1ln1@7VJhs-xHMlxR3bjEss;RZ44ca7VYpQ$E+S+;v%(C_&&8D^6Q0@(r z!d3xp8>hNlr2dtdUUU3~zg>El(cmhK+l<-hIFoiNuys&?b}Sf-=Azbj)w~_~JRaSq z^>y{X4blFN+Auw>53T>XpA=+NYQwl%Z(o0Soc8#-O&br?FT`rPMbT~Ah@swZK{{&C zZ0NXil%J8{=)*Fw-ZUg~{=5r5#&OSI#Jwm)$38S3UBJbkg2oY#W@0efjYu5#7;SaJ z2#=#5z96)AMuKA?gH5~MfrB4zqvN{F?ecoZIerTuzLTOnTW1~uE+g*6Q3t>^ex4vz zpN;&@FxuI=8HNG!c=SJui~s2ejBe9~0#+{$vO@S@!wjd{CdzkTB_|@+a^hZ^aNshF zq;Y9EL(``IG~u)y)NQ(OT2AwD$bnqj<8+%YOt@cNJZE=%LKfj-W1|`GAHxwaM%>H2 z4uHF~)bOtqZf}?yCEz}zO$V&x!3@GB1Kh{}uM2R%>MMg5z>SL{-JXumMZgury~6JR zXjk;KdO;ltKpa?d$7s_4aYSjbX+=-3XVlJewqZ0UXEWFM28L^#hg>U(dzEU>g(Ff^ z+A5}}*K2AQTzY-gZO$bQN3k>vT^QGcN-ozNZ)(!UYUEl)+}OF=R#iJLy&a)x3obLO zbBV+8G>u0W%B7Ep>D;yW_0W%!&88vFYT{lOx8mqo&>+m>;vbqVl`$$)BW_~05{25d zW|Hop;Yvc(rZuPBwl_{~TC+=Stb9EkqdhRi!7nbfyQ2QZh_i;cH+alA{0l%hUZ+v% z!rUnNxNd==wS~H-Cjip5x|6I#*K3J;W5U7pjd<+ZlD}(-O1cGl zp1A)B*&p@)gvMEuLEeVxusU%^^pCl}jkc{%D zLN-N7vJ#T8@-IbJC@NX{t3Pb%ztjp5mGA4EnKNg0?@S+?e!qDFxIwqxU9@Yo5oyxOc;2TF?kpROQsx{zfIY*a27uGam4yy(&BPdxccexO?0 zGBym|4S(ACK`RD%bIqC`Fz(M|z*LQ3cv63cBQLK0;=O0UkfmDNQ#K6O2{pFmLCXs( z23J}Lb3b4*K`=~_dGX!A^*4fHE!D~;Y#91vg&sRU*faDC30YnqFq@?_U^dGr53^@1 ztqX>ARBLD4h9RC7dWvU|-5SQg=0J1?%z+pT>Fax-g(~@xYW)_qVd$ScaE80GLY6VG z8Bv`9Gol8A^Rv>Yz_6Zb?F!p442lRnMf<@t0^xaq**%dLO{5=gT{&bL7&cI?KNTAW zHFUrXm_HPWLNL?YkBW%l=JuoX>R{}m{^Rqp!7X2T z5HLSeiCf8s5i=d7&l_n0j5d*gxmS@s7N!l@-VJv z;NCOBTK*V-_=x14Y0LTna66ThMQi|HhAHikfUic(VH^5^RUM1*b@rg6P*I0Rw3<3325y$8B9!=(xS7rXP3R{Sg51W+afbaooaqo)MK9`6e$+1C%YS0cdLRc;Yyq zY(W{|-p$5L$G*;b?Kg7v?<+!ot?t0)uzsPEip;+UG?j0|gQn_c0Aj8^FL4}DHm?lu z@4g}7!95p73$hpT^RY!o@*L(+qz90eZyCy-9BIHWxQ zf1{G>n2iTlheV3G5fvUZO*OJGtqjUup8;5US2j-;7wpxT2xEZkZJbyx{*qD?aB=%D zTLbWSDyftBkASYUFf0LOcbO*+5!lN>5sv}x*)6l!QCJ4|?2O;PeR?AR?xK>z(>8z> z?Xy)S$#0AGX6A>OfRTW*IprR#n>0LFH&@`neKVskJ~nzg0RNzpx;Yy_t1`GQX*O)% zKFbb;1eBdc08+`(!h=+DY&IW|N*Y8aq$aV)?VnUqKWYtF;4MBSo&T`V%izDQ#j^Lp z0Z&wP4^qj=kwU;zlBFw?EdaQiN={5!16n?UH>fo|cy7!Trf2b)5mT5x<14eB1BQ7Q z$^3+)lBVhn@iS+F>o2?kwaeMf$voXEE8jomHHlizZjYGaaV~Mq{>q${PeRUhhJtoC z|H+H>Z0U`MbO+bpco%EuFYT?Gp<88KzlPa0JD5F1X>Am)FASfak^-96KbS97l4%wi57s3&2?` zT}uJDmrBn2uYh?i+E0I4JzuAS(0Bml9BreiUHR(+RqW6V?RfVcKj z^yG7h19r(8S=?RCFN0KaY5K?uCShz-@(-0(@!>qIp69)d4_ z(4M@6n|84hF_6Rv(pJdI}Wq5XR-zPr<7g5QL z5gW(lJ4tg~ouo*;$XRjC{_a0#QPlN=bKNTXRD|Uq1&+fHncNv2gI;NAEvy?!+W_WO zO1zuW$5;cn+Te8Tl;-CE&J=qQ8fR9FMI&OG&=C-l- zfXj{LR9PFYf)x!a5^Q=`#T>#MXFm4NH7z9Ka;{Oy8eCs>Q(simUx3R)B~M1Ix$<9b z>NO$1?JAB-zPsaG&-`wO_rsAOEyhRc-}>Ene(g`WJ^^+o#Y)rio? zxhAHKf;OqJ?ZuaOyNCSwEC9V!@_fu1(DdR*0_HubiUgEn01o()1bnpskV>YiN44wl z8UTG%f@OV{BX85}w*<7R33*joYeGz~GPx{VhgE3lJP*DeH9VLqXoRWct@IhQR~-#N zKb5?mvj#LNlz`F;EKF-ltN_1N1dIe!Ln4fO@E!U5f4|`Q?_AR>)kVGNVgLrHel(dDW{TI1^%*GnV!F}eaFVYVGysNlGz0< zqqR8IelzPRLCwU%_Prmm4kr80{R&^)1Tat9Hm=_|~F!X74l$ixk3wE|lDuA~#p})DjO#166E=a zYY8G|5Y{hFGP&wFU+lf9ZkAo0?4YU%8FHPV8aw{e8ZZsiu5M#$R)_nO35%w`#! zG4jmkF`LK8duEPYXN1_jmSOUql_vKN9`l?Kd0Sy;gB!V))+y(*)mSsmSMYl;RM`rC zapUK_YuveXuSzAuqwLIivb8RvqwDR!@S4yQ`4ZVJ1) zF6~_U4?=F>TKYie4O#NuloT25?HAoKu}(RH!sP9nrjk3eOzc*Lyl*7P+n=u&V1>nd zr@CY2MaLj0M!uDZd>3D_!f)NJp5x#8AbAHy5S$_JAbIP)Sf{4DcOwY5?#PjQaadS( zFUvl#@0WMUtA@ynh1}kuQtH9j%=8D-5dS0KYkW!B( zr*}MJJAxoJ1Q?HJgp~J**gxC1`pG*ohTt4|pG+9HMo0cou$tccBoq#Zt+mqD`s^9K z8eL4@ryb;dDnZ_7q}2GxoEFEoK@g-yrN}!WZYZ1>Ijc|mlgRrVq$WV>1$k>ysC3ZH zry~frut?m!Fe;?nUngFAc)-2not(u9oGDW3)zBebpE?dfkV>MIjn4@w*K^5{!%C2Q zuR-dyxN}1-UOe7J#I+IU(yv7}JC|mn>n`}}Y4W}Upm$K!pEoSKCONi086HgD_Z8>T zMJO(dW4e0h(k05gk?~RFovD!bgCx0LOc|D6j@55Eq%(OxOgNW*t2&o14;fOMqYc~E zTSeZFVe4bqnxYs|uQ{5HSq+7AqRyquK!~?2T4Ur~x=m^EMKctl&!go1EK9Cw;ug8y zjLn_c_&f5>%Q%;Ag{>XZ){e}u-}e4R-uW4vF><{nZM~h2ozS5XdB1|K?Xa~oYuNfV zaa_x1fsp}W288d%4Z<0rr+PG;LEgm*BplAAyOM^~A5qWT7I5@3g}h6X4kckor5CDLM5c@~(odRj@TXY)E|)I{Jj^oyhw=2zR3ym=$Mr zZ*7Wvc`3J2^Ak@uGrxt2tYTgxNItiJC{^8TL2870>WDYYv3Nc}5c zBJb`PdH>9jYmJo3I^OAc(I@2H>mcu*1o@nbQ0OB^V({5*$md8>RX=hwA%yosO~ zBvtY?G*an1$0_3nruNM4X2*UuSo%av2-EF9n?U&k!YIQcp!#4TSJC9rzq6a+zGG)1nR z($;U;Uza=uWnD8kW8~{0-F;c+ypB`qBM8cRILNijQ0UqnS(jeAkbJ!oIH5EaVM&SQ zaH{0`D=93y_9i!6+h`E^dP9A0sPCh)h&P7uzcji2iHeABip=eQ^Ahs)Q^;y4}Tu29(3eKGP4%8~E>EW1*n z1&<#0$%}1) zgEK}hXPT*hLUArjM#z0=!iacyWT5Mr&&f9?i8D;@X43MRY5$+=QS`^*);Qd%D=iRJt#WT-^8CoJ zzQ+AU@JOcwi}?*=euJ3Zxa}n>`Iac;?hd_>O*!&yjj(%L+5c2@$~iR} zV&Bh***eAUA^D4^rO5XSzqd0%K71CspmUhr`#p}+L1pz6cJ)Wnxp;v}?*3dS79#m} z{O%a}UC8FB%C5?C zIH7YWzryF4et(qw6(M%70{1F#?~w?*s!HMvlfSluUDeLujFJ0MeuWm(Uq>PT;YoJy zhy>0MxkskiRlNxLkIYb+H^;7yj^lKY`^mJ(XxAaRzMcAiNB(1=a=Aifl~ES)45(|C zB=^&*5wUjUhFij)lD`Ey`ZI6~uaOb)Y@98TC*vdR>YNnLh;z*(ey>duYGdTa$Q}3E zrg28e{Q|!_KSBQULs%V~V)xpsIN_t$xt5Me@^=iA`&E_QyBIMq4w1ieoLzNc|4UKX z<^1Y0mHd|}&hNe!n9c=V;_KdUttEdiq~P)-m0g`-Vpk@d-{E89?^eY{dfe|MhTd{Z zNAkzAIOA0IR*XgL9dmxS5|K9<9&&#WZc}p85#+xvhf}4pJGn-DocZ0xtaD!@F4i|q zzT30TmB%Cv{U1fTjG5^p|IOgPIYecH!c2c$ajvYNcCI{LfH)UiqLgH>dYt^XCvk?U zY=(nH92j-3Y>;rSY$8Fvh)kS*+a~hggNXNp$v-H|BHkBrt~@R4T;5igb}nxhK7Yv1 zoyb2VME(a-&gG5ettR15w*B0e{10Xzk#;UWJ*ERYmp9Axopa|oUm=xdmceC@{dLB7%hOwGd9|M*itX~(H8sB7JIgGH$I;w|MPM3 zJR4&g(c1c7j5(J_gqU-AoA~F67nhSClR^HMa?S-^lPuz^4n#uI8m5h;ofN-t-O2mN zKQ-Z8-W~}$Md~;;zGVE#qsaey*tz_~taEwukRjC~)g;>kR4D}#Y4T6!4w(-5<`MG0 zn`OrEO`-q2kn_h){N7B2d=heQ{#0f6K8%yMdkXKG6?TOlyZ=Kx*h@m}>Jv0cCn9aV zQ|#(9oS!Dhvn$80=0fM(5P9}S*%g{%{}=G)f(W}>ki)5xe-XFvbr}D{$TpW?_r8te zbU0VPlVw*+9aJ#@f0yS(4wUp%#(#h3dIUkik_6hr42!r5N>--H|Gk4KeJ(8=fI?MV*&-lYxT%64lR)IBtlq4TeQrdXSFcrrgjRVITzrg&waa9d2 zkZ|rDZ&)|QbUpv%?iqBbLuJEkJ?6%=Rm{@M_HDV7s`uvDFkX+j@7tt>^^ykfHA33| z#<=H6Jtmc$5VMF`tJ>A`X=iq3icLf4I`?!}EMn5blTsU;zqul8nnRDd?~kxWOlRhv z3+EBlN6bNbOe%RmwTS7M*u&8mAH0UxG>xWHuLc!8P$@R((t6dSMkw1$Su_-Qy~0-? zuChw6hiOehbqLkyKu_|qjf#rhFZ8wb&gDv_e~bWe5%c+)j10BZWVUrN5h!LWARXuwMo81w`AEw9}8o$ z!$C#Wb)fH3BWzTR*}!r>ZzmA&^vaKhF%8MWpii5mtWzFAFI(_wQ=|UAQ8qow4){ub z)QkyKJxqQ~jpHAlX*bCD^%zk5_ZuW#Qjp=bNi+_qY?NNj*H;oB>w%<=>0nmaHj=Mb5EjnEc=EN1B*L`Z zZ=4dc+;o$e$#kq~w-qpbDBeEUnQj^p;>DY8mJzbt^cf+OX=lSRy{SjckIEwaKizHRgEOMV2?hmq^7kd4F72?=pK)4aOrtr?5U;%N@QP!+N;&EK== z)eU()@{sHH!1UqdYL&Gy-N6tyOc&I2t(a*IE;A)fcS*8qcJNfUPK#8-^oY~mSpd^V zkgE;m|14{I*SIFEskCZjG}Bre&f-eb5}TVo&un_km}GO9u1l_VcBZvQicndcX^G8E z!~fFZ6|p1EfaxR2g(0+cs(VIjq}sA_X-`6dsO9xl5h2S>Uz9MJ?p*JO)i7O;To+|* zOkZt?7oXR?b9tsa8?8F>`r3Uv2Eg=DIWm;l$)0gr7DSx0`uDyh2U+J%0^yVdCIGS9S#ch&*Q@BR*Ei+x~ zCb77(sP~TvSBE~~)&VxN)1w(yuU168|10zC@kp=&nLF;%kdCqWk(qOnY zY4j^vM7@WC;TUpV6Snb#8v0)hT0>{$2W^;OFkBxs7;bbJ487WKLyXd6$#s3ghT)O_ zz@QCk42HfbgW+cBhdG~}{ty_BBUj&?4a3M7)4>kAys-C!HUwj`JTGpQez;xwq5h=$ zyTQdq`Dm|W7J=b-a@}F)hv$SETR&)z0ITxw zf+5TOa97&Mi+j>Wd3e9;SoYjMfm{QlHhD2QD-;#)2W>FUkEbx$u%ft!|+;72Fo@|8(J}Yj8%Imypv$p?BEQGW4a&M`)h+?Z;wu_6Vi}eYTSn5 zeMQq^DwF@3VWuI=8J33(hRxCse>ENTGZ;=H*MoKp9~x;f^5S1Jd~C>ahM%M#wn;y1 z-&KZkUwSgRhJ|hXFeiH8e)!ywxq;N!&gRHiqDHoLhy5jT}gxC4_O{gee6q2mz17LuF-bo zVX-3g6wk2CkmU@2$@1{GWEi&H+Y<~Z3uEmVRv2k1o?(?C3kJS)MGQ!v)1MdUKiuu_ z&>swq$@MHaEW66zNB^B4)~3wywDv)^Et~;MUj)M>{doa~>q_3f5)7wdX-vX~VUtiT z+iJ_YX~iJh7S8aZd|tdPpBLRmd~z`unqZ+#&W2%YQb6oKFRU13+rk;9D25+ilYV&q z$~ugpDVFU-Z5Xyk4xB-@Eu3MRD3ffFk5M;wEt%s4!|7NAWao#Sp#x`-Z3}03OZwsM zw83!J1w&2)Lo+NXvh&05=>unwZ3}03H*Vy`jF7>wVbRWsU^oK{lu|Z%u{(C)46<$E z3?HZl!-uNDaM;MHtbI6>Tr(9LhP?ve0P2NoTR6k)u)*+&^uw8+YSg{b=2$!xw`R~^ zA25*p)(d?KSH#oW2idl8hB+C7;dAMS-PNa^4Tcuv`qah``pXWX+jdNC$spSn&M;5< z;mfR%7gLsOE(gO|SO8|{2kq6&ficLog)=Nj7=Bn3F&NJJwj1kLx5P@bj7?q~5@+_= zQG8y=wuLi%oiiA|O&Sa*9D7_lFq}=UOxT7&d+l{#46<$E3`?R0!*c0|K6Nj}qo%YK z*3Knt7>>+)78O?>WZS|SR!Bdrl786X_$2^_bIA3boga>iF(0s__`Hy93ujm({g8DS zd2x-m4=a-qtOm66!--iAa6k;QZQ%@SjR_yjE4EbUG1INF@-AbO1&v}gOcxCKwP9xK zysYBQ0v7TKS#ElRG2sK#NA!Er57TY1u+J{lSSz6)hUfpa>1I(O%S~?y8zpdCNb@`x zhFv*xJ{Zo$D#5r-^3Ti)J^N+QUv{y$ES{G9^Fl(FGyI$}7;>tS`%`<}-vkV8$+cav zVYpDJktMLe4}64c$4)F42E(BF8zzI{Jgi`}^F!y5&|~KZdxowVA^5Y zA(j(*if8DZ?+2{X)EV3){owyiX%B`Au!=Kb!*FBxz!~}}LYDb~E%}sh)*7#L`C$4& za+fGJrsD}AZkK%C8tCtXSzH!Rs}6T%g)BFXm8jBmn}=7v3DfPdK-Dhy?}-cX;!R6z zZW^hSrnetH_;Q%;fEBNH4!8hMj z-fGLb|6+37TrgZj?qJx4Vd($#Jg@s!uQIXPSGbNd9BR~k_u;b4-@N_FNnq%NwZ3+_ z|7b?&F>>E(({(#}H)Lg8pD#fbGqZ2lHA!jTNh5|om74QkACd1^2 z2;&S`fGrqKj2Ug*fBmrYgFS=f=L{{(-LuWH=l8;NH>_Dt*kr+*$$w{B zTN}jU%IYvZEM&Q9tbLd7<5KO@tT)n~+^y_V{ccEz8>uevyw)O}ETWlA&xnXXZn|~O zD1mLWhUaJIJ~{^smy)|p*e3ZiYqm%QB~bIcwuFk=l*yly%wxb}e^C=Jj2H}=?b{ZD zp$9$&$k;G^o)vlwKm2P3$L5=Fj6``f_~Okg!Sh z5>?pVufwfYS>QVfAuX$0|SGEa6t=IQMO2~54_$)-4{^F3sKZfZm$$h2r?^FG+ zO-pQU`f77AD;+lHGnl>#pPyuGO#djrrNawM+cz>BGD4V}zRq0C)>chm4#$@i*ClLB zZ;lD^q8)Bp1_;yqn;G5V_-%p}+2-su!PVrxu^_KY^=&6jtNxhj-;oaX{muWv;hwO} ze~fzdgL~p;bJ3gi+pDbac@4R5F2E5>`Fw_DnF&@coMnYOGix%lR zxv^~4@`Z_SXF`Y@nNVO_%YUnxkKf}$mZ!RZ#8m447;x-pn7$TYBB?f}|40gPJJY9cHB4KU`c6g)8KPF#|49j1Zu;J| z$@GS1?+$|L>&ZO`Z?UXQ`za#Cja2_@(-NDTzTa&6I>&pgUB7|cn4h;cU84Rg(^e%M z-+&2OZhEL{O7)}*PUr~Jeeg|K+QxL5kze~wwWVo)I?wbY5tHdJ$KS|WxEt}wnQCLY z;y;+KO6Hk<)L}CHUF!0FFx?klv_))8*Ukv>;!|Bm6|&snBh7hz_2w5Yf$5vb{e)d! zACVB^#hb1d5whI$lV;P2?|0%;fYN^C9&Km(=(rHyuW5a0I*ZHV@yewy*=J$g^wX+v zIJytZ*7f)mrfK_}hh%(jZq%=w0G+yS(*6fHG+h2j{Tk-K?#Kv@+q!2IOw8ZAA zejzPP!{Gz>{5B4zUzxUgUgOhHAc6n`I!X-9L^AAw90U7%M)p&Xi%Z?}mLw|C=6|-TuO#NRBT2Z%{8o?*7LY6bE ziW`H%cjTg*v&Y@S7D(PnZhSXx{VcoEaHE|c>=|O&JcdnagW-d)F)%&n!AWeYegL^Y zh}$sqjtM<>3|d~CETWmJ{B=1I$o#;*!4-q!kMzMYnw?`?uKfxOcai%eyYkQ{F7y}- zR^18x+bR~9#nT$wn++dwhT}zEu+Mvq@-Tnn&nv(%klgsj(>gEW%7HW7o)og20dK>E zAHI;21Rb87JQfUhllu!hKMaftJ$8Q38X}8%8ho29WWm69+tGLQ#BMu!c}S&dIln>| z!=1@o*!J~%0do%`7C1!gMvl*$g+jnAAQf8i5x6;wbu8Go&ZaQ?Eyu9gkBG+s7l*~B zZP*;CCg6EnR~`$%d&#||p!O6E_+UmDW?scg!MuYf4k2uBCUJuUu2c=cH5P!$XkAvU z2a$W#e+7IbE)4G<@R5ALwGwc>1z?lv*VhB^K60=7uYe=+>V~}svDi{SxCb{&z@G{L z+187@TXe$wQ0ZWDZ?OY>EF}~gWzh7=6$@i!(0uIQgWjx&%K>-9SVhg-OB;1#!eh5L z1K0iJ&N=cMi=vC(6A@u=|6J#UL_E&5D`XVC-D)A=U)gi}d^QDusK~q0)_~Y;Mkq8K zhh2BfVOr%KRio&!Z34{k0EBr3`7)IQ?oA0mkE0-G$wQG>PFOn+fDe#+U(^~98xG_d zQCUkO-@J&N#a;thQ#|bxNK3#Q!y+C7^pqg$3LNJtbDZ#7vzNg&ggm8ot=@|kj>~tZ z=D2^$C8s7hmoH;DuEJ3Ws6_6X@D}Sk4JD61ZsWLr+bq8v6Asyb(R)rr<0A&PA76pv zoU6}|rr&s%Rfz}56SU*Xe>7;1i&qWZB14S;{Ad4MJ) zz~d!g!$QC{!?WwC{`&xYgghq{1DH~Tk>UYI#)K>fY{a{6d9AVMw8Uw2${27BBhRUd zP0mhF2|bKKD|+wM$XT{4s41TQIrW0HDNOsE+Ap6voa^*ZjViHaTYO%pdoKXjaPpjP z(+K+yGM8y`mvfz!F61IlB($nLa3;7$kf&wL#$Pi#FU-t8WOxq8eQK zb|QM1o{tqqesiu16{8917|*Yv#*4#_gBGU;-5ey_FZ9d zxh(#&-M82^J2=-B(HdMRXip6|d=|K#AkP&!8?JBTnif+&ViO;8m_1jWym;UMmz<~M zT)k`hYtK{35d&LdP7ECn&$V{`%J&U#$nt%oSAdFyiB&6K`p!EnT>48^D9+V4Y*c`o z6ZsXuxw)TX&ycro0pKX?B$cst9ClVT8P-}3?0{$v(;S!AW71oWydINY&}8?M1Kt)l z0Q)EN0m*Y`_Kvpwj{@M67S}(1{$rtYimrt$(*e@5hNaRq0>!GZXp~EAE89G93dcp(8 z1Mn&GJgiy+>f2ETy<1)n8uZt$$CM{%VfPK$i4#S8l5y8H#S=6xN)f$ zzyJFx0FEWkn1qevR)x(lTF&NGOT8xKjS6+Zg25RSnFnVa@Yz_QK!mrW9uA>&n1u7X|@1o;Ry#rhm$n(Apm*qq2zmzyR0_R*GS+>2OPd#Z1xSqof{wZsJ<(F{nVTx_|MWz`3 z!nXaUF#DR|4Q53=&h=@k&|l1!Sy_O=G*y8E|-v8a`lGcuYAASb9tM`7&|*SS0+^GFDHJ% zX>9u32H;AP=NrW)AMcAX9qf=?axJ;!l1tkW#<|!Q&RkH);xD!zPKq$jwJcMUYrQhB zp|2ENFOX+>+?va>!N~8xY4tI$1E+K4KPkY0CxC3ZIoIlJ4X!;8INon}GV4T6BF~zP zHCMrqPJ1#PnG$K1{yHvZ3e&kx%$maVN2lz$bFLq2`fJU%(N=$DCxGik@~pSguWI8j?1Gw?W|ied-a8Gg zUG-Z$nXn6Mo-8iRQ>vURm#D$DW`X1CIrsbxu9wL3OV}nK^F|^1VBnCr*S|`S&qr+iDug-L-e?Uj z{Ltlqo?ivP^$K=~&Dn5mO$t3mJAt1oFo$VeJ42>0t=!`W3QS>oxtAk+##L5gOmCFA z3#K=mcu>X{{pX1S9l$jWd$`+_FaM@Q4X*r$b#eZ}Ht|9q=W1$MzM7BuHVv-VsqA#S z@+Fsh8~(!1|3Y`s`H0`a5VD->EX(pWt)JQ)TyNlqHEhb4uUS;+kzDz#MU^jKqnOag zxmu^J@^Ry!wrmLZCY2R#G+)n8-JPhZk7J}4_x>u2$4?Cb$PsozgD}Wmq#9b2V8GcSuY!ZnZ87nT=_%nqWtB* zOwHrEI#ZJikCEs{CE$98%C3o9=i@==x-yZ+h1V$hlAW@fQu!lrCmiQ`V+5N&ewWJn zwPL4V3(m|l0uIxXp;@yEUdVK)+a9XajroY{&G0_ zde40rTr=>)6n6eP*j#dL8|NAlGYZ=EERnG0@^4Xv9;2XH3@t?*_ILkWKN?Xv*VD%2V%d0$4Bh=?->U%lA(f4_ z$x!^zme6Pb;s>$JVa3%1IfKjrpOYo-g#th-IVHJa(aSJZ`Vo~)v;)kWq|i#7X?($; z8K8M^D?Dhqpvkn7kpoVy*@R%Qxpmya_TZXLWiQ2Ta(1dwLJY^@w@C^e_pet;`hPNH zITyP61@9-E7;J7m`Sm}+^)Y_fC27Mo!q6kRR)uSFJrOa5X@gA@1D8bvvky7fTd|s4 zs^jh)n^P-IQ`vNz>Hos1auvD@o~y+bwB9hN9h_^1g}+qC2@|@q$+A!I6F)Zo!f%HO zJ%+#V+hyjk;~ z-zmv_q=<>D;D>*InDNCL;CxDDb2ItH%KDdko%uif8(FvUMu>k#WnUK5Vry}#{YJ)7 zijK~in7tV3(Ej6jl)WcJh^HO;Kr3&}k@9ttcZS%1F1e@HaG3a<{JSFhF8~jr^0gV{ zdimNgm1ooXZ{(J*&+y;KUGs~|?qL~XMO6-aC!q#V1tvf$N+Ql>6k=EI2vxW;RKcdR zs6xS2MTR`@!A(>Vj8WAC3H;VAmpe?MiaJTEI6TMh;Ri7)j=&E>+!13}^;Db+eqJoh zK80YLMth>s-nYNjfGX-k>}Zs`eQ_4C0U}<7rJGOl&nsC(_tW8K`@R}X6(@lLzYyTA zs|p?Ny2#S?IPj;dCu@$?_>qBYf`3i(4<{daZ8y0yp!igl|{TA=WPk+-<{Iz3Z2lB zeNcN>mR$`@;0%%b4u0=m7`Qh=Qo1rLv?rROf~zVRrR)m>kP-uXCJTy$dbxqB`Bpwakc7#rrw@=vIW?n+ePK zNvJK?@vGSpQt;agWmhNIy|ju`p}>zx;j+LcN9{KKcTmNrQ28n9e?M+~E`ANw9i<8^ zH_&TQ#aFRTbvw335F)LMP++yxk#)4WrRA4YkqJ@7*D0!4oHC@A#Gc>S#E+ne^EXG5 z0!yXTcaGm(&n}~i<&atisWnDER(zl6Iw0KzL4aD2punP-P#E~uF{*p^9I99gx@?vz zHiX2jiVd0D`kwq9Rjh}yZ!;8_C#B}6yC=6VqKeH4oUpe|N^Q$bA9l}QRPhs}zJpX+ zN`0E%SpT-MRIvk6J0SI|l=@AXmuq|{_nEtcrxOr5uD}yse6@M8D zEB;n`CC*1Z+?|6xNWE<+47?Ybs@@WyioKB98zaSONGYUjo&52qC=HO>86n3IRoHS2 z4X@jC<|m|-WSl>gr^xfB1KF&&)1+LOra(`Jh#0uS;V(I^FDU_N^C!tM+)(crq1-v* zwQZy*$n%mI1+Fx5FK~6L$AG?1k`h#LD)>!ZL!l#)I)BczpGi411W6>Nx1lg_eXQa7 z?+zp7@GvR(1tZ7PNrB-Qn|S=>2^W)cWQLUL6b1Sy;#S}$rF7?y3rPtjaiX+O$ckHz z=Ms#!UI0i|T0s|!B-LV^gyYU}Vnj&3IkgiW6 zhSVpyn|pR0kE(`rVe^~7y;5qha^jrmrKGgT;*3-IRjh(3tyG)}x$cj$tJVtYdz=D8 zQ|#*87%6Q4-Ofmha(?{rUZ>APkV49NN%Bm~vWV^Rq}`jPz_5@235>|xIfpipa&d^1 zPAO6@$%tD@*XY{mi<%<{>brpPF++XeiSW$>PrQ?qOQF7dl9bEShEy;0-Uj2YKv0yF zp0Jh3id%uv2^#6?LdsPUQm)L9(mN+^Dc8oEPV+o~AQh)Vfu|zkR$y#yTfL9JB;|%M zDc7e-xyewd^oyncYQF(NahwhcJd-q}CL|hre+rOtYlxIvQl#`Z9IW(D+%{n`d~-(< zXP5#LrLANx(6#Xqq}&xHWk8mcK{26F8I)ao$Xyqba&HbN@_CZ9^-}cN!y`br9}4e> zi!X~YXAgz^&^WoC<~fFSQWZ1N;$IhM_lBX;ew3lW)Ev8dG)Bq@sC^{Nu11DPc^p+! z;aN5+O2N@l3jC2__eQ61Mo1aQ%fvIV`3ypzO|p9vqWE8yl;<7n>iG=L7?n4Vu&YTb zPKC1iszKg+IWOj zB4(}bw|}#Y98ZK;)2PSX{6NkkCOy&WkwN4brn072#JnSG5!3nMddDhqJdk8fyB>4h zhiQwLj?3H5Ka+x#U=I>4CV4hT^qAyno-zE{cvqv#sQN|dbnvv3gWNxh6q#eXTB~0v zRGq{#OIt63n4aear#WWnE%O7{QT0UBsGXb>G0U1bEUcG|+V;uIfTf68FH8Qqv-yX1Q8LAJ+~pry%b8u6X5#@7leaU~wH&03in1`+)>Rlk}d z5s!B>4*df*+-W_Y)^R*^cL<&NloqDs zc@)4{+|o1#;zE4Crgbl{xGbJ#`Y=VvGSh5?E=^zdVfSZY`U?uU5;mrfNDA@dP1jR} zEHlkU`KUkoD4$Pbu-}mC?WkspSiYoyCvL+~-$=s#8MK1IY|7-Hlrb}~DG8kcQxY1( z!b!@d17@EGhWQjg`OnJ&vB4B39fTgH`*&!?v_r}qwk?7%s}i9XVis3&$uu$Fut^EQ zH9Bi>WmgRE2d)Ja@T)dl%>@G2{olD-CWS(tXII1o7iL6^Jli(0{^j6WNP%*@A}jS2 zpJ%P}8CpjShSo8Iq5GiAZv?|v6j1ESuGS#4^c2rI_1m^Y${CDpSs#KAgez;|9bj*FnmpcLkh~SXt^+XB=i*T2U+fU zImNu1@WTsfBQN^@dG(=SK<~RcoL^22hHmPCGsrT|%VbqfFt|-S0$+C8njgUM4F&2b zHVoQBpK%oL2kAr3P**aD-FT4~XYJa6p+f1m6gVtr!_X^v;C_%kjvm1zvF^n;uJQ8k%vntZ7>OfRRvF;N@Sw}gdw@uqJVqUf=q z%cjltvX7II*X|i(+4Fk^1&#~bnASRgOvnCBTa`D7&GQ;_#M0rj)?L*IroW>Ark?U1 z+eWi9Fd@+QZ`#V?_ojp_GtH);rRi=PCwvIgD=Bb7&c^iMh!8K{w3-pJJg?6RnM`jU z-{xVMUPXb185`3>V?untrY*aw59Nd`Pc^36WvV}}KBpR{S5x5Rl#OX^0KilfZ+d8q z$+3gyHD>Fj>F2g@Ujfr=D9|`${o54Da9nZk938$yyd)zI>v?N|sT64Xze3W9T#D z!HomJwVnczg8FWh^5r3o>HvzBrN7n~{^!N&Gb0}Z=gFbsFQ+Z@fNKK<@Or?yxUY>K zTrO?)jOk=tf%EZ?HjECmcNqQ}`{Z$p!LVL*5;Mz=qXwt@CyD|sYU%PWcAJ50G zX@jeWEMNQX30@AaEfnY$wc*-(aJlqZWW!%QW%;^7maoN|H`NB$R=m-)DPQ`$HPcgc zJE6~E3R&KM;XNt4W(W7zm9l(YEz4K8>}V&re!@FboARa4yfZxqhbyQuUF_goy<2E)no`b^`N-|yS;9PifEV$ybd~KWQJ``NrDR8sHy4>sUZvgByt}q5Uk!7Ni5&-r>y$KChJU!f2FQH8Tb8d44_`YFTsgcQw<}-TJ2a;2 z;BYm~FJJdcuEDZ=t)BksSaAJ<_wRP)OM44=@VHuJnJ#v4f8C!nbq7z1eRl(Jc2eN} ztWB|MrE*#PQ?#3`22lDd8m5!u3^flWTEFq`df@zuWd=5-Nq-~5#19V6xiO}T9UKSi z6htW>k+zBPelxdu{ncb*N4?7g5eLW*ida4daFWD@qV}=EMz&uGf9JCg7ibHYky~c z_!H|tvNjAi#f6^Y8Ey>;Shi7lC0nR+HHI;f|!xQ#`|6Mm}9)N;MliC6>wHw9h^ICnHV{&?W*p}=cl8yxMuHWNQMIFH7dE_QI7H;jf94OX=NRlfjd zF9qI=;R1R$p%&)X(3uzRZ?7Oe;M>ou^M68XWLk!DDH5;57GwUhv}Mt zFHZ~31>ipvnCY+qln?iV11JYi958Ks^b+{g0&wDoL$7i;To~PcVh1RDMF$5^jygHu zJPA180`Q|=^D(#Ya#G+6J3!f;JUD=IxXu9=Nx*L%HM6+a+<*K4Bno_O2PpgD2M18j zb8x_=NuvyYX91`>>P`k=Eeb4)*pxvzfH^pTa)O8hu9kpV3&6(PecJ(8LV-1QfI(H% zx`P8KXVy62j}mZ`1z`U}M!X2XQVOiM1C&GNg99k1Bst(#m30=(yVA1eFL-R!s@BGf-@=)NjEx%6doOutdS@>dsV{wi24!yaR78Eg$M z(+V2KRqj!0a+O6coKbchxV%)3w+sbSt_w=IZrQmvxUfvwY){Ka+37<(-Cy-ob3AZ> z_4Tj_<6OR6A(wOWr>RzpKHLE=AC>zPHe9KY&|`4nBNlTQ=fVd_rZAnWwK+_G?8{fz zoU1BUgA2RJggcJ>1zdhA4?3*5@Hvh+Bv&2-&qoo5{T2Qy2-CPsYvVXqototy+bGq| zUim1v0#tsOO}V$^k}IVctDV0rxl9Y^IM-1%%RRP%`?Be$kAth6%8#-u_g4P;FI;j} z9p^eOQ6nE$I~=!1Z`cX03My|9w#i5N+EV7DT%sWSRp1-@_6rNpMO@BxQq6LYd~CPv z)HlF|weKg}lzV(LCiF-yxe!rsu`dNp@%Fet z#$R&fh~(;KX3)!5bAh%0a&KzWI9KyXA(s=|z%`mVy%Jni_;SFm{j%yi=686ta-a9i z)Y}QUwv?v{#Om}pW1)JoQH&i#$U?0F_FH5!_`U^ z`Z(A1AuF!4lF6gNbr_XjpSHa?9j|9zOyj{h|e5Nq_b^@QUiFn*!4<`!qk;+G8&K{gt3a)xo{)kOKC*Phv9=bm% zbc=jspUj!U^metLY6{bzLvq~5xe_(o)m7`27Q-IA30y}}`N)WM`TE!GeVz|BE_~Wp zlWSH=gmJE?b2a@nE}S0HZydNnR6fQoALaN(=A-OGNq#As_<%933M0(aFJ)mX6E)*~7p)n#O0|&9var18^!$~uSZMb7_YrafXGpQ)C#%yKlt}Kfaa7_ak%Ge*9Q(%I1Oa zV|^-rEt_99g&zxirui|iejfxs=Jjog^rQ5d^yBAQ=^x?8OJe3Q&5!aW950WbsD>Xu zNfzbDi#M)jec)rLd{)7jVZVONuh0LzJm&WYiz|=PXVQ;frp-Rn%42WU9H#kEzQp5x z{3c=e@f(yrKGCPw>;0C;#o@Xe&pHi$JeJBAI*KTdccG?e$HA%Bd2MQuC!yCDe%Ju6IgZL#NAg{xZv|v-W|(;XPD1nAX9hvM0hRwy z;90w!gkDdJorKEcIpiYN<(8ip)BYoO5?ZWfFe!^uv*Jg-4HL(cvQ*V~61q*_1E}i8 zG?hP&h5l?dimLjh@!2OHJmVAWY6x1p8^ijJKvfTisp_G$zLQYZusBr>LkoI%j>Q~> zt5Mq5hqxM@!>JbR?Q^N)k1DFdH^5a-r>QEy4UCUc)iYV=zD6;oZqHKwwn85* z**J}=UQlsj51gkJ_R3QK#PBxt@C{V;QU+(NVB4RUC*QMV>4Zg?)rB8u)EDs(KSrZ{kze^P=Jwb_D9S2Z^nETd~*?sC&8^bnk_z z>fKbqjzGVS8=R%84-|_Xfl6lwTT|66+@2LL*b(T49^GD|s@Wi%4Z>L==9@WCzk<1x zzq92II4Zeg>w~SRYHku|xL|*v#Ion!r>ZY=I3d+cy6wz#kG0BWJQjG4n^OTr)qVWs#c}-O?j#|sC-kN zK#s@U1g=fsnt?Wes~Qq^BseRG|vebBNu<6P8<=?pqhn!R!7muxga!CDSy78^EHz8Pj$r8r9x1^cty za@yZZDd6b#2Gcxuj>9hWfD^-N?yE`B`)n?M=bgZ$lE+P8YY8wHz%D0q6x znVk?dq@Htp@$ih>DcBrRV^dW5p}0krAE(}K*y?f$wuIDKDJq}JGyR+h1+R=!<)<>@ z+|+$9zg$JZwh5des+=!xeU-YslTT4>jd(vYl*da>6_F1Z2A#bh9 z4JzL{&+=9- z=j^lKA`13^txK~7o6ua}=%LXRyc`NIk0F)3j9!Tf(m*NLgr@(LUq(={cM4~uU=x~( zL5JMppMrg36udE4unEnYMVB|C;4KN9p@L0luDbn%00nOY zZydb6bHV}Ggr;i9@w+K_C-R|xreG7A?BLJepx{6U1@B4}Y(jH=*DH^wAU1{x-m78} zlFxru_q zp>Q~CO_H`=ijEyL;4un5mckjKatz17nt=L5oIJbI>}qtH{LQi10EV`>dJ6ZRic|SX zJm$C<1;@gR_$Czho=xDyvlB15a5Yh(;B!fRW3yl~MTcTbjmqER?A|1xOhVeGtL*Bf zC|C*nNPv@Fo{1qMZuYAd*2nSfT~GNPLAdo^ zh=OxeL+aD;rZ2u7g&^4Z02p&4#;v)zBORZQrQqio3VwuJ^Bu;m`KeQ859*I(Bq;bv zl7e4JTZ>XZPmY|6AlUjm#=kpsQ1BaEO-0Y*uB^}zxF$Qf-=bqExESh}h51%>dN&}@ zO)1TJ=O7rWlXyC~!^~6PLC1=$zR^x_H9}S)4>1jZ|9zjri5fH8(64+PJGuRB(ua64T!3~Zd>%RRL1%J%qj2CRQvuXPvF9kQnD7X>DV18IgDf6@M&p3Z41-F7@ z3nYIwYD{o@a>mY!@o@QB#i{5U?F4^uP%xKp;BY#QCr|enpBTVaB;}1$#>OzS2VeX+ zRX0(X$F!(a_C?qtrfYK@M-QqFCz;zt%%*9Jn57+ixJFTRqcF=mJ*H=S$RcLRdn;Q^ zqw15=tN`dST@N}eV%BQ<@WdCX`b2Qf7M$f>taRd|q|T2}bpvqD6fpz8D;7GP*S2V} zm#U8i=X(j=dijwNi(dakd6>mS zfB);7Rb8h!Cgx%uI1Nug2Ya6BF&9p-idlMJ!;T&Cghk9#;v(jkISZX7JJ-i9z!N;e zp2x!axVS~kT8?%r7o&%uvgf@Xa}nw#d$oeCm`o|6)m>)5a&5#sBB#fkk2%enF`e~4 zd#Wu3E7GjLB4WNH%92^9!`*CcTdMvd#QH;8OtRTjXZ^Q3F6Nu+pv%i*Ivr%Qsm|I7 zjT`b!bvZ}9zX|05F$5|?CDOeb+XzgS58HksP z>0w$6T75d=y`Iyiku!#ZnT2|gdR^DY}N5* z*nmrqr;Yax-4SPFK0Tf`BCI`2WurnZ42JV|w)s6fZUDxWCy=r(%Ep!~7CYpoIv8H^ z5FV(n=+@P-a5fO%mOwIfvm$J3mW4eWX4mXslNV)o#8~sHf0cgIvWC~g^ogXb58Id? z71xAyGZCgzO9>0#zv?(7{;ddVbhK8hUL_2OyzF5JF;jYlb?ERi$4CABb zux$}LE-iOhTqdizm*V(<1uIE5^HBMp5{vM5BEc)7>1XS z3hm$w7+j-y;UiMh4l?glUAP4dCu3jDkPX9>w9r#L!?ZjGo85JOKJC;lU^s=8ZH{~f zBY)n^WBBj+Bh$ov(>h}K#Wepm0Y3O8m!Cfd z!)zm;_s<~b;#oYcuE@H_{eYPsy_{mE$7n~MnS0q6U}#LruNfPLxk;W7{8K!GEMMFY zRXM?6-q~hw%K>UA!Np4>?0K$za;qW=Ol-sbDyrl)ZNCmMq)F zGe{qDh8BFB!KdNZq&=N_uY>7kROv|DWI-nJzp@|~N0qaDntp3kC1#p!sx6wB=c%sX05Tpbp&%rx8GTbka}<8fyCOsaHeZA@!j9HG+w zfoWx0VsnRME>fC4VN4UOw{SJ5N?+8*^roaB-+x{Y5z$Pk-Wm~sJk>2y!Zf~`ceR~7 z6sB8HWqCnf8;_=Kst{+Ue@E_#4j>EPKaQ0rv<@Yc<(}vqH{mRrJF`1*&Z5dHHQy5k z&fifc%8rABBa_E)^0yq>-fz}lz-dX9)e#$r%UKxDtgDS8 zip<(FW$MSkIh!gEx52?|vp9Mh4Vr*PZjOB%e3Xl`j+)!)^!e5)-PyHL}|kGxmkBngb%j-37U;9B5{P-O$Ve5{jYI@ob={H2Y;nLb{uK1&HM^Ohrn2G05xT&=0{ z6xAjl>t&e^b{rfoZ61N?V_Y^{j{H`4WE*g`p~}V?8?IxMOb0s-4wp6)!t`;j4$@zy zEk}AS`R8tMolBLcXKlC|CYcU)92~Aw;+i&{D;hKM(X{2rE0G@#2UlCFY@V~>3de+= zgTvJ%Ec9`Ibxj*wrY%QyOnLJOaGgh$tsFL7%|b%Y!QpD2&(#xt)3>xSZ8_3rPo)!F z?WnSiV#C$)KX7R?GAvyzO~p$->wKzg7qj7Nn>cWPS#ins zkaP8x<;%3?$i(n6Hm<*bD%;0xxX#ZUTrSxja;`o`pBXPFwJ{W)kGIdA+#g&QQe`K* z_Nznm;Bv|KkaJ;LN0cwqmLvBpYdsQN?WwX$!p2{n4st%q_KD)nG7fUa>CuXKOHY zqRMNd`FUnA+>jJ{nC{{j`o@GTXGmlX2GiyhTl$2Wf#G7R?4#H)U}&Wu#WUO%6|$V+ zsffW~+Pq>w+w0bX0dL&<*)iN15qgSe7$`)w=l?TFgTb_U#cEIAtHIEjDsRo$_~G81 zP*gm_U_+MsVWRYdY4eKT>Yup=3|*-5j)V=vknDjoJd_l&oB{8wMSV4GUUB2fXRigr zB~*D=)P`ZWk(T29@K`F3A#ZzzLw8*^1g5)E zjLYV8*1kjjoDQz;RQX^*@f5p7VEKj6Be`U;V__QCLrGISZTU79*O|h!N!)G#)U-_$Vaw-)D+L& zU#7auxjM#baN)DQuGh|M0IptC`D)sl3yY{s_Vji_J`N2oEJ`xP)5?8enh?Ry=upUVK&&)>NO;HSj^zLyY2iU*VfQVuv@0)AxynDlq= z0KluUIITSiSMT-!nUl zU6--;n%lTk%B4w#B#jb^Gzp2)h=h_!x>F+(k%lBnOe%yJ*CaGbrCge7*Ul_<-*FGM zvpYMxJ8t_w=Q(p*X8YUU|G%FP^PTVWobP$w>%8xI&-1)uEml9k=q)M*$mf5CH}OH= zmHS}PnyKQM-Eclpv^oLGnN$B;fYEzZ3b4Qy3Ghgq0Edt2vXlong4I_I)i_AxB(VQ2 z!01gY1$ZJF;NNiqEU3NjED!K0R{vym0+jRF{wrD1C*l%wQZI{3 zl(Tq$E5vpj!|ks4>x<`agmofu@w|{&j_wmKn`U&z9QKf()RsdrEjDbSxa!!hjEh;C zwK=BeaXrIQ>Q;4N?lXpUqH#qZ@e5AI6`lUTIeEPl6GJ4fm?=>rF7bNIE7sRkWw-U# zWFFVEEG4yy^%eKNDmtVPiL1fe_L%tpW54HjC!s%zYg(N3^{%U7OYv&C#IY>p8b|!? zSh>H@b=)jRSUVb5w7Kco3KCd3>U6Q8+xhCimC{=hcVFNE#%c@E*&FGp)!< ziE{inUH3nyH=o*7|7X4)pJypu)cEW1vUMicW2JGE{}1c2(mh@^*P~Y-UKe7U%Jq2C z9Ok0y@o9T3C)ZaIiA1mJ% z=NaSddweCt-yfsvEV>>~^P@rjMc3nt##m0S$LKIGUyqli<$jp|n;0f}fu%fUt-Onc z({f`D8PU9QT5d-AgfqPP1eP*NiQ7CJjrbH>F|)5uN#<7{op=&~A(V|9PRqr`H+5uU zHD?+_poc>`A8I5S9J@FR1-mF4!GK2|0tU6EAwWuqSZWrovE`(;UGp7vQk$~38;%%Ma&N(1>-ADbw`E0_dY zhL@Q`U+2_UZf5DX+gbVuBLar-ra<6k>+t8cXR!1xJfUf=4}n{4p*4lTZPv```}uy< z)rvq1GXkBWrrV7zJ53(O(v7?Y&y5Xq32#6I?o`I!xa>`qevci2Tlhh9Pc)2seBWp$xaoc$0(Th@=o>XXXlXO* z6wk4GfJfIuk3c_bq}9U)@3bW+S-MGwz5g&jJ~Wa&fg2$)qI?BWo6>@XdxeLqj8JZDoBlzWUQ zc~ytQ+i1TZ;Xq)N8OO!11xp{vYkqudLrIg!#w=-SZPES0mn?mh1to7faQqs3xVPht z?f#{A>|^QAC@A^Jh2u>lTkLpq+izzx@>u#<-m0+=$D=#W@#~G-+jUB3>El$CwB)8% zzKCFJ-;TjI^=0Yfc?hjdINmnem%%>arAH^T^cQ(wH}h7!;$dh7AMa#+@Q0M?Ed6EP zS0~=8vms)-(=xksVr!PpbJ$9{@>X~0Bc{9c=j&P~vGj>1l-$c(-Q$Xwdbk>;)U>kn zH+f&Z9XQ_08Zq^@eo%UD3`?KP`|8JAJrM27WO%6fxjrm?3h!$WZ}pHGX*EFa(6#uB zrBCC1J;7TIjP~`Y>z^H~r?K?uPLw>wTMdc!HPpKQ#IzEYK9l$LtPaP`(Y}Toj_QhU zWa;nmzQ*%b_4t-$={6Jmm-tzJuO-wotGCZQWx;_B^xF4YM~wU>@s5Zo+uXLa@7v5Hwb-Z37=Ideqtyspm2A3nj-?LJoTjFIUOG3j`o(33S+ zvh>e+bj3y%^Op7?uhEd?6wAEa$8?I7CC)dAZ(lk18{GM~H|g6d3x7|$1qHhzE3ROd z%3s#V5B#rfOgBx3g1x#>AROB2-?)%(BnQ9xG1^h^mos9@wqD2|-h`!ZFrnZ_{_kLT zEu!F%p=OiSg)Duu9tC?0C^#AppF?$;FTgV^xA;)-r;36zrikDf*P|~DaI^Gn3JUUE zDDW#0lixb=&o2D@vxCz|tSC4a?d!ay7gL^P={xNxIBmh+qYkmmyDTUu*5mgAemq0{ z#fgHT>$2($4LZMgPGlsC>I|2aVCd_^uOh8clwi25&cd)JIq!+wNKt3uvSJEr9Ix}7 z`-a>!-F{ic1ebl&H6lw>R7|+6EW+{M^6wC-o}w(mWwj6Pe{F9YkuNH0A6!=8;KVW0 zBO>Wk6gY?`{E3nm(KJCSWTCue4Xs6{Ofl@Ym-C+=WSA0KHrt@7uXJpEJd&KmS?Um<_G-b;BdZtU~f{WCM=~LUO zR(~90%7;#-Ys>{dw?<4~7&?}YILEyerfcD1%1U#@v`Sa^**Cdqsh#O=v@&I3 zdun8}Im_UOZ_)y8S`jsUrEgyQ;X7G|M#qxuHe7gL9M2hAJ4;&5zg=tQ z-;(arv4q1eh9&y2B9^!^HrMXRl7_mNww|3~g&|^Ep*Gnz{y4*8BhxyZ46A$*(`xmS z^`q7d%S}wXfeWsUnjH242`9ed-a4i&^f7F3L|Sb$kFGoG0{7;oVlMcNC1Tp5@4fWp z*BRFHFaligJ43|uom#teT@nMYF`zBy5$=fg^}V{VIXlh3YYb>l8F1>NSW4{R@@EvB zyTQr7Df@Y|e_S~16I(swCJR%%4&-}WA${cMnVx!b+gudaGJw|_MZV7-F%{__y-?T_ z`EDOm9`d31xGiidKB1evzRefNFLW}6pCO9>4zE%UUH9!xD`dQq9g2@R;ICl`xANE2 zA5VSjeTIE56rVDp_{B&V#V?soeldDIgUb$oq7D9JL!?!*y0k;Bbqs%5P&|Q$@peS8 zc$$8j@7sSE4p`w&GNE{hE!?WuZcRINa5aO6(^G9IKC4Dd|G3)xnL3)`kRHX09Vqri zO+~ix2PPk2d^PYE3W`f2Yoj<|I-hdzB*Q6A`xN+_N5b&8FxUOF$0ml;yp`XH;&OAO zub}SXCmZf&_{Rxn=$y!@L$VYb@YV= z{hjPzrN81}D7C=fhMVqiL`+@GeMWWM!w@v`7CIc7C$>2*=-}_{!lCAR{*5&@!0)%= za6lE`uHpKB+u{Az78dbt)qUB2eh0=!vwzK+~rx~uNG+VH7H-a-fO zsYomD-`2UyxY|lwh`muyQsih#!Y;HJ#;zf-RC^`Qv2a3O5kGKtneOEBc{XlRju0Pp(xo5 z?_M*GG;)SbM;aUZwpn@-MJX2ELcx(X_K2yizTwbk^HG%L#F2(7yk{e=y#E-Ln!8>? zk=}(Pt)1}Nqrrb>J3hP5cobdFTb$wlE<^;q7xfp6?;b@_Yu?uqpDbh|lI%qxlh|~9 zUeqIP0N=7Ge8|NbxKy0y_bCd~w%5V;yvowLDEwQ-Bwi|Il98nutm2#1$THsKwM}j{ zif>aa{2y0oW~%(3h1Gb0Y;zTspc?6Q?%X!d z#s8UE+UI8B`H`7r%;zedjN;ouuCmY?D%&E80*JCL^+qYewuIj$+xgYr%c}Th=Qpbd z3@q&tQd#QcB?`L~4@rBAVR#-!ufJ0wepYzW#r1Wsv5XBi{!fqN*`k>?$;6ag6AmvGi>7&=-%_*PW*nqS$H0>qqL442b)qXZYu(>Sa2{y z5w<*j|9HI~uJ-ip5WhoMY{0=BzTQ)R{Z~S2QKj_1ruc^NydK7mw;m>a5 zdCZ97SFCVt=TWna6Wn&435Uj0JAU3Ry4{2`y~y)#6}}%7oatuep2{y4mh_k-R8&tC zSrA3_YHn79N|A%5Oy+02BH@|gx3PLD{0LgDigttbtnNjX)m%%SW&E1xJ}0a7tW$Uf z^-NcT_il2CZ|C`z|JleAFNvsG8yBnh1m7c8@;Iqrg{U1BQ>tR9DYi&O*Kj#CDn*fG zi76yOh2Fl?a}{;DQPiKq#X0;NtH+CKr0jHr>h_6J61;97PBv1xt@aobOIhT?rO^id zEwio>2M#$#MLtkY~Dr1N>M^_=vt` zs4RK59p@i42~U3F%C5n$mcFxyZw>7%Il+hH8q#d+WJzA$?nPDDn%Y^?8XL~=!5sdr znUy6yXUCb@WNTq&OsmHkt6kWxH8R#+kF!Ha<$5#24?3I~K>gidWLV0#=BrlW*;>!= zk^z6;try>TNfAUn322Vt+HM_|}QvX#8oy{#JVNt+NSgfgbx)o#I;;0}7V;u)iVMy6T|5 zZpJ>ZQP}R{w;Fd?v412jZ8v_yb&+chrvC2np)(3oZN zUmrej9OfRh3*n!2D41fFTxbAU+s7ydktG)zM$Y_5FNTssoE%KfTwoIe%90BWDHp8e z2ff}N_M?I2OnxiP2bV*Ba(FqD|CprxV+wI{kU8@+vlwU&wcVF(74a=~iQ(puUlp}! z_SK%alVvW_iy`KaXBD++dhGCh$}&IZJN;f~$WxwbGrus3)2-kbFTOF?Df<4BAF{n8 z+J#?k9({ zGr#7yM2adn;iuz>Wv+2CKCmtRcpoQw=;%pn4-+m0t&#dh-dme@WLdTJVyIYn^41BX ztZ_UOTPU+0V_At#G2R^ZoMejQseMTId|Q^4U>Aed(i0~K`Qmsc+;sP|7M8`VV&psI zdFhdOy=(H5ZtBCb6tfsU5BJ{P5J!_4PW<>W%e-V1WAGu(f6HxgJn{ODwyjv^c~uPL zhkGBP#_?48P8|9)%M3cj(*Wri8dA?n=KW+kL!2B~&#KJ_-meMyg@)I&>gvT1yYveU zvS-z^iGg;>g@)R*>T^APn5&}3*UQa^|Hd+R>cjwcsC5-Jz8=jkoy0PC@OTXNu;;DL z&=SVh4bzTouj|S(xABPDh1LbDqQ=+86W4#mGPhd9czj5+iW*;c*mn0~nOjU^P(S2Z zMUAiL+%@^}wAmn@41_$ZsPT1g%}onfCckIpb-u!yjg4`ZNC|en?oO7u&LN&rggp&r zg&!9`@_uf!hB#RlDsz{erFT>%hkWiQW+m`r<=`$?_*kizyT8#LEbDQr7;FxC9=aG_ zo3WmC*Dq+LvaBIyF=8F^JltL%N3+g*qr8V%)}ux->>c(TVU6Qi`$N++6Ij+jRg9g7 zJ)elzdvg6&KX+hR{heX}KJ3|AiKCfxQ{7poSXMu~7{w2H9y+Rv<5|l;bkaZ6E<65-yb#_K)Ne5vnrmUW*h zo@|6X^V1A*G_?bsOgq7{dOF49k+5f?EskfxOYLobmUXXPJYxxY9yD6wcxv+79ZzCe z_gKY)nvmz=S*AFiY@w}1CzjPsFP`>Q6!Of!K_ACcxo^Vw z=UG-4RXleJYj$xc3d`!uTMrAhmXA7E7QZmyk2^ws@_|Rz9Txt)inkDF_t0aHtQ3A+ zjPwak{Qi6sT|>*Ak^Oyb;)QxFtAkDqx`#Xu@qwuDkrDN*`-!Q`b1dr?pBT9hd)lJw zGU{39@L9uWEbC^M82%4={&_aK+)>Zk%a0VMv8OSCOS#3?? zK}5)N&!Xt|iE1Xje&IAfGFltN(~Pj@&+(S1R{z(Y=D#;L=)@zDkmsQ`x;VYp?7!tU z7t6ZNC!VW>Jo7unTcR4PEt|Hmtd=hEa3TsUo5M+MLgjNdzyW5de`n* ze2yQNdXsoe6!QFizafri!rY&~+Q+h*7{s%tu;%N|IG&n zCA`M>_bi`y>J|2U*%(I?-|N#~V_6wC@#rk+&LjA;gCa#?4dy!>k+r@L3u;vmq zj;D6ZqJA&4%s-9dVNS@i)-6gL&xC?^*G^!WF1>gn6xJMPj^nAhVbqZ6EOWmi9xH`C zYgyxXvV|)r9c7t&RPk&o%3_H1d4qp5AbK$Fih&-%o} zrLbp)8pkssYg$TMmU-GGo=}B6^PZ0vr^ayWiCHZ3ltnz|3TqAvul3kC*{l(T16bw> zlXzAZ_T;y+p|fPnvg2antMypsF@t#E7WV8C&r^B)HGXZF>Gg@Hb|Fvs=quCD``Kj) zw=U2te7%?O2SvX)CCB)njNtfy2p^V_9G~{I%p?YFM0(_3D;!9wspHdwUSg>YtzwFh z@DhJe_-0}fNB-yEFPk-`Bz(J!H-DL>b~Ij1bC!C$A>8|(r)-0#7w{evU*WW+a&rcm zlNq{c422};v+_};a7a8NmdXbLLkq+uM_)6=$0c9o^kotKr{s2PCCRQdk}1{^m%JmB z{mdm_W2txXXKJzQ=szXDH&>GE=8K6Ee_s5TOTNxh?>1CJa%bfz?~QCp9%WIhP495Y zi7fSATQwwqtQ=)8OH7nw+kbd3mz=~>dpW8h`IAuz{n6&>ZHtloXI_3EF8Kya?d`g1 zNipVENwTjaMl#`&z73arlco0cUA-h9IIJZ3kSj*=$c;^=aY-vn?WenHNiq6ZNpgT8 zMza0jlqb04WR^O>QVq$!Do4pLo};_EHf6{6T=FfJI>>g_l42aRQj|k1F_PHGGP&dw zmO8|7)skW`wUXpeTa0AK{ZHJ)C8x5~p{{C3x~-KYhdE*->#ykK=8|u-)M37ANaj_J z@+n=6)z6BU${$&fQ${9hN%EQVq#`bEO4;J$79dbsh3C zmz>U0`P1pEKNG3ON|JBHuFHG3w0VR}&S0scg#J~Z+>YogNltdfEcj4!&zW5EU6%Tm zt{RfZDo6Qt?7FQn>R>PVkIolQ^`Qom>_i@SDEOoZ)>LvLzgi4Zg95Iqf z*^d-*$@f|69N*PT@&^%>BtO)}NY?CkXFD!wW2qmSsv%kAs3f_-5F!j3OZ~)l^^*L#N+rp~mKe!;Z`{JKGZQ~xsf!&~FPTvm zR+Kx@XT})GJ?)!a$0g^n)X!YikPKFi@(X*6_reZJ)N-786Mw8ltIp7@%BOMc8!H|eS& znGjZ#JJC1x7|Bud->`AXPgv>}!&OU)YVhGdTJWvT7|E1Y4~PSD5lj8fR1L}H#!8ag z)fmaqo!8hH+00rg%74@t z$s@Jbea9tNvDAO{)sSpqt|VEc#7K6yyLWdkxtgUG8LJ_At+A41i9Sa1_7!7a;*wvp z)Dm+wB(JX=WtlZb@_SR(J6v)NOD%I&L-K~oQJ%BMNUpwMd6!GBWvS=XYDl)$S6c9k z&KSwz>x2BdJJG>XFDccKY#Uaj12RofVA~bu10~YDl(I!NuSm*kU2!kQFik~K!MPwfX&x#UKc zmgKy8$;?|Vl_cxfVY@#%k+bsTyir@tFKf;vXh}w zlnvAv$tV8wH06@turxk*=<2WBI#-S|!x$quX{pLD78AFyv8+`Rf-N5iJB4Uye~ukeLGzn#sy{+`dBy==FLEcFz=I^|#W zyN-rUUEgYqS?UJ!zIl~*?PO`UTB}&y8}ZO!NXhW)7PPO)7t z**N+H?|LVn!CO_=lN{mZ)nDp`k^Mw;T{Ah-_5L2}=DMBvjep_~EbY#!_V6X)o~vBy zvo@|9Grl82q&=L^Qw}$#u8&&7E1Y+I=Wf=Bcl{$v>t>9*!o?fX!p*B%YU(;>94Oqi zn7keS<6YAn?$E)-yMDL!5A}K1yI5LxN8GN(jP7vr|LPhsL-vubW5O0)iz(vKuFq|p z%r5~Fe`0C9%<;Pp%|8^~SGVifu*C`&bH}4yU*j4quB(1#X_r6eh#XvY^=g(n{fzLaM$6BW;({*dl>2d|E>?;R@+7Ac!n zXy)@6e&u#w<&7S$!xeLW;Qh(^Iy`eAraxJai025zaI%g~%*mYEat9XkyCmWgSxuo@ zaQI%mEWktST$^lD7xDmqV`)PzRRkDa&}e{~*n>m{7X%Y;M(Xu=&)ZI;EYyBCi4LIv$R*PehrG`$8aan z05|Jnxc}G(S5*#hRW!iWarVL6S}oen1N@z(y>azxP$X`~1QXf^{px^fdI zeqpM`1N?)f%`{zofIML~CYaC~loL+`|DS7+C!U7e@&GA?^YZgEKmFCq?mm{s^(RZ4 zbM;$RWWR>Bqbp8Mei8hC#>KNp!)?Wi6Dh=3#Py7^-*pScfWTiY?ISh*`U*{k3iT&{ zH$sz#!<;zl#s2+gTs(_8>?`6DDOs1tb$EuF_(q?DJg#h(_Gwi+TJ&l_tQ%(KVlh{P z;q?{nOML!kTrrmiBCfR0&GF)DShpDuKQV`;eWAo(Upya9^dyRw>5?YqZ~73n6Tno+2Bl1Ooh)=m=1 zEz#OZJgp_Bc9KYF5w(+|X(uAXFPd-?t%)R3O?XYDm?V?hEzB|LCD95=BC#Y|AxWf^ zL@OkTWD;H>DJCt5SCP7`BGB;#JO82?_g7W~DoH#wil*&fSrN$FcXDT%``=)_nN@zx z{39oBtVIa~SH5Q6Fx$)L(rvZGdCh$7cc1!(QW>s%&HSXj%cfF3#Fej^?>ukn^ORK4 z;3spaB9KTFXb`CgBvJ$#>{Vs0ERpcUUm9(_tnx_d?E9agd6l(}@I0WuXuLt}2=%hf zyYqYTc{aZ~<5V6w*5~)fX@+OLJv>wBxL#abQ>){y4`){lp=qM^{^S{ZXQQUGF5j9i z#WdIS%E}`XHm@8{6IQRRJkq4Z8l+jTS5_X$QWIv=)Y*E);mRXoI%vHh->;IK{NNK4 zL3N5XRCz>90gYB35tBc!tUPk}-a02~9^;jjN6wbEY)F$KudF=szP|e*F{3h0<&nNE zzjugfnQ?Rb@(9{LaZ$`NjZ=A~)s1C59jwR@Q+b3> zzl>HMp^2Bg@bC1t@Jz{=(oQh0;HksX7OmdG?k?Dh1%H9z(<>ORj zy197XYBAkDPDQ3irVef_=IqC*$TaI&{39m*$EnEFyXD>^B6}cCMJC(QWWK&{(8a09 zwDd%i^&*cUPDQ3|i#O$qWQaHwnVP+l$!FHzEv%;@-)DkU2!Thy*I1Ly&|_G zPDQ3?e$eHK1QAh@DJB6$)Y_7DxI~Hyufr9pyu}kysPb0jnrhuP4;(G?TSo9KD1$3> z_7gSLTJ&0}r6idvYpT6}YhaYfw24zwty9uIX`h~s(mxK`->uR zC{9haU48HQMPwhvsj1fE$$C5~tB(?=rkZYLE)Tx1IZjQrhRTLHBAF^qO|`)0+$AC- zD^5+d(a&WVL|Rvznrel+9=cuRhQ+C=wr%93elo%AQT=5#)yBQi>PE^^yRxR*>^AFo zqRit~s;PEBOk`nMPuLL{VHa0NJU>`o9*HlE=lP0H@M|2KOCXMU{w{qgGVfPl#xIvb z9OeB=Zh=j5tcsl6H|DN;QF<=Yhdisu$sN+U&M(rFKT_a-{8Ez&Qc7;IRdTW{rR0|Q zLLB7{OYSqX$-DTax?2NS}NNaIRAzv3y_BvhbW-Dn==}<P z3w`ptl3o;nX#15aINnFiQl~(ITjik7P>!$Uz7`+kr&9@Xzcns_cplgOZuT&@RuxF- z4K&l0d=FD>zGsbPhdy{sc!*1$kY^P+q=pF}?3125C?2j^>sDtRP3^_iC-+OwZMKkR z6*;8tyLXB&(_j`zthpZ}{ai2-#kpN}fy8ajgM@_N&pOFfm9w;~?xRNG zAuhh?lkY+CbC#HGL$>tHwud}xE>Xjg$K*k2SH7|%C_Vo)hCHjtS-SbUta9n;(uX{2 zjx)#6)SPVoeLv|*o0;!%tY$5198b2V-BX*T=N>hrSw+s0<>%{0OV3}OAZ06^gQbeduFI{JQH4h_nU#z z^Rz4ESw+s$(!uwilb)w6Va;LYIGW5qe?fxuJYfoZCOhMJqT{HePfO2ZhOlRsc%I6X z5$9i#o?c(blX8|M=a2MLpcjn7w?&DQKKV#Oxltg&m4a!2xgq!r6$+MI zJ)7j@#l7U}8zm<%@gjNYB=Wkmuo_&2i$a_2d0%jiu)ex{zlTImkoat&nFZekT#~tRe^blhmYKsoByM z@~k2U`DBVJ&k_8dChTdB904)wQ+wwAQ>~?@-W2kzA_uv4`po*$vxy79MDczFxyndJ+6zHE%6iO;Y5@ek>lVGDb%w#M;P9^TStsPs%T zNl$ruBRM(Yh#zTmlOws#;zJyLlyfh+J1iltih2?sz4Xl?>G`-dPZw_N`F^+_H>3l6K!!c6V9`F zJCOa4L|u^Wlh!+t zU1A}>uE;Kv*1Py?F(fh~=UN+CyCLTW1EIT-(^g059^@FLUw7o(BK__~PG~Rbft=3L zuP1Wu^ihjm$mwPvbRTlMTL|5coSqIsy^(XjjZh!tJRrFTkYn-@*B3bhBzh1zk4iri zavnF3^(-G>2oRJnn4j>n0F0D@?=PQ-0BayS(N$6?hI0*16ZaM2!f}@eM$w_Doa=tYZdImY$^n{*8 z&i4eAvB>$sPVhP8{A49G4mrOPP%Oxi_jAhYIKa$APQ-yJ(mDwT-c|{|fdexn_a+X^ zl$;d@W;=#Yh0t^y_(3lD3>^4L za_{26ZWCE&;=mpQp;vYF6A)5?i1vuNNy2w)9i})`xLpE zdP0kldySpY667|PoE^DMCHEO}o14kH6uB)`LZ2h|ddaOp?gK{R)*{#BB;-JDe=DJN z$Q?vLS&!TylG}jXCnUEKxlc-N6LLoyiQJ6b(N03&Aop22p)JTAXC?G4a>ttqZAI>j zlKT$1uSjkia$h%+bvtt3&=cB$+{px#?~yxIa!%yFBe|W(ouLx^0lBlBgnmTs`*uRR zkULj$KOy%+$^DGn1y-{Dg4|Ecgmxo$v60ZP$o;}b=r`mpw-DNc+?6Imdy)IKfzUqW zI&_5gBX@)3en;+R$+?ib#YfgZko%pB(4WYaV_(W&$lWQqY~=28kTnOnzt{*JK<;lA zLb=G@XCmZAu1j(rt&rT`a5EiQPs5$y zBXkDt8ZJU-;ZBm=KXBKP+`n+^9AqtoyMc|65AJjep(41mBv%Y~8|mkV`zFbiz};T@ z1>nBTL@i3;?j*S~xbKi$Iow?hWDUaIO-JY)-1qnhork-pDY6$e@C!2P<7P+hp+un?*T_hiZG;GQbE`f$HvB5MlV z?-~d-fcrfip;WkSl1qd81IeYs{h^Pn8E`Lf5z2)769=IzxED+A8n{1`Ttm3!F@r{M z%VP$O;g-h?n!x?Fh1xcS+hHQ4hg%*qXa=`DX3!jNdCZ^%+}m{I*Ang>K0?>Qy-QE1 z72Ll%2;BhpUWsmm`*#Czt>OMda&6$wwh`AB?mU%HJGc)!3Ec#@YA0lX`>2)B&2XO( zKtX%BPdN$Q0{0m^pE>m;rZJO(?V2jIEIN~kY9 z9n6Ftgy(i6Arm}wW2fLDc)F^@^@FFInb5=V+#|XE@bqvJHvpddtb_)_6S~WK1fISs zaf9G_$V})_cpjGAV0a!8l!77fJZdHM7(9;&px|+MhN^^y!ZXZF=m~g6=n0wOd0GGk z!{8ZhCG;db&kCSmI6Tj(ghs&gyq(Zf@J!GX8VS!!l6xASS0y(Jo{7S!U^F~$I0=n` zXR?vdGw{gYC_D?#G&^x);hCW)^c+01jD*I)Bd=s&foG27o`+|io~+~HnQtfb0z3=N zgeJhV$Vli#cosVey#&u^l6x7RFZ9H{0?#s)(5vu#WhV3*Jgbd_UWaFmlh8zX)=6#> zJR1e2;0<^-TM4}h&#!huR(SU63B3i+pYqt60#A-Swx+`4){%7@Jo!#S@4(|#2~CIR zn3>SK@SHXgnhDQ8212voDfAI~51wKRq1o^Rq~H7Sl(~qr!E;V>bC9RliJOZ&jh@g4 z$kW;h%|l*IE1?gOmn?vS`N*r|B=iyTbVfo8ke4F4g~&^@6ZbLlGWCQ$LEbegp+(4R z>?HIl^7KYRcI1VQl+TdYRwZsJ@(gA|Um&l8jPy(7-EJXn8S?J%5n7JCu991Uyl&F( zE9BkdBI`=z^{^3Ig}nQu-)iLb_7V3r^7=|{4e}l`5w{k3{dI&K$Qx)Qv<`WXN^U*! zhDdG$@*a1RbtCf3RzjPQH(US(o00dFmC!fH8zq2(Eyx>VCG;)w#u^E2MgEIELf;|( zb(PRIH3{f7K~IzoGp|A&RpKIG>c3GGMzQ4^ux zk$*zA{R8a|}^H7js zC3Fx4jVy!?p`fXr&|ws`5P*-Vpx_4Cwg3ffUBsyq=v zLBR;g{fmN8R^kd#Fjh~9PkS0K053m}f|qTCicv60CFDne)kvrm1*`Og%2BXR>IG4- zNuqNo_*SBeDEP%deibO#uL{I~f&*mbgMtP5R^qfMI6;1_1`6cwFRO)ufb^>kmHEi8 z4%9>op}J6$%!KMet>YlX%a=E^5~>firEHr5wUwT@22fkewy99tIf+Yy+TKJc9cl+7 zp-iYo*)|JmcWJ!_YA-8U8$#_Zt&N~Q=p?Q&)Q5G1nn0DmV5}+B!8YRbP={IxHG?`# zwrvjeDJO9)ppKSpTSAq`AiEZ-MYg>T>IB*LMyOLA)Z%8SOALf=g}PEl=r*Wd3!tzL zP}fSoj!@T2t`pRaF0$SZb&Hh+ey}5Q2&vB_d%8Cdv-t6V%cwRsHGjO0?{T_gN!AV?Ss1+7M55gPT z(k6JdcH$m_H`z$2AG~#Cd=JB`^AXn{-ZUGb0q|x@zk%>JHW2p+yiHX?gWzrMB=jh} zH<}0yhPSQs8v?IEa*x4#i{u`Mx1)~yhQixLTAzUTEj)nJC zA6cJ+caojZICv);3Gr8^Op(^-;eAJ<@$k;lk@W?5XFCZ^fcFDEp%>wuZy@v%ybGn@ ztMGmyD22TS?+S@thj*1q+(dZS$`+I0U2i1L3a`^dXfnLJB$^7ZOZvSHZ?;6!;Z=3y zHv`^d63v3Q&_UdL@cMm(_=|Arxd_d{kp>dY!;z-a??W7EA<+UHk@tvfA&%T)qZS|I zNJoi2!I92(;uhgZR{<3EDUNiLXfcj-*Ace_M|$`O*-_X=a-X5_W(#p&ps<^Z(3dFe zYbUfEg@aT=D^U29^!o~hqfNxEMB%eWLaR_{F%w#i!q67{8ig+#h+Big*Yt$eqVNp? z6y`wTWNBT8!l^28>rptvPG|!P-xEM#8&UYNN@x=bm$(RRM&aicLf@e9D{0+=!nG28 zi^6R>vTjA;_p*=gP`JxT+%^>MwiDWpLYGQt2MQ0E2z`%2k5qP|@UTQXQRuaj^#>Fl z(-Zm;g(n42*e~!+a1r_yzD))~zrpvdj?g~%_Uj4#4quMc`vbm1R^tAG@2G5>1K(+t zxLo*(e1tskh0Y)cQKXoNJB*?lc0vUxs_P)+MNyiQ5Pz9aV;!O6C~B!EbP7f7jD$|3 z=r*Zx7De49`UgclU1a?iMZKMb3Q_c+m5>ib4_gQop(u287o%v1nK(a+#z|CyqR=)E zpy*{CSxZs$x{**Biry4JVdW@#%SR}PqG=97=TP*nozQs{&6el_isssgyNIIsCPJ4` z^s$jp1&S66plJB7_fi8Ppy*2>1nhm+9XjTioUgywFZi| z8wu4!(N3vX3q`x6UJ{Ca)srQ;* z&y;!{QEYROUndlQU?+4tiWf-K8O5Jii0gvlr4B-OqByk8yQ26j195kuc#TB-r9+X=k@|12M& z3Gmyb@{91#GZOa_{PLbj^D_LO*ob=t{v}fRRro*G6ZabY%glschkvDo&_wvZb`Y8b zzr5DdyaE3vBXMuS|E-CT75;5DLX+Wl+6lb{e`u>tfq%DwxT)~(aS?hO{y%(#rokUN zlBdIeNUF?$zrae?cj5QS7PH_#sUvPS{AVS4AO3&s#La=fRC06SmuGLy2k@Vl*7+#W zsN}Z*B{j{27NR8CPG}KIn#n#EqokFAI6F$(Np2}hZj;;>D7nK%)@3NUTXHK<(o1qH zQSzYVR-u$EdG1e2ZaqpyNp2%b#z}57N?w%Q7L-ghQH!l8k>_m9cPI&M z^KB@3S4YBTbv=1eDWk}rUw`5h%* zBOyMG|CmbX50r$?z<;9Tte_OlUnnUw63RwNu}UZhC8c^o2T&4p63Ru%MI#|M0*Xq= zgFu3wP#yv`oP-V{kSu_rIfOu6mC#`XQuKuK5s<&wngRr}1f^*BJoQFKLS6)#Itlp^ zcvep+fWY%+LZt{yuo5aqV2XiI5P^4egw7!_(@5w%0<-11zktA8mAH!t%(oG`guusE zLKO%swg{Ajwngc~PU703^byJ3gwi2` zQWE$J{~mV`x*4U+L_3rb%$5qB#}Uo#N84W*NGggT(qD*Za5RPJF3olrVW zT5m_GJl`jDM(Io^wYUSN?+c(LbV2DH2cbJrD$o20T~Ydxp18YEx=>pAiwqZ8iR*?^ z`5TaMH%gZph`R@+Uz!MYN9nh+#l0xqp(m~xa@($vuqHpyc|a^rDsg2A~WE zLIY8jAh}0SR#S3=P?jvYM^RSKLVkl$mf|Ed1ZC-xdkke+l6xFwjU_h}WzB?}lJEq| zS~>{vS3tIs+%S~2mfVvlyGe4xQP$o^ej`xU!AR&Sl-(}5ktn-Ua!;eoD7jH6>ux2# z(J1R>AT$PLy(RYy%H;1y!m}vrC%LgG8z}w8q3mg?VnNw6HfsAk%En3Sc$B@MBkl#1 zz2qP?0cEe52)&51Nj^d^p=`2^(90-$+d}9Sl+Dl)dKG1}9E4s&naxD#b(DSJBQz0Z zAGrukLfI!4LT{ifv=8x@XRfjmHyLGX&4k`U*#-fWgefT7Y$r4oWm}De-bUGWmC!Vl z?Q{})2W3B*2~9`YuL39uGf=kIPUu~fxr~HnqAXiaXco#s=d1TncF;`RY?KuUpd`GH zvLkjvb5JJ7021b+%q+!6 z%2N&0;uDl-O0)>&jU@UM<$8%0qr9a=OHkg*LM=W+`E5o*OHtlQCG@->~}B9clyY0AIf*Bg!ZF+w~o;7DBmNI3+4MI`UB;En8^Ak%CjZPLHQXc zaR*Qy`n}9Wd6ADeH_8KgLLQWtOO%K5^Aa6IdFYq(5P~q1-(dt3B+5syhC~Gj);5q; zMNp>_@*d1Nm!5gH?Nd((Uzf%a_Y$5C42;OER zbQ;0XS?>&jcS`G7{@!dOS^q)sZU>=%5xmz*s1U(kc0xV`L+8ID1pAtaD@O1kBOyP6 z{q=-O5PU>(0R#t2t`xz?4P-4t&@6zGP>$emAE6+EBVB~fAvoGj=sbdBZGDV_`Qix3W7gKYXby#*@#O;aJPj}8iM=vgwhdo*$HJJnC&E#iD0ggP!@t7 z$z6lsVLNdR5mfbr8X+W(XF^SehdkFq2;k z1j_|bv@H=l=OT11f|s0xuEROl30;qK2{QUtI4AGlv^U_Kywca+h;wzMUu&Gx`KU!3 zoNFL^Ym0N~2IAV`T&9cAO*q%kLdbw~O{Dc^oYPy0Ymaj+1W>fM;M}!NLbu{vD;=TR zP|?axr~@k683}bn#VrzbLPZxnakrzwXd=`Z75DfEbwP#6Oz2Kj46qXFii$x_LU*C! zaTg&YDu&6n-B2-7P>S|$RLFf-dk-os(yu!zCP;KIDqhu*Uk_AFlx=&W;!PWIy-+dD zN$5UQ%&-%>9~HAzLcLKjSE4?sSZF5h0aSeIAk-HXOQqg}s90tp&V-7Ul6wdh4i|C# zP_fZU=wVcBQ3>@&#dgUJK!wvu+(1<9G81|P6~EXC4MN2pBcVr8@t2v?+pyWoN;)3L! z;+a4;vW{d*4F{p8nG)K=Mlq$HkGRoHNpTV4uX5;XAvBIDkJt!3&y=AiLN737xRKBV zri_%_OH2uEx0jjnf^7RbQ{J|c-z28YG81}}DIW-+XeTpep-N~9Q0e76z-6(6 zV=e83PB3=8mC$L%+ROOPFm|g-+&_$UHWK=mu{-sI3K=s>u86S*9K;nf_K-w=#s=7k zD`D(W7oh-S@;Xsl%GeXqTE^IL3t7t<8*LyIWNfU7&^gA&Nx$=qJ?|p!0%I@x2wi0C zH3OkbjJ;tYRKb|lAy6XE*?)`t62aKpj*A3P z5^FHF*g>c!W1qPQ)naU!l~596UzrIdGqzgl)n;t1fw(%1t(RO~#x@H|Nvy}1Jewuz z82e7@)n{yngRCix?NkXhVC*M7p;X4?Z*5{4V|$#$r8D-2N+^S|9LZ%e<`I;Vn8ny3 zJE3bBD^LkFWbCMnsu5!+%)~Wj>~ABXCXAi65^Bm=p^Qq;Sh1P7W{d@-wK-$ul54@( z1z}VYTQVm1y2NXlhUtmBj%l=ZLf11*O)H^ROq1*+bOY1WH4(azY2@`}Vr!<6*OQ5D zm?l#gmBhA8)6ht$9n&$XYO?xw; z+nDAyl~4z!xm{1FBhz#-6Y9h?MyYo@)7LMnd;6%?pz2&NMIUh`X0*UbPeI!88+9LOq$rDph(h%@jRx z_c6^hJE8lTCbaMNW}2B!;`%VnY!jgen8v0O>dQ1AI0!w+H1mywOiUxs7Kslr&8K?e z`Z0}N#_}-JELDl?&op0J2n}GG6;fp&)2uQQ_XyLhu@V}@H0uRW5+7xnO-4e4nP!WX z&=98i&PnJooZoLJ^f*fh?axD5!f!U>o?r?4jfBiB;SW2ZVJsnACG;dqaLf2cvV@~D zs;61P3E6fOOE_&HztJqAP@*v`p+s7rVF^JWS;sOhGZA`@X%i)~Fl}v##xre-g{&_y zZJOjJFm0xRxEGnWk&V!+Ona-7&}&TF(M9NWroF>VXcE&JC3=HtLwnAfOxx2=*0-3p zuanRertK$y!rvaJ9bhLkjcLuYw|AI!gzRlP(~h!`bq3Qu;~?}d(^^b~W-{#r1EE<= z`?B@HLJOJpbKU=G>E6SfsLn8e=e*sJ&CI}zGs>b=3@T6& zBucp`3elnNKeoIM})5~A}<)J#NQFH*A*eKSwZMs!(@$|1TuNzFlY zZHTZVOY~dOJVdKg%w9#bHbc!v^aok_HAJ__+y#hkb68!3=r3t1kLYie)LV#uDO#+m zwilQ!QMI2XsHKS4CaJd(-<+kEAzqiJmcu}XS^*>KP%B}?a@2b;3>o)ab615seuLAWMjNWy)Q*I!YC%FgQ~hAM5I|0jOrY9NL4o#sKYQeC8#5+`hOYfXBfx# zh+kkF-y?p7vA4+TQ5XmE)Ne4FDyd^Ij+8>hA~1f&1a&G**#%;4VBQ->k=SW4?<-OIO0!IYY728}h)C>om=BB2fccou ztR2i}lT>?{FN!+AoF)1L%v_e$vtZ6EQXOHw?oemLe6vJ#g1IP3b%yy?fjS4~(md4# z<~v17Ummx@r_O`9N@n~K=9&bvZZKtMh;@hgb(UEVnBPjZC(QK@v-4qY2&i5#H|MDf zVb-Oni(qb%xxHa-^O^O5xuZns%iZMl7rPkdUa4N9sus#Be}dVN;JCgpn?gilm#V5S zGt^};<+T>;2lH2lS$~+vQq<+JRFN70t4yj_z-m@vb|tKMhPn!tRY?tmWlJ^)mYZZ& z3F{=E8VswIta3H1)(K{RhIML@8Um|rh)C>OSlx5fP*@jcsbR4Cq^Rp)^{u3a!|Ioy zM!>o|RqxA13$oqM0G%P>OO#fcO#w>LctQ#|w{=J0l z0d*^^I}+4wYUAkzY7DHqlGN>LV|$r<2dsM~8>=>+7qI$wSd;TqTGjL|P_a}Y?_eJleGkXVF{^{)$;%k8tFhpV&4y?Pc5!IGrn5-36zsjN1+8 zf;6)|aC*xs``}zsVzwX7r8(*VoPl|&0nXJ@ZG>~JR1d=WYrtv~oDoIp5S&pCbr{Y~ z1?mW#F(D$c|G^n6>-`Mp?j*Bc;EW5XU*Sy5P)FfRlIm}8rl!NJT)}y?L;=ndd8!P~ zGbt(xXGVxfc{4aO11bh*womCB^X58K9L}o&Wx!b=E1PiM2%|{31!rM_Y7S?S{EQZG z7E5Nsc{jtV17~H5IswiHAtL22oR4IN2j^2+`9wHtDp@@V&R0pQC7hy+t3Zn zs6V_jlgtLd>nOSsUY8QHf$+NJsY-agL|4P>n_%{5c>R282)qFSbq&0M3F=ySgMI2R z@P_25q453^P{ZI|=Tq0g8 z0iL|>%SXX`Aj51lyeVnwMtIX?<(uF=BGsGWJ)UCq7I;}1cN@ItL}TE|J6QSc@Mb1B z?hbe_=cvEKo13B1@Q(jmm;|pjV3vWmDN9X;_d|-B0x!r@Q{nw6KjT4o+e^%*!P^y3 z55e2(P!Gc^WvRWYen^4Zr-JcCYQG9HGPk6H={aTxRPcC`YEZ#bQf*YhGophkcp=Yf zlL}_0sY5C_{%`uQs%s%tz0;KWtm>V{Nl|*IX(?5`)0`?*z0;ggWL57p9W#{PX_6)C z7@Qt{sCWeS`y3b7yU%pV0CQuK)!)Imn=|5NFeb{lD2yqhW-uNR#b7+0=Zta~FN)$Y za#>~uj0GYSW#1Q>S%}&>syU+h0@VW1B~rB!-CttnAle|+6A*2Zs*C869IGCpJCoFj zi0%#%iJye%UK!UCk@`Hd3UzFNR9hi3xWw#aMC9)+eu`??D&zEtOZffx~Tfv zB$ZTKS~=9YYD)(hcb=->DOp!lKeNQ@A65NfQ8!ipUWQqBRlnA!dZ_x%AtLdfYD?>Y zI$v!$yFgu_>UT@lOV!VoRW4NZzex2WcyENmB5}R{6$7e|D%~kcq2m4&vx`wNsYqRd zim4$Y@jszrnyl9s71J}!E=9#-Y3eeR1u3eZZj9hl{Za9}%(xsCGcwEupkkIoT>&qb zqVz7hAxT{Yuga$eqC$3y_#l-1CNnBwj|+>DxPJavpQZFu$JQb>1QkmX)HR6IR8m9L z{@yuim^#uaP3iMe+XG6UmztZW^m(a~3F>d^P_1Mm09P~;kt>p{`s%(3yArb- zfQkY&N*!vRqeiQy32Ev^)zl$D-J}k_B6Dw62Tu%`=~L8$WR+W0Lyt;kx2c8+8ETAb zXfN6A>cIJ;J5*^^Sd7HS!Wf>X^tW@jCaE;s$yw@7*lvls3oVWY)ZK6{FHrZuiB(en zfW10Jje|WhLyd>s-k~PI8zJNV39omN*}d@O^QQPjco7+QAKY4*dq3Qd@*MX7%)0_= z65LrbSKmsrS*nv^T%2Nc3e5L&)KnM)Lqy{GR+{6ljcG8S%rSdNt&ho1dl+U}iP>}* z^(pER82`;ukHQ$6qaFjI3F>h`-ihN+K&_Hho`jkk79;VepvEPsEYu*$o`z~yWTwAS z+>xX7r;?pyuKsTEKAE9El^j}N^?4PntE65~L0y7+QPsUxqGqUIqEEe~f?gSFrm8zy zpk}GM_hsD6Fq+FMuY|2HSe=c?^$ALUQh8dQniF=op!B(_nglg3Y-T~}Pbx2x_2!37 zESS9(Hn5-;KsT?TUWaa6LH#>yT0y-5>kOaL&qs?3)WWb)1@)h>Nd@)aut5c-pOjur zP9uo(ok zB5VXftwelFiqfaXBRNVxM}3*4K7elGKQX<+C23`*g$})gKi!`1<;KHsQR#J0JSCT5kUPIwgjNI zh8+N?ZR+5eqV3`R{>*lS*ZEUB!`u6*UEyW@)KB5v{M7F7Dt>BDc=JBBH@s+{+85rd zPwfw{(WiK8K6N0xB%f+f`ht9_F}xg~I;fg%@u?=X9G#*LL0@}M9S(1~r}R1aE|TeU z@LluF^f~w*B7F|NSDKkV2j3@2>2vUxB`AFk{_=p*=islR41ErMa4yUYeGdK_pVH^x Yhb1We{cjJhXXtbAe!xthgCCvyKQ0vvHUIzs literal 0 HcmV?d00001 diff --git a/gbe_trb_ecp5/media/ecp5-5g/tsmac_gbe.lpc b/gbe_trb_ecp5/media/ecp5-5g/tsmac_gbe.lpc new file mode 100644 index 0000000..43794b8 --- /dev/null +++ b/gbe_trb_ecp5/media/ecp5-5g/tsmac_gbe.lpc @@ -0,0 +1,37 @@ +[Device] +Family=sa5p00g +PartType=LFE5UM5G-85F +PartName=LFE5UM5G-85F-8BG381C +SpeedGrade=8 +Package=CABGA381 +OperatingCondition=COM +Status=P + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=IPCFG +CoreStatus=Demo +CoreName=Tri-Speed Ethernet MAC +CoreRevision=4.1 +ModuleName=tsmac_gbe +SourceFormat=vhdl +ParameterFileVersion=1.0 +Date=06/27/2022 +Time=13:47:01 + +[Parameters] +MIIM=No +MODE=Gbit MAC +MODS_TOOL=0 +ALDC_TOOL=0 +MULT_WB=NO +LOOPBACK=NO +STAT_REGS=NO +CORE_SYNP=1 + +[Files] +Synthesis= +Simulation= +Logical= +Physical= +Misc= diff --git a/gbe_trb_ecp5/media/ecp5-5g/tsmac_gbe.ngo b/gbe_trb_ecp5/media/ecp5-5g/tsmac_gbe.ngo new file mode 100644 index 0000000000000000000000000000000000000000..33bffa804d7513058eabdb55d26cae19bf7fb176 GIT binary patch literal 807833 zcmb4s34B!5*?wj~3?eXCyC{OAwSsLelZ3F?TF1R;)lpnNrFJGjK$K-nz@=3?t<~CA z+i9(KvvyiP{rn0sLz;w5!VL(YDB@_`%I2h^hy(g?f~TII!G8r7H!i4~6`wn^z9LxlXqJ@5y4qwUGM9g+ z`S&-copsrnmtD=jbMp6j=hj|vQSJGsR@a_a5%|k}F%r+d?A$XiKR15)w2RK2e)*Xf z#Q^+7q|Z6`%4uhxTOsBK1;{>g)o(fnQ8^6`A|Exs`Nhi~si+t;A+R)LLE&MGZ;8)p zm^Gz-VMSo+g|tgbvTn(O`o-~i6@is!CO``;Sy&YZeNMxInYS*kk5@+^bZfjS9;pac zo}2+k@TU273*(FH=EfW9=gz3Qwj%IiD z#Ec02emU7MR7NKQ_`k3Qm&YY%XQii>WIvpe@sQaC7lu_b z$4s#Da*u}`F~Q2Kz5EjMDV9f%1nqQ>lXmY;@Y1CR#>6~&SdHG6YJ~b*8=t>u;apVU zx&`&+;v4v6K>}icC&w4xnyfFva~3UGID7u$MOC$^gVUy-Gj$>=$cIm-X<^C2#wE$* zqK3uwv*U}Bvlh*+XRTy~{p5wD%`g@9fA(zPwlRk`6uS@if{7MYH5I{%TWLW9+12=y zT?HF9=tq7G{#@RV1)X0pF9HsKpV0&n~as2$9@S&_H|FLvgYm`L^=ZIzH&2<0a|jFdU*Xvmm^i38exl`DaDx6Fj$ z{h2a12$%+19%875ELk{-j?PQYjn4vg-|wxm9Q=de?q6p>K{O`E#p8T`0NCb9W|HMeiKb<`9lcs;SLy{+%gM&GGt*y(P$&o8aQ}Z*4FAt&l&`E zIqO<{?0&pr#!)K57sYUGvr|11R(6GIqN}4%f;`31h4ELk~2QSR{ zalpje@5c-rwbI11O$q?Vr$fQPb4@|Os_5W^gju`o;pry(7_SGvY7&gaxlqyLfhu@ zu&6fmS(UW|z-;JcHD#>{2K;9pw4%osdU=ddCQd?P;qD~c{O z9+MiF&F=iW{lhgaE>#?+2mi$yKxa*H+yngkMT^%)O9p-T?4lPO z{9mB~n9GIhmu0oycChXQ15?8T>%z#sIbCAYDPoD5TXss}khzyZO_@0C^~q0Q!LDG6 zg5tOd9Q zT7DduY+p$vZI0@=a&E%|BALqewL~;ZsxX=EJ=3J#o~fV6Xawcp$(|$Y3MQQM7lYea z^v<)i;0)P63Y4xbC+d%KCIh7i?Y&gjxYFTR;NW+{ej4oM!rq6C?SLn6^dX}SG^4OB zYcv7b@1;#>u^I#p{x0gv!X@Iol!2wZQuvk8N4DTcu<66_M#InbiBgQ0d{g-!~`eg~e=N#Jzvz+ZF#()z$-DUAc@s_aD>0NB>m z6t{I~#q*Yiw+f!_+op4f3)};1vN{P-5m@R3UGjCzt{J1Rl zpQ7fSjxbB#KR}%#p0t{<$2ZKNiY@nFxGTh%jEpn*(;eA8?keD$k z7FK2tiM6!)EIb!FB>qwlzCA2?Nc^%sd;8eJAu&stEUZl(Dzike_ZsVv_)$6wR{D@w zOIw1%hRh-Hm&$4HosmQ0=K$MuXdw~R9AM8t^8iWCyeieK-i6j7@uPa}=?NbatJKxr zo56bsew2#do_`%$JEi>f-e(<>a;}OBALb8QQ4}1z zTt4?kbt$}eXbqr=Zki~mC{2S{B;o1#Ng1Q{ia^_mF^ynp{^W_~SP~hq!Pm8Cx2~d6+K2}T~*qk=q^H(%IT`l`B;+jLQD7iv8gxWx>c7I{8?mbd-8#t4;Ny!>YE`ShElLrR;A5cQq`YK`M6Umc+SiZz{8qZeJLNov@WuAyv{z8+deCPoCRO0 zc$Z46(=MO0wrtNkxXKB_hqCKCA`WQIyg7^NZmOF%A368yBml`8CFi6ly}7k)*tLIs zqbFSwYgmGf(5!`vt7^p6-Ca~Du9gtgZ956EnZ7bpLgl8DbF*C$c>juM8J&X%`@A54 z2i^xXwpcqpxi(y4cR0r~xc*Hll2$F0EqhhXR16jN67;Psl*Ah9XVuTU2@mUTs0jRH zc&;qdh;bR8)3^Y80xbDI`9--&PCE$eC%0!ys+u)Y@LnHA;xJh!@G|Ec+-4Gu#eG;N=6@*W3`x!*2-+nA# zGU#x+I8EX(>qyHC+gpkDn1;h{HSF&KsLjIC9(gv_Jq4sXF3>@f~x9G3K;mh$63PVDUn={Y1N_C9B@z&jdnAQRII z%M}i}3iIc@9|v{(#_WJBH4gqHp;u8#>w~?(i;3mP9ecdm(SFUOqBf4YR}pw`NZLn* zJ=eVahkS`gPBR7=DTc&}*+Y?l{jHHhVPq>KS4_vF#NepwOwLSHdjZwFS4L0-=jLWD` zrw&C14Unf0-T~ zfv#;Ms{6M4a=t903gOx+2P4u4<&EWJZY{Unmhn{p*=_fy{aG-erjxHFH83B-^vZ|< z^;HCNo5~GyI?Pq~*6$E5?lYm$CQxmKp8-SW5?7& z;BfBL@l+45YQ(E>gX-{q6hIxc_?Fo-CUeUkIR$T>j7orZ^x|9ie$1@-*e@)7I1GyT zH>+;`EbKbAPS2JoWiwTB19t0NB5nt&CW>n}XS@hx=-tj6A|*^LpaT&9owK85>UH(A zZa}Gr+r?^E@mRPFE?T&_VbOf&^=L+K`&nsl^t;W1QBe^q(jvMincV2SS!#gpStp;i z;@RJ)3MI|1ZC!Bi_%HyrUN4NN)SoPi_;>&h7iD~KZhrelCcqKszh92$vm>e0K|;WT zW96!--MP4WHnuke9{$Pa$Yb{=OMf8`#=e;-{e^q}WA{f(f8mDr@kbX*f1&mrKPp`M z3nq>~27lN(u~$3(xLoNkgnGm?(c)irZcRJ|v_%OQZ4ziBkI%YjlSymHxM;PYg?{9w z;dm)H>ZGWPuZFZQy7{V*(`_~6<2e=IDZyJ&bL+9)Uh$`hhl(OP6%pvMfJo^062jQG z%P#W9->4&^KtER+HE4J!Sz_nA24f59(w}^RTzolhfzuhuY7E@wEons_#1?6+%T+k_*Dr?@)+#isIiFCeh(ODMs7gg=wnbB* z^5wx|$mR8*&$s44bvQPoCQ>@9ZJQQVB=|a8>#3^KjM=|E?y-G8r*dagst}-T%EOBQ zw>&{(E?yMhzYZ&?R9gGM!;9W*J=H@+t7kyo)oQ*(*A}M>&R9$#b(W=2r;>VERH5?K zy*4?`b80{#2((?4QFuAt$H{WQ8)FuyarPA(N3YoNXCWN-sRXon<)*NJ{ehU%ehsQc zaGcZrVy_jYxKBKL@UP{JnoB@7OTO+Avo>}NiA3{lH<~PP}~`x z(SvAuaNH?bJt&PlIi&|Bpr4QFLFtK;5_(W#a6&{6VloMiJ29#UIU|h=hxMRDNVY59 zuvZCqjHQ*V5{E|T^`NwKEHF*tR7v=b59vV(-*E*!D7|uQP7g|dem0{AB?zC(>Ol$o zXVQ9*v7KN)By)_9Y8ig#kzzos|CXdd^al->%k#2E=OX9d$()_qZVanr35c9NxOB5zP8dWb zj__R*xh9U88GV-309Y7L_^hP?rT{oLtpTufoFL0()dp786Do5W2`t4Y49jZ(th^@- z0o~&+rpdNHWdJxjb~aYCZI31tB52ttXoH#1j_0%32nE_UXc5fUZEvM?GFX1J{ci$D zWpQ}$3k7Za7*LOXEK1t8WON8tQEe~8bO@I$|5wlb;ql2(g zY3tDHLulI%*CP0QM4+uNqEp9<^KJi#Y9X3Bkk>-&gVur;!pNPH0wNvk=(pl?brkME zn-$fF%E5Nq=8O)KA=d@O(_J%U?7ppQ+zc7NTVpyYDFjdHS|A@gXlu{tq-1oi(JGYj zyj&~A3eY|{s#93SqS0DQjfQw(iVU<)PMR6Gz-pb8Ff(8_476geU^Ia#I?y^XYG%Nc z8ECBzn;9@O2G-V+fu+NKy9Y-rbv&P(%F{$VpydUmsgusBidWBI@Yn9O-1_iE4?dwr zX0@Il0^}Z-#q41;+M1#s7}qvr&X|w`6jmyREepL<(?AVz<|-!8`qiut2Nnl`*3)Uh zq(dV4^}G*m?Zfp zc`YV0?uSt=CgY|@Z;$=9`e}_$WWwtGY=q(?YUApECB1Z1=QXm&R`^l-*Mu~-QRmkL zHFVVS)w+s}BD!X5%xjl*d`*qUA?_bnzn=94~+z?`|4LA zpc-c`u6|PkFcDYp)2Lz=uHF^)s$vSZPK$T}`?{A@(F>v`n6uWJDV7eV-fx6;2#+RM zeAoUx1K3eB;+F3E?hS})B4ll_Ff9+Nj~=HXGqJSZET$$0wC*XTN?zB zuxnVT$wTKgI|6+AOBW8|4$ zCGC3VyAdB2QIbp>Irt=2)I2pbVPs-U?k(tH`FPpJU*!xam)RSaSbCIe&W-839+X|l z#(NB+GdNr~?1iX1Yb5r3cpQBGDh zLRPGOH>`ya$+f9cV>P?2DJ*FhTzJ7n_NM6iG_;gAW_&64Mlf80&yamTS40|cQGN0J zILrGPg`&-dTSPVI173XR@!Qe@L5bn~MT_}z3k;oq(d3KZ(EE|1T=B5Rt}%9}ew@}~ zoCVkZM=!?_yLMk#E5{jk?SY&Yg52UeN?neE=TP~%&x=PI!41)Pem5w+fEwVC51wKgVIP@{Y7iG0zi%m3OAxL>_Wi z%E55ITXu+&3MZCn!OEG6A>>N1a!%f@lZp4@_hKa?uGR61KF;KSl~8E$DUB!y$51Kz z*fB_vS1rHJ6xoH2OZgagJ6*gSrLuTy|8&%;Lq;#&Z`lxXY7i>QO#3nPt!xlv_||SY zSz!#9XBx5iL*93sP;m0wuT*0n-Ep!@k4;B$=opjs^22WD{ZXe4o4<7SGnHkfqx@XX z5eihlj-zv4jVOKVo9O|2&cQDl7u85jS>KW|GH^!Supnu`k+D;yFn0bd>c_$j?uG_G zEnGOPy(?l?QZB^g?0h_D=0sMk&t=T`6nh39NfqV(b;o&1yh2>OhD6K7Ssy!c$hZFGj1LQgvf;0Ou1I@2SA@+H7|$sb#b75330DZAF!kmJA| zt?PWi*C|`yl&FtMhdzuM)V-w<19uH4F6p_oD`EzoiR9rD%X%VVV5zH?Otc=18X53d z!S?*LtRD{-srI9Xh-Bs3hf;^eZYS~DKUs&!E^#>{dT87csa(I$`*I^$);<6Z;~47i z7Q2G{CGE?Mj@$64FN>&I{}4OQkf2yOa4=YZ3Hg|UojHDZaYuOr?U?F=y{9}e1tFNiz3_K2KRIH^Y|tA{C_3mIWd8SCy!nqVnA>mD>4$2`35&9F(F$0_TUSw@&c=k$mP zmY!dCzu7r<+q#aBQJ%fFZcEmPv(wgfTSi>^?0*wRoO|eXCq;ql(uR?}nV_y;>_yI6 zD{T;#NkNWs*0#iadF0?=W6qC9x?!~i7Og8B9_!@zLk}}KzY{e|Gr@jN`+8|+h&+VS z!_1IJlSXM|$l7cKur9(fyK>R9PCjs}H6W|Jbt@7k3v!yZ?hcyJ%kzQUx^0#bmn0bz z1+H_Eq5U&3gK}oj+732fCv3M5D%Nf(__82~)^&M<75hxuI>$2L_S$0I@4^P$-ea!& zL(YVAQduiEevFNehD>Mwn5(GGl}}0X%PX&7J^018Hrusr#E@lWrOKR$b1Bvv69AvPPV< zgLPBEh;!gu(<4S)`m`r$z-5_beQYw%wd^IbuCe~(%frEE%?}x^pnp26%^Fa=tvz|8 zH2SA=Ldpc&{WFZXCN1sCJ1%cPrLK;kXBt`V@7KwrB;9DVSC-c96omCSV{g4&FyIk; zH}$ue376rT&l_QSH#H4d=Q?wGXVfHXM`c1pFKkEjn2Z6H1x+|_KxH{|lu1_Rsk2fB zS+1Zv4o?DA0*cmb{H@+wKpVao)+*$yxC`*MErg!|gC+s7h<0qOWjh!%3D8hxFWrFZ(HxyxQ0VC7KqaTy1PkXd#T@jgRKF z5JR{urh{Zs*tj~U#h57@^=DhQlewf;jt9LPI}2Kj`L*${SuMu=+4!537UN*t_-I6n zaS7isEC)=(|E`6Q7^!H(((C>7hsb*My0)gtkh>smrz8V9 zhHE4^xH<+~UR7*++u&0B@DM^i(uzZvE2^hd)k=ZyxFlbc!+W}NQ*qzzZ z#*#ciUGWQtnqo_qN!4YW@vH*|v9e6VR>?VV$&;XEO<@p=Y`nkTJ|0)q;7Av+!)| z3FqJPTx&{Wd}3p~3jeFAYQ(`$Pqd`H*Z^7dekt2e<<~K!Z#rrcpwdxkEQEjQBE;+L zW8jVn8SwQ7r4B*axVzw#jo0Bdb2+&zvWbz|_4DiS8GGC`XtVmc8~Kp#*J&pqehn(~ z`u~JM^T=b+otEzh>Khv3bf9ChgPTNl)z*a}aPqDeT0|F^nRK+Ml>^Lf`q%TI7Ml)f zSnuza@(7Jj;kVNkFoNqx=lTVT0~l~kd;y*Z%MkB8GXsvIp?(g`SDkaBIs~(4wbTHs zhh7(*DM^->h6gC@1!Yb6VOo!IC-PoIi^Sh(vC0tfC&=F`tZzLAWd68#GgqN9WwwAQg;2({yfs>>xWzL zNa>tXR?t%CKchY_*A-npD(%a}u3kT407abW)>r1B$l#70op8#`A$D#J>lpYY!D6KN!*WXLnlPASa zmPnjoR)C7O{uCoDE7tWFCt$!+U#jB>G*_7G6P6L@Qf~cM%{Uis>n||i=p#1&+OS?d zU^ah>8D_aYP2YhKtVu$zCT^S{PK=mr+95eVWyB>U*MyC@grwGtOGy69;2Yy{LkPMx zelr;7=JXi5`7BF|$w}DyD-wE8S~J%mDNTtOBxQyDJ^HGo4lC)`qh9(H@uc%yEkr*$ zzo~`jMdzfvPNDel+q4%#tUAt3dg&Of9XDxwM*KRyqv1z?bj;A`M-FvdSMcgb@$a}S z=cS|6blhxt==SF6wy+nF&C`QBlwIHi<=N3lDt&xIQz;Z1V z$m>z`kyTmHW032R{CDS~eOp1E`Do0cbJzXT;0ijpb8|*-%s#E^+-4A!k2Q668BtcP zZX?S0yqYu_W|wuAPYQ~Cg(bEfK6`-eGD=Iw^kucm?E~|}EIr6{>}<^IL5|&ZA7%8Q z4AE(t=5i?hNJHmP{Bz8!j9vVg#&-_&dm~IEctzt;eAQj)T~5^{WVaYO-_R7ZssA~%fl zZj!~_hDY=uC*Vz$X{{g@nNYjw1}}<;ZTeZ%BZL5NdMM)|(?mnwL#A&qfo$0HfY(G3 zMNVuwBW03BPjC8q&Vb51zv;%931-#Y^edq5UX+-{=gInf)8Tm&%#qglX@lR0&xVIW z5Oo$SyEkxq1@Y)SuAo!yol}?aaK9ik)SWvA;gA5bGpi zHrl=^W4)f^S=G{ z6fl0rsUPD{Zd3$^z2VS>9~t8-5NPFvOl^amV68#KH%p_+VXl5 zitjMUathpZuEDB|6zbKvBxPqJQE$R>oCil=L7T;K(chmq@}D~K{AtXD;Blv93@qnO zn=*}4MX+*c4lKor9p@RQ47#>V9%RSx;`wB7w5;zGW}Mgrk2^61cAYQhUjRdBOYpdH zek`KoD;a|ldW!?l;BgVlz;W(3V1`jSZW1`Oo{)v(;5gZN>wG=ud?>*b7d-Ctut5n~ zus#TmzQ!;3nCyb1XB7-OCRd|-kQl+y-%1-;rqqB1c^4cl_X>2*k_Ce|IQlw2J2;65 zj&|<6U5PTO3TCh(2#!vM44#k$RXbSuwH!D~U0<#qXy}Mnv6nCGj_*qy0Oa+99$wEtcsxV^jteJrNDaH`xT6dh<%WgzaYlhahSrUdw0@QCIn~7Gl#z-C^mZIIb$sOz9v-Yxug54q_LK8l#2S z3)ENQv=4EHQ1B5lAr&`=#tlA$-)< zdUL8D#h7As39LG%pctx^74oW2rByn0v`;75X#pAA{sCx;v(qlS=$t7NWy{_!Rom^b z4O8QalM-IxbEaH$zWp;=E5=y{m}RQ{Dthm92RBJpjZZ2fwcPo%U!b~9;>D^06rfe- zr92AI%vBdrfwLX8_!@X%)r6RbOeH6HxiMB(9h>xUV?eI>R03pYL*&PZR?YNsb6~AH z(n}|KUfQFaA0S+HdDcru_*Tht0e6d71XdkJZxlMn;|eNS4v|)!2eO-8UfN%EQOHB* z&|CGDoQKX~yejOab5qqGp&n;&*!5?U*-1ngR}Id4G;?6A8dmVoIm}jlike*wm6TmI z!%LSBx2>x4DykAq^E471t}94)`B^J_?N!q?nphRu&x@!6ASSX3k^R&@C8to41ns9M z6(YxNeMliPjoRmVw37E*F_o9`o~Wv&17}CvtWv1k%MZbdWk`-H+G81omj$~0il{LYB_FI-^kS$}g?vHLhZWI7(Oi#{zFL8KpkYljULicS}K@y$C;5#;>_P{jWS& zc|gMNBb0V%GJigOD!E0A1LAcGNxRl7D9Oz}CF>W>vCjZj{7)KW8BHMDp9GNK6==U9 z3P3ysP`qh}x#S8bzw-iKYoXxKR6^vk+p0TbfJ&|vAueE>oFXOTsG%!b!SC@3HMjlU zlotU1oQRh!_XSt%&1w)-jP^zJ$<=U#w>AS}$8 zI*94G_8Gd#GuBesv^$MWc>Z0?FsjkvXjhGj7BAdQ%+u>(}csX5y3b=-h3JpZa7Xbu7!nUTGA_R|rvjpYO?n z>XKl0KmUG2B{M;u|5rgJGut-QghA%Fja|tB{*OIsxGH_VkW|$$GoRlTRmseN=il}i z<-&C3pGYoEuhJellW)C}Hl>|%OJ6P#x9>@TSeh4#KU{3>wH!qG@M&=58yV0jUEg3! z-b`P`jD6`pIO?ZiP==6YArA4j6PLdl@bfksv-peQ#7}BT(MDRXZOnkki2%fT3mfYj z78fsVU^Q@67}ycOX5-p8mNXz;nFEO~6iKBIuU?rd(Ycu6N6*^77jcrXylMYI0Tecr zD<1rPHyxt;Wo1Z(zb1=faHz3qH%GVGo^lHy$1X-RToeZXA+PsN!Vjcf%k%J&}c5`+d=VvUG|x zxBZWxmbi;#E^8k5l2M|b+Gl~TbRycbDgkoQWVvslWtoFsRF1mU{)m^13~7HbT2aa!+;YvK{ULCdTrARM>HcWQLzlh6|7JaO?lIdl zUb^fte_inK%d-9_X%Af%_CL#b=^5;}n2VAEkyav^eONeU-hpR#V16l0^cd*@xQ%ST0Cz-eevVejP|m(!(a(E;`57fLOkw9 zuXYck#^B=m1Y2@FAnuKAKR;(z?#_;g$Wt)6$$^{UQwhe&=fC(w5X5>sLBR ze4L+wkjH)dLe_JX>c?uOs&72ra#Pu+O5e?|rQEsS&22I^~eALY+E$VnJ4QjvV zZ2WZ@Cu0?VH6DF~=uVnE@iHoZIq#Ga*E+UET{Mn>ju%oc8nbu(e-faT8-^c&X2*m6 ztg96zqwM8vS*Ju9p+nV?=`l=5&$6*)omJS|Z>ZI&!&zNRuEcuX4g8_TMafx+=S|ef+|n%+D=ZWfr zm!mL=nYwat3KDFOlU|HN`|<=px0mo|Xjm1g6;-368hS}pTN0p46_x~T6?s*pnhzM6 zl=7?B)33O68pHKDOO=-Il)#x0rQnqPpD50Zg@C(VpqHFkOVH&E*F>E$m5PwA{A>nP zSAc5Dik>_=ssYhGI}$3r+%7byeIsF)eRRc&prapjEupbA~z2`x? zX0(FN?fD?(%K?`CLlV9$4E4iNKNci@&wqT|%O?>%2cW~pSqy>R@8 zsC#b+`}3eQ^e&3}^PmLv$`Q7qIM5acWv@H{^I;-SW5VB975Zz>(xg9=e5qX=orWjKw{_j}F9zg1qNDnSr=aE%!W|8;}cZ-6IlU)9=KX-rZpz z97(X}`q%(w?ETzN3Ink*!}ly5P#dejo;9g~w6UJ-`F(gGHtw4Dd}lyy+#2tBHan0u z8Ogop`L{vh(R(6v`HU}l-qkxZ?a#!A*1gw6eVN#az28py@<^5Mos;rslH$>OS;3!+ z6{h#9kUx_=cnj@qv}8`>Q##1{wF= z(U=d17&*sJhgg~Hrvnpn@W{ayt?)W0ejsEv_5!RY!O@dLAP36i1v9x7mjZrK(kXCL zyz#nua~8{;P^9qgpHc3x#dUM%*3T{;!{M`LNUaZR=}N$b@Djll7o7{a1NWu`)g9nf z1S^K;JhF0O!`^K}{*yw~!vVY8i_`cgtFuNJ7vw8$qSrx}ZzbtkJkAJK{BOY^5A67~ z0pmg=IQUqgO1q&OR);r-fs{gzr&+V%Y2Q&EOBKOjBI4Bn)u&K_JETwzqoK#B#i4rc zOr+d3bhJdA?d&@ zFDBfwvstJvNV$pSB$HFU?&(HYvi_>PNtz4#?n}ZZ7^5mU>VINx7~K*)@~jMq*^Usw(vhFHps}3D1R0$~ zm7Bld=%1NTOlQH-513HQM8PpLlAadud023C$_q$GauN-W`DNNGMqkGK)@zN6F@!4O zm7uC4Ka&FBYBBOIv;{;rl?CgHuu4ThLq9F3RP;gUnvhCG)`rH@KbJL@fQ#u|KXvX* zzNr=ZYSKkrFq30H7<#IplG*Yj$0t-W<2dZ~uu5h)hP{_k$xPhPTGc%Xcj%Wnm761A z_+O$fGTcTujyx~pqQY$^{>WoJ%*d<}_Bx_G%|Zp@JnUbVO9iMH+aqp_s8q!6$j8$v z75z74Xh@~9ntwW_P^Edp?~bZu#%Kg~x9(n%%p7r^hs^j7|9w{FW{gI>l~&0N^zbt* zmCU{!d11yyM)gF)k7&%hsW=KpkS+z$kuc<6@iar@OCahA?C&OASm5M$Yc3488N>Mp{nW|WZ@vx4t%FQf4 zVzs4`IkrdaS6eNqIP5PTGJ`+tima+cro;M#o6Ij<2Z#PBs!|cUp(lEH8M-4fDlbBJ z#Pv~?iqMVh38_?s?uhRfR4PI@I-~G%uBE-Za|*WrH?x0eqM(u` z(zPDl%;!<(d$`#FMs6@jRfv(x^m4;2r)ne1y}Xb8A&IpCc>>B`V_n(bfWLG{o`PBAE%GpZq` zl4Zt!H?NWz$ipA@keTqqt30};+^^b~{X>5NibIg)vnukT`+m zvTRj4Kn}Tb5WlH@*5XACGis`M6}*~P2VZ;@ctsElH#f{%TpzEVjX8fs%BJB6C0WlG zbMgrblt5!$@i*CVo_5*POD0uGNV0*1fR4g}Q>VbPa1>;h|8~%S8}ra5L{C^AI)}y5 z&YXwNu5J2O-a}`8FZ*TELuWkSc!{A1;5IXYAmPAS;X?_b&6yovh>L!5)Q9obOAlSC zS`j?#s<4MuZVd%1R!4vY8==HLD=eQO;mj_VDM=NTzwzUQQ2Il8iA3>@do7jDA@{~( zVGkMonxCzb?G6!McCCr_5-Yld%~1$IXs z5Wr8}GVE`io6tbw&vQ{AiZ+qyp6m7q?cIM$Mo~k5mZwyrRE_=PDc_%#6NTD6i|y~X zhj6WzCHc_}x9}Fqtob+KY%Y;;GT8yDkGg1VR`<8uG-Oh6vItbh?&dN9lShx-QV$MOpl4azsf`fpS$jJXn_7h|UGxAc0jI2y5 z(Iir&9H|k zQL==72#!tVN;=TMu|G_g{z8Dp-a~HMWHiRg{3d@PnqzM)bE1`Fmy|gXm9f{QN^@KHRVlh6*MB%Jq!`fy1*ICgQSM3dm$mMHzn72nuYxb!EN&|~k+m;U7X zd#ubk;tQ9IW9Q~dDEne;vdqjP`|>n^?1=L8z!BXwDFo_a?pY;Xg-4Swew@)Dn6_Tr zl>$&%QdALm?$6}x*UUT4FK)BkG~7(>3WDUZg{P!l!x9<*k6*h&45I2Cp1XDp$!Y+4 z{U4nK=CH1rI*Dqm5xSOW5h&~Wo=#ac?f($wJ&x6&z;)fD(^iAZ_2PS41R~!_Xp~j6 z{qwaBusJvC9H?e6=Vo-;*l`E6G7!01YX&}deOoKS#JOB+h7mZ;f{@y2Rcy`~x(M)+ z^NVllv{Bi3=<+H$1wg-u<4Yqievt4A%KqTRPXMUKSjz)ll{y(d_U;<4Y19lp@9r9;2?UE};KhIH z>~QtAwIKya@B38xUeM{}MycyAEyAj_RSu=}N~cii_j5+0e7R%m_o7CeFX%j12pe%O znz!DSGUC;2)w(qWBSQ_}ve_z0t#3gM-?iELI20J-#`k*G%i|Qif@&tk*1tuK3aS~T zwbMdo21eoahf`o+h32Y>`-dfs{3;VGb=Oa#z*x>@U%?;xd9eo+Pp)wdwdLgu5WTNe zY|L|V7fa8;7hSgo&Ec%3f^`S-Mhn&K@pbnDH@HwO@%~KM%s?MHzT)EpyY$&>Ge!+n z(jzY>%na<2Eo&lX2By`szfGALn3&I9n=~`9PoMpB+RPwB$*9ilad;GTSx~<5uK;=qMEg`YaxWZZb-SFozOwtH+NmBRVeMcM(aGsWY?Km zg^W|zDLSbt@vtVOaidD2G1<~V5{<7Vb&y2kD+wJWP5zA54Qbc$mQG4$jgz#H1Zs3b zC&g&I`1gzkl3*MKz|$vk4RFhYQ9!JZ#TqD;ap%$ksD~G;CSS3NlDrnaj23_@@Z%yrd zC8a~KrrP;oNQYpp^Rfg_-(Xgwott$!Wks=bj}GGD#7qCp7VDL=%x>G2G~%pqFW;6k z;;f<1o?9^DoNIPI83L|`NY1af4@wwCS)8^H3LA0O&gcF+13aQj5O!JwpvNwU%C0d$ zyb#C3&X?I*j}*tlPFXg3AZ{ote@Q82>gUI=D9&k)^jL4cN3-uJSX1 zb^lIzqVI8!o7BoS=r$_H89?RIunz+c5Go(a`Y_;Zpz=>C9|k^9`*q%j0cQ=Bze$)G zc>Dz~Gd>*XSVYmsO*y8hY)kp*K=ln){uvCWV9A+HqYy2VHOH{x!0LbDo8RpS?2t1K z9Edp9xihA)D6<@N-WkU{t+sIj>ddsG!{sYWR`P-Sbk=Q%dLZY8t>V*vE<@ z)bH+lLS8y5es?nArK2u(e+Oh$58B>+hekgNdiN5Ie#|x7mr;){9IUQ8hnP^VV7u@4 z>Xg^4c3ea!bV@l7Y+o7$I@%Ru=>gdLR>2_1g1IwlQp-xcV^z{1%Xy%yIc-2W7jM5C zpw?j)^6rKZPstv`~eEj0#^$=Y&8LNCd@Y&kou2f5U5 zxe!=6oBNw7=SMB&ErL4+av;?r_bN-{z#++$Z~3ypCeywpoYLE5>MwgU2arb#ip{E8 zR(KYzWr>&{3pd^^t^wq5PIg7h{_Sgn6>izDqkcA6S(`o;_G95()ND_owtaq)1lhWD zR2E`~HPkoahf5aXd0fL}q+8c-GoW%VoIP{VlErweE04yuKbHg9py{@hFArnB>}-EMw6*k%sIMMr`L|-eJe*cqt_g!j6J2?OZE0-? zXw;C(@Z$8Op9L{66C7FtGRkC?q%$wW?8<~emRs_ci!B4n%-C{6zKAlX`2DfEhT>a0 zc$Fs~1;||G?1L-|Rz6j5Qc4?-ir~ps9su(f8HUgJKa0Ogf5xxjx_+}NiK%=glzyI*;x!V2(8EfDLG_+JP3Z(&At_HWp5@%J5=Zxq5MkrOTTosWY%@{vu=pv zvqm_oO_sc+6u)#dt8V@*%&c2hB^;)T>g1*eUZ<{%7))Wh?va$%`2npx7laIwn3Q`? zF{wqh>X`^ZZSzpHdd8#-Am+%P!?Su&W{-Vq0BZGeetqZ2lnI5y`=lu9n##vdg(EDJ zEPCXfwx|h3g9?8)#itj zG0AeN(<6%{O|0au(!Tei2FuE6GI5UEelX$7!?|+%yAfX=&bizFmht7O zV$^nw%=$8M4X|~3(wC=7LTjb`nYiNFaYN3Zi5;+GpMTq`7=RtJ0W$Yw6&>FZiTRt8 zz3VLlFtKyDzmW0Q#D&L>VF`aG@a%gv4IbSnkdy9rS}haIF|=<>!31+puv1o9xO}A< z+gNmB@L!sOyms)jHWa!OCiUDv?_14CX?^FS=A90pdYIK=-``Uvm_xj<#|X3XJU6!7 zOZbR&acJ^Warj2r&Kp9|T$<(U=A%Bi7YO_QlQfuSP~JN=2eg<<6~WIpS^a;;ufe4D zTvrwpK7!?^cH?uF%%2ZpcL78RM!!RRVEb}y*;qe-df#=QON$g2aNj{|{ndtyPeqEP z(vAY}k_5UQAS0c?*V-X>SGdT;kGE6m7iFAf3pb`hB=Gc}@AE#lh1SIm_~pTJcODc* z%{GIXuf>(u}SZ^ zN!(5COu4ua{jPr}KoSp%8!X&C3v@jcQ>mDbyViI@$7Zd|sk}7Rl2oY-W4ni!KKyT1 z<;DEowKAnpiI<1i7jOJTWm5R8%bXY>AvOk^(f!g1_4CR5S%E(TC zDWy`$`?s)4Mc7}euv99$vs?u2#I2gm2X|esL=;|GS9HChwoINMb@jwlZuZej!K6xN zUF_-$sbp5PF4@2LXC{Ivee_IJt-9UyQjm5C%2O5yR}3%ZS)a zd?^DePX_v_a%9kz$pYvPGkfV#I@eD^EVi7S_KI_A?fM|*%>a(vDya4CYu5CF0%*c|#eLF6x?jX=W^oCEPJsQB58-CsezA+kJ{~frcxFIR{nb_{%XPxp8x-SMozfi=X zaCb|-9}^E`kiYSv*I&4{|Nd~98_mGC|GNKZf#PUEMc~cASY${J1q~LJ@LkSUOH>t?$3kWZP9)pkag>4 zFlEo!?;L{Ay)^HVrmv5?NVc*jmoobfeM{6SDk<6hNWn#8x-^}e0?m0&I2DFFzZO!d z*z<1vegxE@U7@SWUCV;@d{+Wcv3R5IuDd>8W`*EAL_LDIt`-2@Z5_Mk^(WI$mruyP z&VQs;DoT0N{Fq8b|F8dURHeeHtn4+1JI+L>KyPb20^XrcDtu`Dw#OQp+4^mdUi4h+ zxAUqsJPRLE$bmY@p_gjoDTQ?k0^1rVtFysV(6rV>7}UcZ3Z@`4+fNOtyl8OyoR~^Q zgWKgr2$x>C+kR?9O~2G!YMG_C#Gw5kBq z?9VNgik|2jPql&mb9BBJFz(JgiKQsu9g6d>m_9LHOWhY101YcaIODE{%g@3B+oxnzD#FlpWkjVSm{v^Xtp)YNi&82tn)Y&gRHdS6FMSB_*6O zuVu|TE3fjh5*+K%i+G7;9^tj&Vz z^lTzpvE^0Ohlw062kC<|3~=nZU_?~_W4kAi)uk4^Z&jyMDgxP>ut0T|vx#V0J`JLq zijaMDi$^bx*@wuHj?*!|7`-e71ui0s(M-DQj6w~I-0w(ry1@4jw$CQjIa=`|LvZ*A zQk{BhU~Ty2S(S>rK}UXIsZ?zGhTm0CsW|Z;KEtB{#bm_(sLCr1_VB`(EOuOup}{*| zia>$05qN_mIOIJLU5%(l|7`to22|&CQw?`VT<+mT(}u`~(`gNFlYkmi^&&V!-Yck7 zG-BLOGoX6v

!ChA-s-In$>qg3u57AO*71CXSzjL*6H|i#mnWs6xfa*q)>#&fc%) z@P0q7u;Qf*7>lS>1Y^jDmP%!Rd>B@#Z1x8^m5P2I@?lb?qQ%zs5UB1JQ}IV6yV{00 zjC(2%swZ+&F`l=dLCBfOY~V);5M5f385>SYf$BCX%vqHg52(hZLG)TgpvT{u(?SU0 z_~wEZLZ^+tO%EZ&9;s}HNG;P|_vS}6o$+&gJ4g!CGJZ%zv_PWNb`$;_SZlul|g zJRJ8?Mh9UH7aSjmY9WR&9nnGz{6kqCG?`64Rx8C-+4yB?ofL$|ZB6MAEbxNkPtRx} zcI2L<7DAlH|2UzA5Z!Ti1My}&Rz<<_*J`D(JPVHhY)B_HiO&B%rGx1EX;Cf0xNp{m zX%fRUDy);jsw+7DXsyCY%)%2OkerULmvaHwmpm z2o2UET%7He6>+f$afe_01&`pk)~rq&HvGYHzYOajEPaCGUb1u$)>XmrshAdGdq)8A z1`tct;JANUT8KSzkv2-T9IM;)3K_r$lUjvr*PpdgT&9hCAgYxDvRmIQbpE)$uuf|#{VirDOX(7f*4$wT4ZVj6i)k^UrspFo8KCg$> z%-xg1T8Q2Ca9#(&)VSr^2y!VrZcS7x#NJw=?Gmm%$B)xWVZ|LBe|So#5HI!y$KM&& zLTK^$|4nKkAW!OrIK<~zS|J8^Q%DOj)7BTX5X)zKLYz?YcYC%4G@hVOpQcJ3o#tBarC4iuAc_S$6`7uM&o<{8dHRB z%IYCv6ZK+f@(naKxVWhWXJcBU1(U=N9NPOQ2^{$aEB1vT5=`QT(t??|pk@E`7pw+O zOi`Bt@B|g-*ug-ZrAK*;xVth;&~~e64u=aj=M5s*gnaS~x}meibJ@P5k{Wbkd=9?s zh;zTdCkHZSoIj+*Q{UiW;}iZ|P!+7Wr2r;19>|l!zNhyA=KFr2hv=Sd`3_5GSCAjX!AI_6kEczy;6e;Lnnx{NxY(ls zBdc$$M+1o1jJQ0IXKa0^hZQQXYxJF|D3I%0eKm>;a_z3~lDwipZmRZ;R#ou9R^Jzr z3Ns&^^nEd`Q2Ai2FPu`SGRUu1sa3-KZMAiBExYe>j~8O_JdYASAL+Z$ql8tg@&$(y z`LVou)_}_0UNWYG%8$HcOi3dzqwb)c0Jf4@^}I6|9C=0Bi{R(2f+N4B18Di*4)=== zVL}{vwax^`aOJy1irH5bz+6~)Fz+E_&aK?*Rgbm8(4ip@H)pb;pG|n_48(S?QB=sv zeAvT|sdDIX8tt@tRMx|e@emw3-15?)eyF_(a>hAc#hAU4^74cHmd8o^ZMOq?mEC^V zP7b_Wk(5rX{D((9$4s!&zB1-?hodGq^a#tNpW`N2+2vIvNw_2L5kM*jE4yKTvuy9jx4% z>!;e;X_w-eRzF?1@i6JibU%%cyzMUpVlK!&Sa2i!h^Z8T<(oYSE9&z5BB}tY@A5}H z%$SmvFHNevn3I-2j zftH4l7vl#!1-yRA5;}+(p1nJxgXCu)vnK=c29P2mT&Hty+I8iirK%v7Q`L(9zRYlLaS!GyRG@6?gGb}O9ccm3^ z(9&*cxn|q^Teq}KJI#+MoXoZ6$K4*u z#c_5PM7K3$z}^*UH!lW9so2e)UHZ8(oGDEU+kE{*`#$q0S4BO5{rTTu@IX6rEy3n=(8z>A61%)XpRo)e zwuXboh7BNgrGu_9ff)F~L3JsEDEFL!@i_x(|DJYm@Esu&L)>1-(!Iki0~5RN!mtTM zKMZb+8c_LuKF4ox@DCzBJgj-a!8e%Ilz)L4tt}W8QOh8?@}lW?+29WPYDO_K^J69FbZ{W@YP8z zY&YLtH3tV@r%xF&VRQ{nT1Fih@q?ED)ij>cC?$jEo24D;WS z5ic$LKWY#8@Suxj-SfdknE|*^ia+Y~izih@_>knI(+0GN%)2@^fI-wJ^kK!@U^4_b z!ujE&n{z%4Okw%8(D$R!f!Jv6W4{(+;s{h`eAHlm2z<`Z9nN+YuljMINL9!}&|9SJ zw1tMi+Xbx?lldD*75|sgf+B5)bWh%gg9E;QhrXX1tYYd4hD>U??C<*@pqdEDL$TZRTCwcyyQ`oT zLpkdEepCyg+6R{Av{30y6$sy)(Q;sM-?ucYg?L2L_XoWgcYu9=*0+M&)xHLOGgxBY z)tf87FoidnaBI7CeLvE+UG}eizkp3|@5)yn`X12hMnU80+t&kma-|zIUN=e!O@3KuzXQ6t$J2+fY ztzp0{7#v>KU_UaklLC3rlk}0qmU;jCQ6C2Ei1+VK_%L7u?*B{3hXF%k|5JG%28@e$ zM;H7UFdFx7$@*}R;U&w50b};vll;8osD8Kf)JrqCWZxWkcbKoAXln2Dq>mf+6A(F4 z>KzR}d#Gr7w7gRb^j?`BfKSxzxhCq%#`g7|74hXM@9F}3`uD#&=j=x(`#+O1tCOMB z^O#S#>n2L;b4*3pY_whqu~8=_n68k$mP_buwh zo>P+k_V^`>JyY`oFkxN1=a}dKOqfRA`gkBsm?`#L5E?)e*I9dx3Hx)&fZcOSYyd8n zhCNfQ0k~LV_EZ)I;9>#jJt6AP#qLY{vq&oJnKqC)sV0RRbAHNXJ=gmM%a?~mxc9WM zFApD6^nN|$%Ogvq-V^e^Oe{^kV-vnSvSRA)q9XlpLc!^N%M7EEbnj!3_0mY!?wu(k z%!dqrj~HQ$i0;=IbiHzf_qLP~XCijLVU}kScFX9|+vhOte%%;7d4ksc zva$J6GP>7gjQ+9sc0Uy};>_*tEoS>H5Zwn8MtK?0-5-U`3}WG5c{78U*q<;naNckJ zXO;{LZ{d$$@^~@do(BO-pIJBH)3NjBE?m@rXIpsh{(^5zWya=Z?TMf(I8G<=S*>l1 zsCL~1$wf)6W_%TE=}3Cazb`O;W7tWg*ubYq1;zuGI z)1U;(6kB%J)ls2RR(!63Cp-JXZelfWF$S9cJFk-YOLVU6(DaVZ6DTD1NlqgL3}a+ROF@T8?Qi-@6qxE{ zg#F*tN66!&yBVMl>L%he*xYm)OI9TiCGN!h8lK3E zYGzH>`<6$NpG_3qjQoy|DXj!)Mm3Xa=cJqms+QhL6f^+y_jH{E;=R*;FyhL=YGz;)2%v8hWhOxjTC+)TVkC`>cBWF-Hz^r1|#ys;d&`L^2A|zjT91)y|;6^ z))$P0rpvNAF{b;42_3>2X;VytMEFhWrfW5jJ*k`-)(Rm(q;lAYwMy{$Zt^Y2L5_y2 z>8Lw{I4SYl$ve*tX?1fn?7YT+LFcZoC$(~n=gxx>J%)tX`Axk}7XGGLdW;Pz_We0A zt!BF|O;-~2w9}5#&MUJz(W2f@C$%8MyK`eki*dQU^Gve zEht)3ty=5S%mPAfYZNzJqoTIbcES$I5?Nfj#uv+cC1l$d;@Tmtg#4UlOxc_-z9!-pv)bsEtvL-O^|ZB7r;ojN>sM16Az57)Wi^OA zO1_3rdBqLe{+@PF8T@Ud92#g{%heIG&XONa@Li8Hnn!ULYW3?S=O%#;oE4kVeEX!? z=%@awg<{eVf%=-E?UhMgY{4*hRyeV?llu6jaFPy}mg*J*tY?O!0(c+_u z_@!dU9EbeqB7SmGr~h2yauah%Fk@tBrU6X>GmeQ3`GVF!830>e|Bwqka6G#(WQ@o7 z{MpiHJmhizcF1QVX8Z8m!jMxvTt^o zlFl zVO6aftE#@DRUme7C+Qy&HBZz^muXp@36=&)m+K;C21%EjJZzA3xyoY*Ntb&Px*;q# zFj^02O|WoA`=Y2$-kO7?%Y7aLFyG=CodRnBCCQ%*Dr|6p5ueWe^bdJB~ZNDSo9nW^$@R{k{cpxQrVWyC`O8}H!>9bRATPrCy; zyrGCcpVi@Fx0Qd}lV%3Vza9?;$-lRwW(|^mFMDWU{#6asI>DL*^KY5Q2$FyQ_F$0w zTNSJ@g-^b}TUDFUF<2%b|EiAmz>$Ad*4=jJf@#S!{|^=NdA0AI|-{u zm_P3Y%?c!c_GHZrl0WY!%?#{cRZUvQTP84n#s+i>ES&lC*@PKK{#1R^Lmv54b!x^e z&-|GeG2^BDsoRh)&t~smRVR4qgbiMx&bX5p{qJMtHZ@_R{oupG&4y4 z)kn)01Wf$-iSf7?@vG$3@K=m|s<&2$~rrzi#&MBlD{{p;KV_k@Dp^1F!wI?7@PQ&9=eg6LuYx|<{ldY`*Qzp#=?dR zy~aR&Jd`M}5^BZ=jBHjqUT4-LSI$*8Ma&u`9lw$^Ge|mKl`%6Q9esT(97f9TO?gY@ z#)gaWrh0$X`5r?^I$q?#AnEwItl0)+yl=;_I2in;_0amwtMAQT)p)#z{&>qF)8;f= zAEWeFzHZq+Z|XE@6EOVTiyE$Ml!dx-OH4*~g8W@sYhOmE5!CYK9SItQ( z`pA{P&@FJGVCvR`37gRZsrUl|+>GU25pFE2W~xJDEkAZsNGk^BX-PXGXFyf?i^lvQ z)-Gut*h&LS##cU^w$ny8H!;&Lzu}587_w?o7+`UVa%MbqyJALO;L__vVAyE{ivj?l z849Z{Fd7uX@uW(>*ujh)n8Mtaph;( zRez5HQ2Z?SxBONAq9z9*8<;=3hu{r3f7N0LIDE(ZYyPSylWu@rdHZfk48jjbnit*` zo5qKiO7Af6SJ&k%u_?{+vV?jm*QzvSkVbiGgGD_MR;lt+wW?Pgyi&ezJBg^RVLy$7 zirE&lq8M2z!xC91owYvf;h>95Ex(96$?~)Es{f@xx7m!l)BY;!>4(ygyuVY`6L4^{ z05>_sQ3%yXB^;bmWbIK0l{N66h=YiNsjdw>huBrzmoMiTq>Z-7lEM~m#B8wddlTL0iWLMNlX0BFW0;(+@ zc)ke!to~HaK}9dFKG#WP_Wn8z&QcB37i!?Kppz~&@RXZOEl;GK+)@M8pHG5bs)2ge zK=nBh2N5+;-57QdQ3KUyBppO%>jbAbYoK~$!oiAUtqz7AMApEUK~rp!8n`Cuq>}e? zr^~p!RDaSzl^UoX=AhDF)fYsZYDxXLYcLScbg)VdR5v-aT7DhtP#`r>9l}`lnaNus zt50+CGWn`M=A<(Bsz*3&VCq$$7LCDuSRmdA1t8at~#Wp_X;IAI%B8wfD zrkvbj$QNDQQUh~TZh08gU;T5H84tIxGGY#1t`61Ta}t@;e~LjtsWL)^%2*t9(xoz% zy2;d%N;|o&2>vJvdZ{w_?2^Cw>k$W$mGK?W6i!ygqNsz&%18zrM5&A!PNhuY+2B-^ zGTVQbb`Yg9ejRmE$@@^sNu^rrQJ3QK#y8aYtAFY;i`2FI#d$H|ZNM?)9EjfR97d9>*(oXsIyK@LM)GqOh|1tn z5f8gG2>H=~gV`#S$J0)-6w3WBve@yU(`MOxRo~~*jk)-iPNvm^=8PJJxcFWgk(7}} z%k+I~uZmiK;-{Q1(zxb5hc;Ctnn}_Stv= zqoNn%8TqrR(UN>BX8k$V`twOkvT3Z0`oaembHix}DSs7;c+rM8DndjH zKTDrEZOW~MkI^?T;`QIvmtS)|-ZRw`2b2C3fBI+7n>)jLrxkuJFfc}r`~Y|>{nWdf7tEYBeIXAHF#fiHNKBhMW8uu^DGoEK zv!^j*Q{d#l6H)jq-3yD`Enh7vX#2HEAQfU~co(^uXp@ z$Wt17(cA@#VzGJi7vk3$)*Ev1$v)Z3lz6NcrsWOX`~V$P0vAr2F{AX>vh8mZWqgX) z1IpXN@N_f2%KmA7n0MD*aj*_BvtrNZ%iWXqWWuS3FZX!Dn+K0==6+vB51#SP{VC$8H4zMYVOfw z8BH8+aXBUwdhYJDw)&f2-9{MOF%fLSmlo^;iquifzWw=-iyV5kz+{$@+ zZ5QuJfJ+0zp<%VVwC^NI(KHDu3$s9B!B zFW9rvg8|FJ9yvrTc}X!4E5cr1&SL;F4EC5I7oI(by%^9#_WU{GF$D16$BelA9B$9Q zJs8-q_iQ(hAipBm)0;34!4+oD+fg$E*Qq^Aa%Kju2YdeRVIu<7&6`bpgO4^rh6 zNr&E_F2}~*=XQDi!gG>r;@gh|%4xGYZC|V$pETrs@p5eJ#o3BrIktM~*@waA9Vu(g zIFu{P$M%}_aTcZ3T3$LLS%Lt45CUcj5F6_DJrQt}`n@~7$O9H{i)qMSzt>>Q7FwM_cZVZZ=$rRgie_^cj5ebv-1~@ z{!=oFw&t60K2`arBt(3LpS|EJ_R1R@ZopT$06i7fL2}EY@(D`}zonVU5X@V&kUyot zJveTu+B&i#33Lu_ar3`C)5Q9*g^R1sT25Ymjzb2y58fPGIG;mT|0*sRevDJ!V0~|G zD!#kLi|nzX>#?XTIXP8eD190L$3(}!n*`m@=`5Hzf7aYvo99ff@U{Lg0P2AJBquh} z1jcYlV;uD2Z+@`WED4A06!iWj=cVlwjB)hZBq&9f6&}{WFX*4n0#Jux8(K0t2YO)` z`RVAAm(w;9eU_3_8&#O~m|7JCr%GpGrEbc)=xmKk8ls>V2V>SRIgisXlGv$Ja&R`( z?h){vpRn^WhnKvph%#xHd@TbmnLb^QFU`R1@25etyL~E7=Z@c%a!_YX#(M@a+;7_;!mcf#vlWsbFd9s^d#$2-5EdX|Z%%w(l%S$%p z9Dqgcia5#icIvNGQ<#t#{+A~*HWE#KMq#3RpZS}@1D`&#IAE8;gq~Rvv{5YgEsNVp z%z8J@hbcicQ1~N`ED%n5sEK7H30Up~t1t~DnA9u1dsr+YC3)XTuFUgLpK#5Xi-oguaL%SJUmbWv@W*jCnaw48 z4lORDnZ38?u&0^AGTlR$ShwS33}*R9GY`xb5WC*gtK>a~?mL5};R>H$L3nw4rgK}QfTVWU?hq{ns(xw=)HuHUz%;;H`L3UEvq5$jTZHGKg7OVuxktWQ)womKi(Z4W+SF zyGGF$oqbd&mN`+_-T6Nw3eBAdjVO+9HVlcGG$UC%dug$DTts0*z$lCU*10)ikd-C7 z^KixlbLH&J8DXAfbiQqbc~htJeIv}%m<@xBFt-hzU15`H+$L?PNtxy+H$rl6<|*B#9i^l*$<-^Y3Yk?G<@vpxUHK0s~*L#u%l$O2zWJr(la^UsNSv@Ej`uggi0c9?|{&LcQGWB2ohY4kp zZrB#k%3A(Fx@~GTGazkVeXFPX@|iiHY^TmA(55Nw4o#I!6ChUtH&(lg=8C+Cp>hp zX>4jSa>%AvpOOWgpVN=W5N$jg!|7*;J9?cVy;h#?ZEOmg zByq2A<0p(L>Sv>DPPBeSZfqP5#d@3AoKB`qT3LS8@U;((FtTLhpnypjiLyy9{q?Hh zjZJ4qOv3e;@}`kym~)QCjnbIbYum#n7)!;*aR3dIW*T3cHo}>M-m`*v*ERC^W z>o?B}=pm@qTE{g1Ja;JT_#4 zxv*~>5I4arxHh$^*g8l4&INA-zSfsE>5%q)R?-M_e(m3*cZyBKJqGSc`fEEg1t#SN z%P9H9PVG-qT6Bzbn~fK60A0dcuRv{kBwCQ>;BEut&Rxfk;@(`mJJI&rq9J-!y#LYh zD=6}sFmH->sGCl=QM}ig?h1Nq;+>I>*>P{Cdfr{_7#=CZ#aqK|>iXlhs-6k4u5=Qo z_d-35UvX@v%!u?u+b_%6MVGc^!_ajM4+yj)g5&LUnbDx8{a?88*hpk z<)sH*X0{*g(_dGcgS>PArMSmh509_N7PJ>p9AeJJiEmT1ActGRe7u)Ckh_X^&(NB- zB?_|6ypYv>EC<$4jOVz4idbQQnU?Cgc#HTf9?ar|dT4LhfX>4&a51fASr^V50RCZT z(JE`cs@i!t4j+EHYf%2`Wtg0SDMI!9p)WE1&j8nlKAPv(2sL0og~h~hsH7n5WkP8>kb=0+#0C6H*Ekhzq;urNw~T8 zX92C`7@5is3{H^K{-Fb6TG6rGQTm5|7NBmXTvhx-=Y$L>O446i#H0SKv<*{I#X4W5q}3ifHY_dV9|mAKhj!Nm8Qj{Di?K zrbKOb#DGfBQ)vUrpx6E;Y(TL~@(+F3gi1=Bm(t3fi^FVx?RNcyk+u76G%G&Af+RV55416y}eSc{J0@>#nvWB?J@q2JCKK*Y7?_fdfE^hZ>OF3%d| zSgK8WH}H0rzwX+gL6TkeyCz8%`8U#fNeNrsDg(-}4V9l_xi#^Q5&$ z6527FSQItC3Tow8FC)`hxlvXE*2fJfGokLPqyd#!e~ZYeG~U4wI)AUzTd64sfm?3^u(-TQ22M~4@?%ZJG>J%NV0KXnl^xlcinqIJ!q|) zhe8IF-FfI}fI25xTdCvY22{3T-_00M>CQW{22{H9MNtFF(AE4?kK%g+h)$(GbdA!L zYE~t+iW^0=S|7SbCU|Yo1TrN?B@AlWI+p0oZIq7mrHnz6JA{Ui9<+8#b4;S#XnilB z7i9~mJt3zDtrqazAW(M;Ko-`dfN1ieQA)Y?hL{1BrhbbFWyEV%m^8DLYrhjUXqJ3f zpVXoatk#-WO&~&3du_%b3GdhBACPtK=lN+7f6Z2tTDs{QL8x_m5b0U-d>D|s`!-nV z`HBg}d4Rv>-K<`gJL{S~CXk!Ac9UK%#x={JR%;V|U$e<1E4$;XvIbekyymUA0hO5V zGNBUHH^N%kdf8Rhd>GK9Qpf{MAPf1ph(VHtd``juvXFbS29UK{y2qhx-|D5xkIm^N zrQmA6X)>3!Iz6KomCLEmnDjDVYAaHDQS0#aP7^BL?~fZ~r5?i}11dQ&C1yYw^}rKR zJvv&ptAXFA4KO=b;7>U{I?56@?4j%V%#%Mb!ad`LG5E3+j)vyoi$^$+>7wOo;W8_p zGE7Kb)KGVJQg4%lerPzYN3FA_ISD-~=2Siw)}u`_+o4ay^{_02b+-idXrm?ki>MyP z=aI1Rf5Bjv^$Mw>iJU=L&N_xZ7BRuho}oVt=wW`X&0l*krAPT4JAd70VtTaR+D$$j zG{6jRo#Du-zMfrUm|@calpeV^YtStHXqYL?_>dE(T=&zYNtzz1GaQT5Tkr9z8xhh= zhuB=|E;qQ7A3yWgVdA>xj<+^oR(0PXKSCWVNlMBYIRe$xoV$lI*LyM6bEt zdI?SK2XU=xz;g9?rx6x!9t&a3dIo9PdVa+S%c^v55V+a{c#H>c)t+veG4~S98SSXz z=i1LF3?Kqnd$|c@IsDHAaxJ?rU{K5GEi!>9h1yecdP&(@);yR7>hgiLM`?}fC82a| zNDo=t!%I!5Y!BZtsI?ZWufz$x~D1|t|tI#FxfQS zk=0@xvF6)pEynDtc|?!NcIi(Lb0@A;OwB!dInKZO%^>8|IUpm4OBB_;Ce}M#>tH=Z zQ$rdI-$Jb}mQl@Pfb-o-ftMpXhA~_+c}rzZqa;jVb;ihMT^v+*M!})lh}^G!J>ViE z>#Mh>U1a2YU~LFwm$_n%Tuuenmot}__kmYqMm5%?xE>sLqLvHi*iG;atQm2k)|xy6 zoOhh$kIP(Pz}sFMWcv{Kk9HWlhnZ8y{UNTiIX@WR9SfebQXV{bt=5RkA|4EU(bsXO zXFWLJl@V8jJs5b$YsAG-FAmyyDj3{h%+Cc3Bm7#=!tYJkugM$9KK^N~j(p}aw~sqo z>)1R8pCv+SIXVBx( zfENcce#G>U7YC+t+-+Kp!c@R8FzuxRQyKn4Fu227FqE&J9nPtEuy8Wp%4u279gDlB zvZWbuwAR$7JO|_Q)u2{KA%9@VMsE%#;jvMzlKe2N?^`$>SA7>IOdUhRT8_L9M&>?G z4rJkoqmnuug*Fa5MvRQ>I0_D7F^u>II5ei_4Phc~j(Kq~5!<6)92jNzkFz?Cf~nFS zej4#&;e4lS4Jjz0&o+m=bTH3Gdyc}g3JjyI>Vjmu8k{_b9d*Pfqq>oBW!H2r8WC+d zkkWCWyUP;Fgw|ZLV4wmA)Ab!sYiY-|LER_?pD;LQM|B*9QJ5wF1A{vS3LI>Rdjnn^ zob7EnFAk7{D&9Jzo9d^=i(i<{`c&w^#RxP1?tlr6)>jddUt_u|47 z>Ctb7%P`TS4}ixU8)!nB1WrtsVWQgym0_|xdRE9=mF3YpQf0WrqxY5L5|18NZbb3u z7pcp&WERfg=+677$H+lnK9cj|pd-)p90d<0w>%Pu4ylzX^R2Jw@*=;H4jxy8iYK|n zg&}`=fOw%K1D7WYN{pOXN62{$7>$#%A~rVd#lmaHz&&v<7KzovVJ{X5)al?b+agi= zY7#7lPLg*4CWgKhh($jNCzg)D#mSGrDK{kpUy6PdR^Fiu-1?DNc{LxnFtx5ej& zy*OmU`u%_x3&J&Geaef2Tfk1wVX*L3ua7~8>Uv&22-%g)g*hTP)i0-Af=KV`7hU=! z1l5~d>{4CT@;st4zNA=nPtd7eQmXntZaP<~>Q@6Ue)hoXcDFs~dA^Y=)W1@Cq{X%^ znAm`I)7SES%8Gw%7_Jh1Ee}R@<2B&tOPe1IX(3wq{hSuUueDmkK|rpd8Zp)@$7Zxr z_z~CUNAzRihi99AqKEL?uFX-sLeA?aVVxNb*gtK4T&ob*ZobX8MKl&pY~YWET7DJO zXq?!HV)kwReLyG2uxxInn3W=>Q1ix{uk{OroUWSKC>ipAZfaJfH$N2DscgXd;cJQK zbULZBB>}{3Ndpsk^N$F+tZYDE^=&{a2=FWXDG)Tz7GE0|Nibqj8P+_19i;S^Y$@`IRMM6N4yd85hyu9KTsIHLNp_ z!&~ZGqH&Wr&3pBzm?Oi;hP)KL0ajX}%!E-P+~;S_ygDqbQ6LPGe4Ss* zXmAc7aLeU!4J_ej?rlC#2ag(m(RCB8O8;V3BTl@TO~2)HQ4MS*msL4m4mcS`SudVs zW=2_F57v9;-*Ja|zaQG>wweaBQ z9HaQr4ekzooyiz=O`kn4rv9XsU|%praOR{1Gn*&R!n?+%&BixF__nE*6GhCHv{=;n zf>L=cZtM5ckQ-n_n@3rFsP)nekbw%qJJY__ALd*l(wbU-M;laKc+kbyDwp>TO(>k! zc-GC2(rKL<1>M2Vz1LSGZaOl!^&eR`9of>lHteP&#h$)^b~=KB^CjQ52ciHRvE+i; zDwns8nX#F-_9P+U1UQG5GyumOkfg1TMm2Og>7f7srzU!7D`)KlFukWgrvo^~t6|L; zoOpv~;#?D+-W7y|!y^rx_^mOwDttuA*SaUI0XXsXVGTf6?ayccOz`PVpgSkXg|zkR zoJIokXq6*dhjaPjjn=z3hQn9f`L;fz8=StnIp`XL*HFIJ$@qJK3q5Z77q*RM8wA*Mm5mH1}>(plQ@z(Q|V_D6BqnAqe1AlmVZToD4}5)U&PU!QxS`AC%@A! z$*{j9H8ONeOF9BX8H3&PGvs%8MTQuicCyP7a2{<^v~bC2c?MLK%&u}$z(r-#{Ip94 zxAd)N2VLCkr1cpWnSJz>w2RDT;#jwCX8G|!7dJgz<2I0OGT_$D=~PBtN?2F#xVYJb zTl!qw^)RsILpL{P>37-9x8k(ycNxefp=DRfX$e=OmN(o(!tRzGZYA(Z%SPx>W5#_= z%NDn8MtE_=#SQAmsl%Q=`CS!Xp3$=0Ij*%Se!)3_tTZicQO5w%uv;=NC2~%)ngiSO zkVk>OmgB=9YwY1mMp~A*24*j5c{b`)B{exRqF2Q?k+hD9Ic225w~Pqth4{*mmg7Qt zknc%p86MDse5FatXAF`Jd=X2_IW$Hy=>}08N*GX1q@_NoM;rNqkQUcYDIeZOzOSU^ zn4CeD;Ai7{ut7p`R>XkHbmdVI-Gs!AXNC>3l60qJ^r*azrsZQPV-;|lq!xx&SVIO9}N*GYd zs!zlXDCgVvb`YpfF0>X-nml`&Txy*wcl0VNV!#Fz?l@08mzP;ox%PSynpHo#86P2l z!~YCEwTQWfwfx0JmIdtSDCim&i-%7zfc1hN9oLIWV2@4$4BM{otl*%^8!4tV89Cc& ztxY@Wa_Z6=bkk+w|4h)uFI$CkGA_F8uTG@{m2u@vtaZ3skLEvfE9U-h3eK;+Ng(5!Y<% zrN!@a^UE>Cn{K)^?452s^g>IwYqr*bhFqsB-r;0hI^F7p{(8z~KAra7*dXY|t-Ck} zx4?ZpX%6e8^Hd}2j{_+tvL(T{SNGL%1_Df)I(2^YoLLL-+Vh1|X5vlNABz^C$&02> zpElq6kPC)6Hmw6_LEqOhfW!h-9p2~8ui4;R2G|C?U+duFfHbpzGd0`9EdZ}5vL->X zqh`&RJ8%B9=Gd(ZD|`p)V{QRV_k5E5Gw02oiqx4ue-@0NcKbA(9^wS3!aw*>0`OpY zzYt!&guk=GUU-2K90OWdc&v8`^OoCNDPhVJs=cV4K#JZU32l+&7CloX8N)hXN27a(HUJ*nAQ}Fa!8E} z(i(NVlX@fyU|^v`@{aQ04?`gNizL2@s+mdg-ldVE64iYOUN)UFfS7qxsDY;%T_aPMdKrI=AhTtE3I0)K^g1bZosUSM1h~>vWSaQKJ zcPz2~!Efi>5?IarHG|Ya(bD}jbs;d93_;`b*BqTtXlTOznjsm5hQ{fy@dXqb7F&M} z)v42E=*Fa-RD`|y163Z~(qHq1ppz4sRMQl7Qkinr-boymcP(89jySo+yPtBA z>EPk(IiwYKm<%@ze=TgM&|M=FpeWYTx5HnF+Zhpo;q4%llp#`;b#{uC#w@7e>A0Pf zzI!fgr@-^Wm&NQ9r1|hyX-L6XtK_P)3W<9>skoY)i!KFMm2uIzf$iT*e(S{Ewvoh{ zJs#V^M24?ac^22VuO(?x3g7Nq z0Z?x)b}{?rrwynaD(#C#O)&kx?~h>Hf76jkEub6}-LcdFzWa&(akUJ1a9L9bbL^ZBvIRc#>G@zENznnI~;_Anv zCRkkkZKJffTF&TncFWD*eG@3{a<%2mZ|TE8Pu>#KiHRGZiD)7E@UfH@q644HX(4*= z>p(On@=|o)jHnh9zx^hu#l$Nw5p#N_vCsxO!dkS@2KMQN^EH_*BgldxZpNypid)yj#t zWee{zpFh0v9Z1G>aH@{9r%7ugV4GIkEJz;*!E;ngD^ol zzZ?eQm(NWvXpYUFwt&Y>JaUuWZ{>_Rvu2eJcJR)e{SiwOPuue6MPnOBU2nasr}8J% z2LIU5i*Fo#MT1PXPY!i0?-d?3LdWbM6^D>jcm?uU`J7?jX(>yc{n;dJ4Udnx1Qv-v z|Gg#O6jUv;PNVwsml5{ooW{y?P6uvIx<*+rxA|(ko?Fh``>$~iH%27;FOIk*aSO74 zyiS1MXY9Wr;}XER@PQ_m9?bIWtc#a-8~g4}gRZ)(Ud-uxFz1p$D)oI&CqQ%hzLRtb zaD)fkR^qa^^5=1j+ZNnwMmJqrFFz@$+?St&4ZZHhE5tdg}*q(A1GEBjvbl22q;?59@Btsx%(8ea*qggSN>IKDzGapeoD6 zmP76V;!f*8iRU^s6Eg~@+yShanox;y5H`m_M3?v1+?G>0<$!HgNF~Z?X4FB%Qs5un z9{{Ii6Hkk3F3hNs;+#tzl8oH&4-+LxB*6$@r1UrZJ$yek>tK}gt7AdT+h>W( z>Gj704yv5VeJtmo;%=!4RNZh&b!Xl=?3FntI8GvNlf>C6tKY1ZD7dAeZc{8@8vWoo61^ttoq;tRu5aX)b? zv+D`X;LP{M$5NFo-_cl33WkM-tt$#5;$Xmz=$RFXk`OjLfB6Homy~d!@}*9St3+C! zS`|}?++9EOqD>vux8+JqNZn^Lk<#C|sDEa)4aYUi2J49;#~xh1>HJJOToe_no_Wbe zkik1j%H{gtndg!v5!$?Aj)=I_)3SeMOT2XFhJ|`w%xc3o^bjX-PK;`tBk&yvz74Ug z78A>6CAApmwc!rE97EGNIjoU`jh)kz8UPdB@awb&XlPz=8@Bk9 zf6|<3#mh5EgwE!O2BB9wr|4wp(<4(d`hl!Vq|O@?8kG#-WqX{am91EE9@fU%IWaNq>GFz#KY^|+&E`AEGH-GkbGwUaN2DOlH>66Zskag z!#THwc&nA~@IIL0m=J$wba;nbdp(2u@35O6|8pyn zr<@M&!2pgK$YVu^mnK{Qv+i)Wd+2%sJtu@q0#b7MCr$>YKp!MObXqWdYV-7Yi{?&6 zLCg$+Z2kVpdi-U#|!UHg{bIjEZ7h_%xiK5v2X;Y@nx_ugddGE`P zPTA-SrY&rqz5ur{@YGC~JX&XYY5pP{0!a#1_;$PpfxN{$9uf(#pIfr4xK8`Ba!p`O zPwcWDqq3gJ>N_S_9NPi%0I=&kn&z4lY`)hlN6WK=q7W<$dPlQ0X6Z?=>?s-G*39Nk zbK;hl9|A|7gxO1erv$!%{zj6IY8eE=^#1d?YzH~^tLpS#@+c~L@UP!HamZn(qepQ zv-2LkPM$?~-lx~e)85Xz!&;qe+MWLjX)*aBPUk`cNWnWZT0z-YtW0RJdYR#xoF0@Z zF4k+U7hC@x)=SDHp9$$f@y?%OdXVc`=kEi0P&S_FG(f9kOqz`jZCz&gx^9UUg{IG% zJ85>lh2OPsT61X|*SWT2RPjf(`Mx|TRaDH!qg}1&XF1?jk1JjIux(#?!DW1AQXYM> z@Y(a`&d9$y1$cc^(*(?FUZ!ZEJps8YcK#-y#bn9svK}cFb4!ZRbjQVtLiriaomSy{ z^FP5Nne&*lX!gQcQzk7~2orxCQlR|G!`}_dW1n5t*^!J?nj%KJB5aVW@O975DVXXu z>Ck;uWXXHZ<=OnuHwBAoWc=a^jp1)dsF-<;?z$#YWVJo5>#w;zRHPR^?rA**+p{GN z#4=7Dn4!I8Lu>|Wt*L`KVur_twku9eSJ-+MivbwN>bbT{gP8U|n zu4J|x7x(^M3(6`Z*YC@6@q(f2SLMgVlGOE^^4hQ(cFirX4O|P#amjkt^@CuAcQ9pX z>$)#lj*V+T*8^p>aW&}rX11I*cK5EkljYdBLUi33Eyu=Hrt9IdY;yI|bxXFKI$lL} z%`2;p>rB^g%4-w8$IGgdE2Z`?mpahYSqt!RJ1%l~Hehv-2a^?LqG_!KGZN+axSn)9 zl`FDMnK^CBt$cS0mKizSvig)_16y3UC{bEg#kLLSM*#Vz&ze1Z+SKOR^YELP`FAzr zjEfEO!iz7y5#v1_ElKkRlU^0du)&;) z)?%JfXDb6H7>Crpog*mioDg?+J3kxKAZ!~u2V^w}JH^gV=@2%DcX#QuvCenar8L@D zvhVJXY7o{sg@OZ>4n!}i_$wMCJKy;fA92&2Lsmq-bcJNpzeC}S4sLIP))r* z33&{G0_`22@L=GA+xxf|2O0jD^H71d(Hrq%;0~epB9Ad9O0(|0KVlw5&H#HSd2w*9 z>`evCI{4&iFOIFvsl;>1-eq1KWSEyQtC055J2U3N!KJ_V_M8U?tFQMrUZb$4dw=OQ z3hT7@$&|+^tjgY&fCmRFdQ)E#9QK81LG!s-hOVbGulJOuc<&WnCdfHw@691E8>B_# zFKe|`Ah%6k?TG2jg#CLWI-q&nH8)HEdR^9m&JuN-u1`4WNF3iLX?%8Pa8*W;ZTNZ6 z!9VHF$&`5Yw^;{3iF$#ac3MVAe){<83J57q&#(S4VW-nFLg*A5Xu?TGXZqz}<%r^bNX#)D$rXPb*aWDM0X;wldN-1x8Afi&)M3#Ls z10vR=>GOE-*?h+={6IC-%$ri#g9l$-90JE*MEO$(j8T$eZfAmrd>%`f|2 z7*ylP^w<5g%@#_z`?c)4xJA`kT;coo7lSSw%j!QstnkYmC#z>VyFQzvfD2k66Ww)3 zK#%gfMZR5^$<*AkjQ3k|S?8NNZ9(BQ$Xd#GoEH_9_FX*M%P};=uk5RtwL6+(ZaRkE za7|L^(-uyff`fSkuzC8T*|V*8!M$;H$^w<4Q9d|m+BJg;HS@(6!hA>Mrn&{@?*bgT zBU0qIt~e|;N9T%Un@4eiwCkpf4rxZW1=t)nfH+~;6$u(ZRLZW9$!-+Kt}zLNB#LBL zIBo!u-@Cq@Hh^60cm2RLD7PCsHUSi3GYOk6f;yT$|zvW)GH>1i#>MNHP4+~Jr9!}Y?m zD_|Oen}uDsN3uDMAQOv`p371F}_ z6?}J%8Ad7Z4wzxIf!!nYV^cYca<^Q;yXQ@u@G*L?i8wo|+&R*VhuEWX&&G_C<7Pqj zRXdjDJebf*clPku1uK> zm4~Z#4>jYw4$2)0o8;NUc2}Fld6BgH<1v%C_2Spv1CmAt7VPe$qek31`;%7aP6eLq z@6AEh4e>a?H>rhC#a-_tv=C1*vU-I`>aGtXS}Doa|7Nuqin{AH{a~o0Zhu%S#a7X6 zokF=bXmUE=p*NCc^FdOtlI`P)3@~@ygz}G+RrprEQJCUr-XxOr`uDfOkQxX>n(;Gy z3CQXj0{KBeV&Ca{ojk5ENcwekCAF9wgzwbL$;o_9J4snNyWT9=IjPyUg|iO+x9a8O zeExu5&N@c_XG&`*%d|_LFm+Fm`PT zOoQO+p~?J^3=Rq*cYPKFSJ=ThyD&aEQB6xHQ*@9OYS#XWcwr!Np!Kep5CaGOKmtl> zT{K}QSoyJTYRFEM7b&L?#BDT`)9Q^m8vz-=DwwnpP#&vx+BuNit9zq1j(RcBHj<>< ziV2YtkL=%8&(7E=Os&;J<2DLg`RWO_p(Ksc2ck9}CeP}Pc0G*zs-RsDx;mLqNbu{bZ2^UZV64A44HCl!SKl1EnUd)>!8{viQl06uQ+bM|9`Rmv zud0htdLXQDotux-&tocCBDK6Ls#2ly_v$DJ-^%Ah3Ks&n=CQOwLI_vNOH7zAmU#@~ z@{Gd8Fs@pXwbLY!tN)kUASCPRl(CR}>yA|@h-An5uuZeL zuj5e6PNJ7P+LAUB^Rr`*!o=`&Y>nHP*Q(I>KP%0gh{8bNGc>mVdd>XZgh(!^dwd;f!bQ zg61Y%bm9Z<@Yd4Fgt-3H%kOkSYP&6z*V-sM62`o3Ogc|%D(+I+uSn!+_*ewCDPU{A zAYvm)INGOV>?F9p{aJ+x@mW#HRh>RGv$s%62)b;s7mASb<$&Ym% znY=PfFuzW=O`<-oVip;VVcmZUv&wUdd|NS;c`9acc|egxc-yXzDI}y}+tum_2zgsE zQ7m1o?Y4`9iX`%&Evza-K-;cRl*ww^c7q}*8>6omsw|VF?F*``)bvbMRw}IhV2QC1+x9+%Mq4`0h!pKZk-@_qA%%iu z>WG!}TZPzhheBa?y`Qn`LHTx^tLQ}br{Neo5DSqh2O+VMGsBZ-y zGG&*w3ha@9LSszZew0;c3|HINGYU-#=R0wQ#u&CO$|^J|x~F1!T0>zSZNDg2WJ4)2 zN80ZyS%Q+_#M)m-*tmE}*fu*}q!d=ew#Q0>q*=AvsfZ%h>n_RJMY-5sTB2Y?QvMn# z@_@n>Gaj&0xIV5-*eP5epH!r{KK@XV;`+EIWRnutxBpoY<9zQ|#JCv#M-h`XbaBqE zi5;i?n<+bq@ml^lP-M-qe2z8el1oRQi(478N-c`n1uWY46eSE?`(w&bvV8t4X_u5m z_C7_BHM;IulDMc9N5AUIao6Ste@f9xd)CR1C|&%L1nDnDow{Tu)(W>KkT=H~i0gEF zOd&CA{u5M4%!ZY5g;c2N)mfEnjq+kzr9x#&9he3D4|QO6p%p?Bz^e+x=UP!{G@VLM5d+$9Q^ z<@g8Eb~XmL3~ZVq1>h4t4RS4b?}w^Tuv)y2fyk z0(;Qum3euz_60-4F5#O$mWX0YQv~x+N4^P=iQt6lF z>?Eo5AqtmNdO#sbrGG7C7nDl($Lu6lb^HHR5`(d(E(bRHy_mH;XkR@c=_13|Yc{7` zWCUgTvr!irK3=oP&5a^mJtX1cMvT%m5hqzL|I*{KPC9Q^uWEFY5&Jdkqb_blY55Zw z7a29XQclg3*~?C^4S=P6_w;^g)-BlZ!j^D4RCFWP3s zzbU2gvE=cA| z{jFgeMGAlYgNh===tFWIWc+YaNURm7_7<9n#Q^-V!LiM@FJg9;Z5YW>%ub}oi)-AQ4P zik8BY>kh?jOtSpE8@2PmOY2Uf1Bz0}?saEn?G*U-!yku1LDV!(Vi)=_Wp*MP>jy(} zF8T4+E7XX< z`yVcF<2FZJ7d1+Y9}i^`W(Fqzhl>inD2<=j&)oTL&?Ro|Z)Lvkzw4f_2t#sy)Ff#= zW}iMKMh547m(fk~7Si`0P3FZLij`g1SRd#pjM`ZEP|^GUj_29)B-w{siFi)d1=(YA z;H7jP&pQCN7y6U3t1kw5Qcx9;u>^(3-rtKeQ+?M6jzzXJv*PDc`x(H=8x{D5N&e*)GDwp;HfY8Xkpl-JMqJjH4~M%I*c^Ub$R%EK_=l&aHK?>H z_LBGSHwYt9J`O?0yp?bqcqNl(s4rGXb5bRhD&)}mfD0ZGuQ`X_cUzW^8KZsZKRVro3OV#jRHMCEA(4xJ0q`luGgR(jQqNi9?_EBLCZ2|Ws9R@!G!eB@%(7!gdrU_v?jbcc4(Q9O+JK}I*? zD0vIy$}8hKu!#o^a_Z`Gca!yM^OenMo!}^hJ$-jf2SJc;22Vd=Kyl2lD4`X^{(Yr9 z*WfmWpWOgDH?9|ymzOV}l+>Y(jm`Km(W*}Yb0<|3=CSJSfDURza;*3usfCcZ*;}Im zEx?O^iDzHT02-K2QKZW93pEoyM;@|CpRO7FoH^JBGTbX~j>{m9r*rs) z!Ifb>glu1NB&U_aRqdL}uofC^UD2$(Ua!>3={0}lyj;P-5+H?nen^M%s&mbEw1V|x z*ge)lOd2t`F-_G#Ihx9L+=+f0!E7OkYxif~&rqGpLn`G-}n3V#Avh*9&6AmlHaO z-6d^ZVyI0S)3=(|n(t{%&G()uT1%U(noe84A95-#bdh_aI*SU;=__#^%I36kd{75T z!~0283&A%lZ;fc7MytVmEv!TH4JMe;fow1<=clv~TE(i~m=2QOvoD~9Q2WcTOxhsa z+rF_rbRFLM&!g&ejnQ@(ty}j7|>oSo5=#4yoti{CQd-cCYj?`oThy6fbOb z=tRI@csr?%gRx)O?VwTf$ehZ@hcC~W!*=t+|KciyWO>2by_ZS_sqn&EstVazzOXZ+ z2+K+B3pv$LIq7?0OIqdR`P>V=0hP!Ty5--pa~5+BvsfMp+t`8J5JEPJArl*`29@0fZvyTDPAJuJuNixIk{b5Sgacwn(@xp=PwF^&rZv4p7|X7 zv+q$!Vb_9md;ZdtiyLf9Y!-3KOJ~Hurr5+c0<|<{Kpvb=Rv7f`vuO|t`Z?eW*%Cn< zv2=PA6h#$Z5A^g!WX!9QTwXeXMktJY8PHN$X%sSF0`;cB9R&WTpk2dFjPnPWl^n^{95oTy&?RyVD~Ww4A2+TdX=c2cTbCJvC^*vdlJ?Z?H44o zu%66ow3a(pro+y#0gn3#+9KJFe_LKWnfiOX=_2N+&hpbu-q_>U;jElO#;NxdCbSm=G4txq&887_?YB zDpdCTBji@eX`ucX>7IWlOt^UVIV~*jKI*YfUXf>|NYO*0x3@Q^(<=vaz3HqDvkdC( z(vDa!THiW}lFXLa0-kONF&hYhIJjg7rk%Yj2%4`%;~5A#XU|VEDjn%7?*j@E-EnFX zDAku{gzFc}Q5ObX82V<$x|k0TBFjNb;y#Z@(L)pf#T=hr$HWA>eaFgNv4{l4lxZ#C2o=myO;>6~H6Y)KpDi9+L5kqZfL|E z85lFpsEFT`=ugEB8uBiNv-*o014k|w!XTTKzE`3qP4&2v=zGr;%d4A5;gN?$cZ#*a zuu%!pvTt0}0AJnQG)5F0o7HpRlpj;+n;bL3h;HA)xE{tQWE&boGQelkdKMIRF}Ph} zBM*bSuee2XI21YxNwi}?6gpUJc^;HW-@G7bn%q36XkOntrU}~J+_&Bk44io(R{e8K zN(xEAmG#Y2q6TY9)!E+?_vS%N_MMP5@$iUo-}z}Hj0EocV$=W^Qlf80(#Vl7lKu4& zCyT5U`!7ql=yb@}*uSs(Wu9oC~W~s$7v@wNs^yK9L6wGZbUl?& zY0&rflS!3^Z@bR3s`>3EIEZ5py7iLr<*TJ3_ zRB?GM_KhQHm6OlK_O1)4L|$rlEsm>1Cd7^^2a#dfacWrQWNhEQ2Q*u(B+uShlW-8Z zAA94E(L5Co32^Vv_4bGyRWsJJtq(q7?nizb0VDfDo`%iFqy{U{^+^}RyYyIw8hBmI zh239qZDF1zd11m{#9|m|WEgERoEFNPYS+N4SnFEOUvWm<$be?zuc(Y_aM;<hIhWabOW%<=^fhZVdnK(IaWRMXi#!NUbx&0Ngqp>+2PA)hJxbMg+h&zH{;T+y~ zjO{1qnz3@}ITdmEr`cdGjsVcjS7;NF_J2E~(&sLap40cChM!kY6-kw!{^2FTzG{sCuRr?s zYb4l$diJIvVsk&#_vas*DHakg&Kj?|>_V|=U!_Kq{P42BxVOmHUtWwJ-@BTgvJHb- z?_CyDX~_S*|B98+%PZTc|A8&lIN4W;n*iy@VUihN_DgAbLo*U3kx zy)CzwOM^&C?R{3AiR9(p(!VpS#~7F2yG<9R(oZ_0Zb9g~ zHR2|-s`{3KuCtKqS>MA^J;;>le><)R8NU7*Za-Q(r~U6hN*&Nz`}Vu(oX~-aw2PnK z+rK;RqD!Og3cKi>%l=ogF1jRIe-dyv2sQ$3ery_x>-d^1(@aw**xh3S@7OgO6im<0>DDZ0|vk z?BmjjdtXbdL=^DeSAr@H#k5%(rVn2PEPWeR9+_a*UYk|;Wr5nemC-59QnvJacQ61p zB3-$6mxD;h@7_Z+VJU98A?2pSky~z#y6N!bmTN(F>VYe_+~nq$rF_d*0xkimge{ZY znxu7Xxmp9r9IkWQDqVm3#5hC-FfH*McZF~JlyK>97`^?Lbm?zQy61(Wr)JHawNUmQ z_-7tjXMnSy3QpbL954M1zihuLUHTir*gh*%{9CN=e4F#F5nCk4_raxCHMRpS^V$d zJPUC77W2dgif4}_HlT>%7fA9AS3Ee3Z?>=!_%+X-JwZEH;RD>w3nxvUJ*|0^^&L?< z>F?7y>Y%*3*rY`ZrZvxtO_?`!+QfRPEBfKZ#c41V?6EU3MPB?rPFahhrn7#|zcAgx zXR8;?oHu_Vo)lhY7lkkQ&2OZ_lKV*?Sn?6+^3aq2tkFPFHAVLkLbffPMUlcdP&FMp z2>Nx&3sC^7E-9zKT@(jklfZ|wD1{j*W-0SU^UB_xu*nr>RBQ!c2xK)3q6U#^4r{>4 z^XE;Pn*T)lu%L#4GwE4jM`H8mz|^%9GvL-bADwgUT{KmTqB+0#WYUE4#}6;c)

x z^srGFZDDOKH0uXO<-dG((1aqAFI5{+-kn{0cf=&j2XNOukT$|lzV=sPBaFm*Ig~TP zNcCrLi~;tjmeH)1htmcfav<^IGN4-5$i?J~Z9xOf!dN@egv!=)?VVYJuw1>qxHw>f zxhTB&R>B0cwY~VE$v4ui*Ggm6rHd>qFP}x%X<;_fXU`<;;n^|p?6Paap!1>CMDez3hstH&eYBH9K5} zi&ib|PL|=KSN2sb=SsfpOSv+-z|{L}8cf9{(6P9kTUy*+ ze3@fvbB;^t+IZ3g%M;))K0#x&;`J$6 zSvfc_?#Y4<-#Zu_<-*S*KJZ@7OP6%aL!;wfJnXqWzlnmSOuDgLcKEX88M#7qJ;Jb+ z(a19GiKjgnN0&1FV0#LTrYMi*<;lU*gB~pBN)PY(7}bo(XS6Gy3g2a)69rcp^I6T= zr3^qBMmDYNxNLbwc9DaDC>TxiJXaRjgI}jIGY^-#gI9U;us9EWE9$9-E#Tl(ZysI& z9DK|>HmIFLCq_JnVlO!O_mBtAXnq5#`-fyP`8%I3tc-*EbDp|TWe2-so-8cqUj#i_ zP|k-&W;|I?UWWqS17X{B@D~X$EmFwc|4o9Y%-p3)&!0*|TqtmuL3(+O~uF`vcP^T}X&MiyVU9LAK2VK2r8+Y&iE0ga}qHnK|J3`RW$ZL)Mp|NKS7Lsyez z%*eC{%P6bjXVN57#am&5D<-7CRVJ8H@&AdJXJp0q{26@ZG_vCNkIR73HJmFCsS5Hn}LE zUJMA{3o1qh6jX>Jf{GdtQBdK3&&~oik_3&dzQwBV+a$-s8Kt zi(+_>AFLL|@acAPvM7dn^FJl-q8L7x{z{IwP(aTm8htP^DDHmNjDE z?JR1GVtPcXD1;{6&c&_Jq z((UX6a4iDr#xglA!>&aze{<||(6vHn!hZe{1UV3YB44?n5_Y^IQ z&$Z7di(tA?5B#T}RsnaMNiIU%_wrf)Ue8S&+1K$?H`{$0E11RY*b*IkvZ3$6243IkCj>HjI zq|Ud4Ut-x=beZGlj;)>|MVFeuzpDdh+difI0(Nz>2t+*jv+dCgFm~Np!~+~MEC`ex zeLZUU#C!>GhXYb~-u|2K@Ic<3V{QQL8Y&85A_jdtECrJJmOpHkck&80UN=R$L-*HyG23k z2moRiBzoxbxwKchm@P4vo>vq@bLo%2cwy!+1Yz6Th)&L=zu`je@!!?2>x_hZU`cp#rkKUNP9q|SGM-;1`d1n+!P zi&vD-rQKa9(B2O3d_&0@_82~wURm4@@8|(<5j!qEmktaTfr$5-{QYXW2*yR@>(15z zK2jP{?ieMKQOmol{|ga(yIyu~2Af9|Y) z1a;?~ugn8uhj9{hzHNMkeHb8hzTFG_+4RO{ou;8x$?grBtr`a?{(jq=t(SyayqH$vPcd2YUIZcaGJiAxRZ?)U=pR~OmQN5>`t^G0dp1R%NdF=A@ zBPx4JQ+9gV2;aShe6Spo^Gri21NsUx}|y;6hCK@R^5k#asK@JzgR74s7<$W9nKhso#;Ot zjEtS^JRFKf?X>f7FhW)K^ubs`^V9hDkQW;3_~Yl(cQ2=`HUK`p2BEhDPzYCk<^`aj zaLk)k-%!>94MycXZ8FeUmr4VFWk1a-Cr0F|M9NCj6?Lt8D`2UjTuRVNg)zD^DCp-5Ly+rR$*|i-0QY7 zh+0)Wl(f>6!Aone^;)W^DQ8*wmR?-7wz0KA3@}ws_$>{RbFcPTs^F)pan>r-c!76yj$eI_YN?_scko)ODAL9RELHHv+LM!(D)L5& zbuRM89Aql&MD#xQikg&59;TF|{^ebJ(D85@ODp?X<>iZu%D=2s{H?uJ*I9a!Uh1{> zR4=j>#67**sj8`^LDYM7Pt`IL++X>qWxe_N-znBCsH4~PS{fv8ZM4n>A6M4NSmuIe zm1?P>sN%PG3oA^$?`ywBE^)Ybu**V48xWPBY8D#4;HjvcgvzEhX{}MkEc4PWq{<$Y zH=ib7(^vi;uu{;EuRCG~`AUIKeqsoQ{H^CQqHh`|w(JD?)7b%367!{o}MG&;4 zsW>fF1i>9v-B$!bCOT?G0JKu5=n%9=lu?vbRPz==5P**XHr^=X{%YqhVuHK8ZIPOk zagUzjDq@3sqm8Esf_t>Jy9k1}N{Lzo!2?Wn7C~^AHc#3kx^REANEbnHf1I2tg5X`D z6##aD-i0^ZNvR?T?$f5hA_(q}W?B&h_i1B)5d`;GlRyy!Z@EUkA_%^qeJ>3}!FWa& zL>0#usb(o}ka|vg^QF8J^<6~}+*K!fiXeD{H1rlh@CIq%E`s3A?o^8)cx30msN zEmc=p=OZp_Pj*}8<8CQ&TI#r4?)O{jsOszHxS?ZLPrsHP|C~5}A|C%9d(9Z%v?=0# zEcKhWHdFp=%q>%Hm^R)7QQt}PJ2V8kcKj6aW1;l&L%cembZGzX;>N=tE2uDK+~i5q zrc9ooKPk!|D6f3q(v|$FlFGShO1T1yba+QC2EOpf4X@0#Wp9#HY%4FXd-Fe7&k>4sG#d_6A zPAe5{q$us~bU`Oa+3T*xL(+JdQ#r%og;+kvs*6YCN+4aLK~Y;DbMZ{-xUo~N;m@Ti z&G`&UbgwYI*K1Q!zphjg4VCHr$zm9~tDOE>wSn-8)BXPRI^HN2 zz9}1yF@|>g)8E60WwpDFBnWLMZ%Gz|@bi%AHAPF}d*ZbWYTQ$OA(`?OFjm@P^x7(}H{2%Y}AU8RyfSgzk(AB`kZ_>6! z5dWJ}wrWJnrWb7)@IJ8e49Z@F0$ms_*OJ9SbZzwBS;68Ux^Q_vau^U@ZZ7A6QiKy- zEhdL$P+YZq-=W4+NWsH7Kce_pvuUo=R!?K+x(|rraruUg<(Gqf^q2-j5q`9a+w^1_ zAZr@b>o(1X+D1?7luftW>uFNjbdzeEpZe&=uamZV>W!PizC68LiSl0YiN{V$VVw@x zX{pQn2xU&q49nDGzDU_=(V;gjciL%bH2A=_T=b?*Z`x|n5#E2>1eIEVzF8jsBu0_!a(2Ur`Kofsa1{q)3&hFD2I&r%Tro zk$Y9xsXwZJe`@*-{eon5?1YJvr{az8(-JP1skamcH4R%l-wPn)?=@^W(s*JD8(cgGZF9EnK*XZxa&zp=6DXn8<6hP zr1YBc2tkocl&Q|^q!WkHnI?@7p*(HJu}jG{8~!5q(HSmtwV<+5j-6T@s$N$Fgmc`2R3(BHobs7iT+?VQ+T{7;6rAvGe z*2u9jX)^j5MF?K^Iv!felU+1kTwe2dsw?E8XvBwDI!FP91%d+$?lFjyQY1>t#`MI1 zy0<)CXb{8j!N#dVIfnLwud9V}*g3e^LXJj1Sm7?#PA!yUd_H(% zpg>MnRtjsN6)I@?elQg*RM41m=mdYEg2tjl=lcp3=;%t#dR%eIl>QSYkGl!2w93ta zJYFgC##}#n0`I^M_Ggo%sgtohX3}Kp?X! z%b~gMP>-~QHOz2|!)c}A^V$PJ;A}rfpFhZ< zoOWRnf3uOLW5jMtXL`-pjIk4Fcu-n5A|NS!6K@!U*RPGa#^+F)AA*oe>7{Pix54|( zdOK14=8?nC9X6)#MT7dn#oC`#_6eA39ZIWtZZikXde`1??PQ4G3Yua*kdB))4dTUK zQw%yn__WUy;!gk6i4LXx$L<`NLupe>O2m&Y0<$))V<163hjP-x9#evM?%~I)l+%V} zbeX;#rF#Kmq0(xZDs=+frg~Zz;9YcMrrqirk77QN2CkcU!woPvT&D93Vq@vFOJsgs zH+@nWy&n%{LOI&28#k2Qb>*0G*ta@us4rJ9nUZd}KSyV@C5=+24bpOy{1tR)XpB_K zX&2cTErsq9S5CgpIczV>9eoxWj8jU@PJRmw#wn#{dyj>NM!lMCQWhGFIZ92j*OON*j9|!+h*!KT zuY|P4YurW4MsdfT6*xY)_7i zGdV3MFLzNdXAX?Fz?rTb8K=@)&5?1&Js~okME8i5nw@iTT;v9rY+YLo$ ztc|~FIy{4hjOjOW_@DuoLR8C_B^uIqFs7ULE(grf(KB%5U?5hPd5}Vf=vjt?PHRen zNGU_c^ul#>=*$2tUv=@Y{(UbRIRb03#bce&)_}H0FKm%tM=9j&#eaBk^F)`P#IFP@ z%}xyJsb2KrR;9s81B~C!X?C(EvtljT%$boXbfRW=7&;7=P2W%Iy6&)2Z9zb$cp-h< zda?(MHcbYl5n4PR+?_{$`q(H>nV-&z|7Z7^l-Fb4;0=&T+366+cH@q3?Uzc_qVg3|QV4ZL>bFBl zsY3~Uol-@=jTA}isWSQ{$yi6EDA;-;wyKWvNFB;sJl2a;ts!GjK~{OauId3?Y+z8} z5Q41oF~3Okq<3wuK2`FdcTTSsy%W~y^k?OI!#pZpxj&=lqw|SX_qb(>-0*x*Pti%j zs^wDWqV^i%lsdePWUX$bM=z>P8bAYv!4*i z?$Dzr)caRSbq}(;ze2a$gIv$5zC>Pfc8~6el4cjnV!Fb=&88Yq(#iN1+ z%*^xaDV#`FnmY_D6l;&m6c6-^q?Iz#Ei`m+$NnWQ-3qm*((EChOcI&7L7AfBYaqdC z(?YK4M}`jmU-o%Q*Wstc9c zb;B6M-aXH!t3co{+0N*D^ds_0lNP$R8*kYItuz7dMhui@V(pBdDMg$s&E7Gv$XVk# zj}8_6Z+Tkg1fLK7mUd9+ffHT2iaYZ_Gnug~mC@qA0bMI7u@7ddLeNzN@pK=O)xZJ0 z9vcwKlqZHzhes&g>W3jegU4UPKh4(MhOg(jIN@4&h#jwO8 z@2E(@6rF8;x3?b&SSss^%DgM?qQWkWtoCJus%sgBq#6$(!3prX)Odop5(D0Vl~)J! zBu0tKS(K5uVi3g5Ze7EF5cr!{Tq0S9pPI$X)g38aiw1J($TE!8Y}vB34(twGw6-59 zm@MOsx9q))uIz?k;)8KPJyphg=@xOv0AT#+7o0V~t*hw)fCJ~LGKH)$*+ON)@Vf)N zx|Sy756<_?6c3V>w@tJ-Cx5Kk@FXu1v?F^GhgVs#FN zrQDk*pPiO^3LNF+9)3NI@H<&tCjsoDFi}pvM^+xc3{A#f@=CB+3;gZW(+>8_8ENr1 zejONb^T8i_)-Is9rjFEMFk=TE4CqLTkps`VWC|VYz#D#_LxL*WMRcT?TPDf9 z?<8dkMI2b+mnnE?O(Uu6js}jNAXBiphA4BJ-C<-+gS1RRci?_aPw{?{P-Tjk-D0HB zUI$(c>bmY!c-X6_x)HJEQima!9eBzkbyW9Nh7NV#KPIE=#BW$1I4v#HyjSm+L%aT) z%)k93b0hQS3j#X0lus{8jbB~5pbKL0i_(-#;iv_=%EhWoV@#Z-=?PlM)YvVdbaFoN z1XMIOfPCh3pN?}O_4BK$O!0|5(=i~^DDdZdb(^I<;mS-+ztENT!b+ybPpV8|cB!%5 zt*3gzc^kI7kir_El=cmOZ-)eRKl&YqRpol|r7nv5Wl#H|LpYT37lqH(OE2xx1K4FT ziKT~SRyWS*P->s5_D-2X`&5o6Br3=!{<94ry-!c6-XNtzyAgpZk4(WcYi3f4GGant zcj0eN25qiXZ|j#SRKAMGGyzegRXa&V2{8Fx^&vsk1IeY^TzV#Y{OzxvZaqc4`mYHA znL^|Jd?=%*Xe8YwE@of^3m~QbK2_>yt(3XgDU)cF#aAQ2$Kx)1IN#ODk2I0#f{C<& zj7FqT=B`ErCjZi7D(|-QB1KfG3-3DKFH>N?jQfSmP{jP+0xFRY6D+4g*{B5%TuIq@ zlaXK6dGwTvM$^@G+%ie6^i8=}CXusp7!r=^WXHkYUhGDKmxt85gI!2*qsX?UwI)-j z@K%3DPr1PCm*;{=5g9(UZ8JEwxyXC=H%)8A%MfO&>&x*TzL5x21L(NlqD!@WH`= zp`@&jq>LmLJIZ4uY4LTVsYN$yHZW~yQK1GCy+#tnHh4iZlJM!OgHD-5Fe(lBJWeil z(TcM{IACZ|zXoz~1}&`?Z}1vg8dDXIOw!c0rMj6Hq(W{(NtW(3ip9Ej(Wv6L8Bb1?J1^Vs_hkqVXP4ZQ%e!$AWOUn zXzdwvNh}Q*s}7h+y7C%ASnenqN}D~GG?LUnH8mp%Q}ur_k}g`uUTP*$fkeO$@Nw`t zuJ&VT{Jn#IgeTNTrOR_%nvWSca!CIXgDxI|i~GJ%J8ECleelRj>B_WSrxenR_R9u& z#^B%cM~oRUd~jcE_nv;bGfSoKrj5t)1v{lI^kNdq8wU*;ML-V$2YJpN(zoA5*g;tI zCn=Sly$22Pj2zJ$o1)mDrISp2gC3b*r$UDe98l^h>vt*6ZEr);p`14cizBLorXq=5 zxj`d%N)suuM~5!8C_&|MJyQEtB0-sZ;6MD18Gk?1A03krGE;~(<^2JO8k{!-`+oaA z!Jni?_eQJkyC-cV5g+@eXN)8oZqfHXB&(e_d>E?wwx6qp4;wRR$nX)^m0XhM${_>B zfXlX_L8R)SV#9gGB6lIpR`E1#x5V!9f@*DEaio=+;$n3GxkhZ7@y(w>DOh1yQoh49 z7{VMW-;27CbIro?U8@Vyd04&+c78HX%6F;G)AFQzldfMdPs+EOM)>liV)JWMAYaT` zoSQG^+gO+6TNayYBmMa{IA@P5U(AgR^W=*;>*3yfF|XoKcfOeWd5AM#%qw}ZnlI+t zbOQ>QbU<)~QUE_T09~o(01y6bXf_2VoHxi+^#OfH^c{1_paCP!M}{zo9)mIL%=yu6 z??XJkB^D?>dtW?qgk_Ie6h$V-oPLxm;>Dkd{`6-!Nz(p<(6JYVef)1&Kw`NU#kT8z zA#UI_3UYb>kzM+eLPIEj+@JQoBTlZMF1FQ!KRforP=UmH{9XMj0!IKwp@jI;jX!Vc zchSZDFXWqtLk41mSriQtpf6F`n!=wQX(JGcjeauGj}T;ePG54*L@)kiz4Spr+{YO+ zb=ufz)2CkElOLVfI-ej)FX;v=%%Y7bsCrdjEK&a8=xnFaem~vK8k;rRD0Sb=z-qND z+%@_*w04OT8kVIQL$|c9L3hM?%1g`-ky$PvNyw^S*$M*5D#x_o)g zQn8IG~i-|t4;88BI@9+;u}Zn9I> zMTXuDlcv$U&3i&wDes^=)}?_lCU%=fl5g+&{zLT$(0XhswQ2!O-Bb|d-R6c#I=Qco z_d;o~x9k;)^E>jHVZn%UcIa0R6b5apj!?^)$VMka+t?$s<6^7iw=?l|BNreBu7LV~)hO-tE{9z8o|>W5Zj%+VoJu477L!ahrC-ME$4oaC)?wpYnBaN$;V<(-U6wm6H}jXprecc-7Y^oQkb$OXfwB6|`vI1^8#JHcicTtcs zqk1M48u1G2mw3)YHcTWHGwB)v!VP0?7C#w?IO(4zoZ*L@6kA$_;kay(rJ9pqe}N_5Zk>EVtuYY#nWE#-(PLtPwm^G1Fm(#=M$X{5O-={0(l7(o%*RIeLHj#sS-*G?3;=T zY~A*-Ku{@O_b{i?URdZ?m@n}IvN;$5`lA%11eCAT7|clTQEOj79W%=p-h2E?ThWoa?kj@hKCHF}?>skkeO4!l8P`z14(C`B8ybaVKsYZby+6g;Fg8~p$mt~sN5B)+|St0^QHu&CI zPAF=~97bK2JOeRBjbKla#sg%Dj||log>qsmGeJlcF44Jen@%(Yh}W1M@_8jlFB?Y` zN4OzldLWBhE_sjW)aT8HI)6%tnp9^&z`<6oR3Snp5>YE+h-^=kY6;H>GfUeiA*!Kg z6tX10u4sr>MjEaf6$GR&Z*)u#cX^Ov!jX7My-G@^cV#o)w{1^Cu5h{GcItWqbMb;2 z6epDeZy4g7i4C>qcJdJwfr!*&uhRgvKUDA4%Rp5}SM}=vvEgs17f+&`HAvl;REVye zP)}ZxmX`bJ`kXHH-iTzLo(BWs?+&+A^w-NY+Wm)fJW|IC1!Y-DmcGpk9eD+PR}UC! z8yVLtKMO*Cd_G|w^D7>4LdV@8E5jRK?<#D?Y;S>{7c2oQpHd~ja1uz6A6J~}&QgI7 z_(A-AGc8MOx>t|z_ipz?-BkBJ&t(`!?n7cBk)zIUfsb!UWtG6|LrwmD9e074{H4e7 ziqo=riBQaM`{dnO7!XGG5~|aC|8v3g$%2)TQ(WY<``+#WV5;lPML!@~6_5CGXptW{ zE&2nGFl_Z_t3^bRULTnh$7^02fassez8UE2>D+|B^F6krtJAb$^5?x%wsiVM%?5)m z1(4zAbaxkkJRZfMkXxZ`tK3<3vivMZ(eF>pCL_%93iBCP@4tUGP;;&5h2(qv`{$E6 zIO$z|%wPGA-%<@TqJ>Z~-ci2Ss8afPLAw0%NPy-_4)OrUJ6lfW$slh#>CVvEz&Tp* zW|2zEsn}*02(;b6Ozzblp>}_Oufr} z*_kmQ=~1!wJ>qBZHm1`<4XsNf$HJ+?pTdUXd`-^h56*> z0Q91Tq+ZUyP#@ArEl3(_y}LnO(bcSjRHF&ALys-rLJWkfMQaI~`SqOR2P~hcD2f8Y zh@JFPWKWFSS!MDefCFXlz1dm=P;xgMOm)|rV98#SzUZbruM8M7j<Gr-?$)J!pD(MJXw}_ zl<=UX_2;1l1f;HmVm5RNW@EB?v#h)^ zc5R;*08|7d+?ivJ82@o?szA?|VtW4<1y*FOeWVowMP`2Jsbw>ggP+m9o%qPcmWVTO zdMk+fAWn?sLr#}VKIzY)CPxMeHyB2#$@;xyO8Ahyx{khp?Kj9q) zdlH+7v(ZK5m|;X=@{qG2zu{$l<&nP29z$!-ZLbBbY)A*l%J89!j{th5(!X2h69Gxt zL?x73@uW=waD!M;@Iq*q6G>d&o#90u*@<;iL^hKtuqB&1D-?k|v=qSXmLZ?RH)34H zzYcb=o;5@dETdG#xia5{)P;4=(4UxD=DA_a=zY5Pa?5*favB&jSj1pTF_<$z3WJGF zu6(cvOfee>{|e~7KYZ3@bG!OrC)x6jC&~luA3A#TOMy+It@l*Xnz%w4t6Bi{9w=i4 z2KNp~0+;OvIowh`jhQU804Q4c=mVAL=qQGHAU9k@T;%LaGlQ@phJ+;zli5(JE4jnO z3)qUE$T^0^TvzMFslL1wSL{v|f-StEMVtjV;b&n=lHiIU6{0 zW!5DVJeA9x6Rx;C0Bg04k;Q1(WQeM54q8INdFf+I#W(pyCn+bj@mQ3>&1cVqvF$+Vm%n;FsXgF<_m0!-3=ohP1lRJhc-?(D zSQ){>Z@Rs8Pvl{cnSwx+7M7*SOBqZ&%~X>B9|QGxB!?PJP9`Md>-||7VAwJi%rd_p zl(xdtxV>*L>P5%L3v4Q9##EhuGcFU7aL#DeI zFLv0WLUQ|e_@h%gk0%p!Wo0^gN6K|=L; zi-oQxIFZr^E)2QsnRF)^gxb*fV1JO$j)0^(hy@;M;Al1;!G+I zs}vKd2O;jabn-&?pB$Z>8oqCrflm5K)LRTU=sp>LmJUS8DMo89=bPdabgR0yAB8<` z>71QHPU&PLr#?_e`cSQemJd{Nkj;&&&~PVUg0>lOf&(mxp$kqOPGyOj^KJ(OHT23) ze2`-m!aV{GkZl;AgiMyKJDNAmwnMIL4X>+EyyebP?7IN0Vz|! zFXSiLR#+7uE_0E+mw}ctdVPl5!G!y8%J+0fr_shq4sO_A+NjjHvjVVh*OE@|;XKyom6wcHE~;=_44Qqmmvj$rh!O1-z*1C@uKS7jBEHy3tQ=8k$r6_0TF=<#CsATsjB$IhTFn1)P7ti|zeU z)te80qGMC@;fa8+7nYU)Q;RM7GQfT>%`$@)rOw0-taRySxWA~wvIDC<*)nPnc3>_v z;zPWM13U1tk(Yeqe8w$9$oZV)aJi$MQu{JwJdQ2;##Io;TT{r`>~GyNY{K?dl&&=U z6D5cb$s%6J>TgKvB97yJXf_}zWyFDw@#{SZr~BtMK6o0mwb`O=K6BvYrHI4-${8g- znVlhf`!z-f#B&h!_uSJE+T+=xA2P}*kEBf`h6B;6e*{dS@QQMJN7PAtsAVGes*+J; zw*QF(w>}Epf7qg*yakMSg|Zk?g<3C38`&QSZn?g@AK5V~|B|xF-hu%9L)q!&IMp$N z{OP7=H^d(}4~hV(r?J>by_N9CEx*2WJ93@C7X9v2TJgQ|>sYvn&fUqv&DH-odJklg zxo)=hI8Tub>RobLoe7cH0GUhDBDnS@%tXJ$7KHt61b^BOx8_nm#3L*!#0EP(5Kng-AGl@ELIIu_ZMVQc;v{L6Wu zZC6xfUj|=43spF!DwOoR{Led0SmbXPTN6mK$U(PMMGmFnkJtVkq7=Sf7Fuc;487y+ zv%YT`iz)%O=1mWa)=0}-(OSN{-M{u{(V9Md^=GwN^mrGZ+D?kcd|9+EbakO?95Avq zaYtr!ibJHbXd{h zIUx?Ra08!Ig&X~+7Y-JhPf;4Zz{8@0(kxWpOR3Rr_QjJRTwkNq4Jr1^Ss4~us2L_- z_cR@STOAg?)yvkv!{MENsS5wBHLu>_ZWf(|oOc9RXc0{4aUbzSUtP{v)UUENn*uES zy3rEhQ1atvyuDfUUgWw5s?~;r!&UCey>%wB==~~-&PF4Zn>60iUYc-0cNRrQTvLf$ zFL`8H;f2}(ZOHd5Iu`~Xf$A+IS9n=^`Va42%c76LU_fKxVJRcmDEH0#wzg!^c|m+# zEVR-jzuIx>(iYFL=+kMohDM{&s*&qT&vCzwevL(+_v7nip%09*LTj|D(Vwnh(fL8P z=4a5DWvIgb^xx56+Og;YH;cZMVxbSc(qL$v_p1h*Q!M%laveljV_i}ezQ((H;9VcG z=o`rOI&!6)QWg5#c}MqeUSiQOyz#Y{g$MYgDtun*?~PBL#iA%Z8Vj({`lM8azI0?x zS#&3hmaA;d{caYXVbBQQni_Fd#k(xJ1i9Wqu1(0UH|5vb@k?8DVbQmdYc0x(1*IyS zNUiM{Zp)$-Nqjvlw8bzO`qq2x^{c*O(PWyfS&Cd28Z^Q~GJhZcHG*)JA73X6Ka?^I zKI*vFJyBuN$~3+{7QWtXsBZGTGwJKUS@c7dMb~O9w9BY+=r`?w7gk-#qU+#|UxO^X zFKFc2pX#w-=sp(x%)z3cqF%ebQWe_keX3;{Xlzi~TIOZZFAamy&5m457W|M_!GDK_-BZX9V(0dfO;J=XoMBtv%{u+%A!9ZSIWntzXW8i=x^$y-!J?R zi~fpSzi2F6EhSaqTHeQ$(REpLcbctP4u-XzhN_O!z~7_0+>9aTehx;pyH{k>Ss>1AtHxLJ6z$52i2f8iOtp2Zrc@%4#UaIjc&2mZo5 z*2^n_k+U-Q1eT3rv6G!F)&ixg2H#jo;H*~{wt>jU-uWyeUVp-3?Eq{GU`K}o);enV zy2WdrSj^?a7t*dY%fvA9$8b_N)+;GXi}m(BbjODM5P4XvCuZF)PN|A?^EKUj(pVNd zJAg0d+18q&YUjMI`WwjQPT}iik&Xt(NN4wS-`eghHo(bZ{e$A&Ni24OAAhCA%Z%`E z5?>FCj56F4xlDWMnt7vHY#3C-Jg5m4+NZNn-5_7s*YdH@aVlf{bz_cNjiyXLg?;ce z=+5&BC<~nsut3)Aaqq_(``~srtpg>pj^6@Vqxz5~VXT3GT!k5kif5t!_$-js>pghM zMzn#0R!kC!XNlTlGm~ZI1;~>3hfMN3f(sjWmx$*0Msf8Rx$+ z5=$$T9+t>Ozh^9LI);BR_&G)W-cJiLX|s!FAR7dwKU@9O{)3I{`vSz03r!4Yjl9s^|=?YlbJo)xJ9-qi!^)j@+mB=$p&zm+|{Ihl*7PlLM)NLfP zv(LijNs)CkK4FpNL0XVXO!q55GjVb66l7#v3<22V*sC?oLbOsTm98iC-MQ=Sj>3K>lRdY?HP? zHt>Bkcm(De56v9XX6uv%vi{tkRz1O@>ouC0B=VG`1=9K4ueUa2(T_7U^GRfhW`V5t z{7)}!!MfE!Gpj_ZK?`Kv|9#)&dKNuBNUL0lY!$FTp3wDz7h+fy_-GX^kuCie$U33T z-!*5^WvEdyEs-btERg>>@y?&lWzhtXZ>tj7!fSy%zQ&~^?qSgo>RxUjPx4qGYp-qi z?jEelT(riLHk-RGkjE`L(CRp>`ha{TD3Q%v7RXv*=O-mtRr+X+D3MK73uMii=MVde zMGj!XeL5|XO`I0U8p>xK#^O3jr7J9nZ0xW=R==V7CbZ@QsJjx7$c7mUWVMs_ZQ8*i zAEPxN^h)H3X$z#%uFIk{i~d)m3tWk8;I}}s`M0f9S#%~!_p1idYk_pM-rM_HMg1R2 zztt;|T~nADR!w!&l?};gmZ-0xnk65n=&Hq#d7=AY4RE8~x=dUHymXewuKvou z0Gs9{f;|}Q?sZht*Sx*G^hcT*TYjOl9petZzX{N~EYt&gE5AE=MxGbDle9S`2Pjg2 zAm8$nQ>B!xC!IbiN?C=UWMUYUGTIrU?GQ@S2U|NVbWX+~*xyq~aOk>c1_4@+h1>xf zf@1a{gC;>SpGlb@C}u+`69mP4B4vW$JGlhUQw@R__zMYo=luIR@;h1Ryrd05F>S~~ zHu}j6VqqX%>Iz~g$HvszgcKx+8}tDGoRqujvc%HOPkaUSZI{rhM-tEX#QQSBn(o1y0!9;0N)|Tpr^oMH0emAC zn&7f&VlmR^5;OyyNDG2T+KUgSatKZ_2~N)x672Wtpb@|~W}&He1kG{F@Sr~FNYY0Y zgV^iKA$V)j@Zc=9kl>jwoO>(qO<3qQueArUT__Ej1nU}wm>!(x&Blos6su5@6OMNh z>?%qe?mJ>4uct1#bHZytoXkS6cnbW* z`yDpqxe@$B(N&4s(q4A9jPCQwl^@9ow=zI7u|kwGnnLC3l4P9MBK)7|fLFxiRWkaG zi-2f}&F#XjDtOHz6Z~^*c!~8f8RWchGfDDFI1GPP&)h{PL0aKvliS8$_i|43&oSYp zukgtp=T&aD<6D}+>(#yg9SMYrz59#}ulrT9L7!v7OTR`Tdz{x&ui>wEW`o1 zehWki?lJ{!c+Djf{Bvx0iK{F!$az(W%QuRzq#0j_8Z4g<#3{IEW*7I5sbqsb$Ap)D zok;dLua)?}9`~!w_;P=LCKQg=xG!QCU-N=wgFeTEmwu&7_Bb!xS&_WfnDJG5p#E<_ zw85PhyZCzEPd4atYY&8a zaQ{-XiTe-LW6MkI-I7htYquF+d(HTI@}frn0Rp#vcH70*XOh-2^4A7c8sxlik5&3> zzZqXIUHV)fz&f+gKD+qZB+VQnUSB7rLCyri*YgJhb_NUmZ5Ll@ue5V) z;%l2<+T*-%pIGOG`@}}vH+%fyF9CDmKC)eW?NFtiW5Y|_!yuc)i|#~AUbW2l`l54F z>W`%?T-|FE_rI!SgFeTGm$(Z<_Bb!x2A90*nDKStkfsfQ=z`nicJXCinI0Qn;y#kW z>jWdda1Y*S#}U`hqP^TQ7Ora-U*-krvEfDYvG{O*;VhE$S0gjNIyz?V0;ns_D%r)? zKS|xpF=|Kinwaxyq8hxKtA@XJ|8(EED7G6;Fxth}q4Y83rQeMto1E84X562C1lgd^F=@xF8ws43YQ|S}bZ<>1KS5z4VnCfc3($ z6Px(LxfE&VnDEl?%9Bm*ug+$Cm8OiiAJ~9x2ckC%pJ5kYjniaRr-M#Df`?{dCi941hLnr-v-55LOsw7g6C)ceSJIapY>tka|9%@h`0-r2EiejLW0|#YH}v*p2NZy*?17= zgR=`39_(n8ViGiOjF8Np;3~hg$2~YKWe^t5p z-a>+(eR(f+l72WYo3bW|v-pJsEj*~7Mj&i)51P9qoZ$6tgWyeabjj)@XP)-YCYbDx z6Rc@#f;cdEWCZ62vvKw@XkIpQf|ET4!72Vi5B}Tz^d_)70B0pL)&wnMFqgC#X3X_$ zQ4it-rmP4jIKyiYywzfuxx3}Ye!!p0!ndI7S)I19yovXqR~ABKL?`Lx$(GrBaEXM8 zVP=+Q5cH=DJ-DgmhAWU^APe8=ISPWedSxj`PjG=-=H(u|*Bl4$w-^T(x4G(R;Ll^> z`@Gf!aZFVjBr?1g>qpW^ZVlZUL@zcM0YsVzW`9T~=3?_I ziW7Xv9A*}3g&wTYxc&&(xe(859K|qW>A?X<7K3;SM1D9yJa{5K7)llr?DqI@T0dXJ z!f&{&J$S@Te57-+d0&nbj0FvX35#(sJim-qGlN-Jb00auyq+zZ*gQocn^+!yBafL) zT#=+SeK^6lG=tzWi*c~mf&6=Q<2lG}qN7sw*S0zL4!4J)G@DmGyH#R@{GvJ4^@JIe5C#WCBrGm&?M@Mjn zO8Mx+34Uf0+*m;H{LH}xubcTqJ@RKq6P{BL~@xTTb=rZBKuXAMfGf6d7=H&$OAdT!K z-vr`y_tdEOE+n0uwiV-QaZ$%2a$q)|hBIKh9tl3=91 zn&&~hmG}8~>z)Gir7V2VW+u^}1R#@CuplU&UXe1pVMaa+BynOjW8QNhf{{i(gJ9Eq z590MYE0>nHME=WIq_NY+gBF*a`olf4U`|kfG)T&9ms?rOH%_pHW)N(Z&hsFSsHZQe zTnC$Y_~~RD4_Y>H?$9HeIM=Iun6cbEGB2(;!PX|hcFsbAtEM#C0)m&bNE;i1cw~*d zLLZ}v^@m@i?C1!ZD{oG)W7_awXLljNlM*-6I`aw^>EyR=Vk?4H<6z!4oxKOmg)Jx8 z#c2@i<|`yPsOiX&Ab2Gn8PseD=FS?tlVlyPA+H{t2hEEsPOz8Ri_gmB5sdWlumMj5 zKoBo;=&f24)StPM&B+P=zdDJz`N;|PRSgdg@Z=FhFJ=#4#hTtnf0Qkp< z!Mx@a9u$uk5|D8Ay?9uX8^b@G;Q20t;Dz2if_Q7y2|I71vyfgEIX`7hP=5}Oj2Z;> zry`|{2xfnZ6Er&=CwQ^PAUI4bBzR+kIuFA3SQfcBV?)rq*<%tcJVSrv1kIS{1V@|-Bw*AR7Yay|3#ZPYjwm4j&mAKd{h27h!`xHv-u8~yoN=_Yc@?hzwn|d@4=>{ z@?h3>9w#`-9H^&Q5S+XA2@M3tv&dw>4Z*o?0QkrB;5@G`;@&zcf>~21Cpg_CcxygE z%*EcfpV^ACu4R!Kb_BQhvdP$wgP&4O`A0Ufd=i(0B;%`@tgA#$aF*G`eqUZM2Em6K z|8onnFwO@iirBPV#TDxPdlGrTD2HOJs}uy8%z zpOnmPP`ss%>X-fD+3yb+*&hhT=G{*Ra&BOeIqH#QS6aOlq#i&oO2W_V!993CQsU_# z_Y;)KMlVb|4hC;zu}j_h`;o@8a5^m?#t%Q>d9h>Z#Z23gW^XUiSm?qO+CtmqX77x^ zF_<=KO8u(giyJ-Tla$)+Wr=IjEVjl)sl6F|{Y?8aK&ijn_$$TYb(~1W>m>2Ts{uZD zQ>rn(jRJV$(MzeO0sh98$Y`<|Z5x_#`mAQ=Pr=hpSk;%**0k0PbO^;yu+{*Uxc5gq+<$WJOBm z3VrDAGXMSx7RSSq@!mcbKgT6i@pIC@fBAyO;(e0%dRXL&v{XfUxaSS5UdiJ9g80Jl zKuxOR=Xpyez3>J^ZWcc`g%>ipr7C<@aA(7Qf3f%lDvJ+-;UQ*O7pu3lnUQAk!AX3v zlk%*`$Q8_NeEkckhSC=dM`oldJ}Ovm`Pk(U`SEqKa5O1Z;md;SN4>Rz#V_-+_@x;Z zztSgF@vGF>!}sih$id=Q1X$!;KY7%PFnB-1LURnhkxBkObyv4%@f(o;1{5&~IEpVP z{?z{5`&BO%pBTVbWwE;hQWd)|uy4jUC$RXxtW1py_SI(bJ5u<1S?r;pG#Hy3eBZghHH-T-eBCU5 zZ&Iq__xT=QddVdad070OG>Zj1QWbl`^Y2fKQ7;OK`;#pGuvyk4uDy=!hgkd}l=TqG zdeUL!ddB^ydef;a{%8hYFq~(o;`6jCwtQU0;!gzdRap$%-nye=FJ$^xpYT5x$5Yes zryVT*ykDx~FSyQ_-Q)_0oGkuakj3T)r7HGPu(fw<0~Vi;vhX&7_{(moiocwB{`|3% zSbRYWUod>xtk-DxG;)3F>o#W}LNw)J z@lVn$_MTa9Y$2(bky^F;^PqO%zW?7qDCtSayI*Wgi!WU(&@=AlT%HV)gUQe+2 zR~dZ$EOtNxkNDOAzAB5QgOvJCW$|w{7TXb^)b|>`ZWj9`L#Z8Z7XJY+McM126yCuQ z|1rp7o49UQki~boSU94R?l%`(y(PusyE$?XB)bDlJC0I`T0wkWEKxrwi6t6%&Y!aL zN{C!a!z%!3gnqrh~>Sc)*NketA@18!- zeFc$+m5)$aLiHP}65rO$yUQSQvV=3q5^YS?sjek^YDXc0s&$Yh+Ix*$9h}Sht?SGZ z?LgzCG)tVO8miM$AGAH84NG*=SfUkjosl+FuE2LAx>rKv!`HzQWl2NTRU29J>)Rmm zz^I=kdYG!7zWH;Gs|yiSo!l&Orr*eQmaFW}_4OfgvP5r`b&l6ixt#}_|Jj-)`eaz5 zOPVG6tA?t7s+anods(8N#uDA38kjaz=cTr^oizX=AHEKjxX@v!F4PuxZ~H7uTo8a! zKT8Zr8mfzZhHAJgl-L=A$jK5zgDf%1Yp5=9zToY=hb2a4U{qy^ z(W;@k+PnP#wZq7DwKm(a@K=@?8-P(i zOJM%j2eibszS`l7+Ct=kQRKS8Z>Vl`B^vBw_#Y(QBwCdG6j-3&A!a1EVTS z+-$0*IIC_ena&bEsC<5wn3gtjO%K#-`}cl`eE7ofOf%Q5&Oc_K(2pfg`lxIMrU|4kVxf3oQZpZ15y%My1Y=RFxib#E}V>!W`m0)=~=EV969 zs2)oF`E~tASmMDXOWd!r#9XtiN8J@|UVaoJh#%2dB0zI#;;|sUE|z%0L8*Dr@x?xt zx=mT+4nIHGRm;ah-JJ4WI`s~mb=hpCqzZH=pw}atgGGh}ERc0S^UtbONatIn{}2Axcm#HWJQMJL?X{|TOj{4uKCK{O38aE3O0$n z!efCv{N@~M?Fp=pSI#z z>mqL_AeT6)+e+j=RyJ!+y{7L@rDSo2h6NoNIZ?GJy++UIwRbBei4=`35?SK1Kvwq! zhE7*XG>yhC9T{t7#j{$ERV`*ICD8y4fYN67q=ikT<&-lPC?#PR4X_e9*or6XeEe5W zDqPD^4DejdO|6=$4l1~634GU;%#t6vCB;|1y>;tc&Loq za22v6Eo2aa0w0X zq|2%bFIrwH;^OwM;I$up@E1UnSZJ)rrs(!6nWN9q7ri4*`RK!o#zmbx`nppU7yjUI z%7D6Sftbu9?d+=GF~`gH)fWLvqfwCX0coB+M-WV+Btd-{em(tZwu8e zIpFfu;Bfwe*%WG1SnO7pjUNWOrJbYaaDgiGat^o{mhlkOHzN@btv9}V9AHyf>~_1h z7@U-Lj-JENw9LynM3V-G%S=C19=zf{z^1X-9T^)xjPy%8N6+Chugpsv=+a!q!z90G z3%v3Elm~l03fOcOyEB!`L4V^tUFpjYao|VQvqqZYyu^S{`3c8|3Ty~Sd&G+_{&ils z_&0b(4vfASuo=+X@tPQrc8(3Nn|;zA=Y{PB$?E|@WZWbUCpH4_^gdE=YWj(4PvEib<&?Qwr$i$wBz!eg}K>&lg< z0Cp?3Py#moy4NG^92r_uh3QBsDRyuO&YfiuZNDYzaGux@Osva zuNTbtQq~Wh2-xi`_MBaO@jHy%)Uol`)4m*D^Ue5r$&9a^4X>q@(jC}nvWu_hozl*+ z;bq=p;q8d6D%p;&n(?J2hkT1-XJISLF1{8V<92*illA1hUN_?_(N{cJZ|=AnhC*e^vOTJ?^i!%ywL6#+TA_*H*yp!j__4e64UwJI99Cs$74) zWAa*V#@ER++SWs{cVpAiF21T#$ClSenzYCLg>6q6Un?~u?qC05`*gtW!M3Pfe5E|n z&av^=dY81vd9CsqyeiH3x_jP-Hv@JrHeQ1^asS0J_SaXL9A0Z&Syy8%tGnhxdmnCx z`EuzPS7TcPGCR4+{&GZID-)J*e2JBH!N^^L+he?`vMx|#DectcR3~!HX0eZ5xg6vL zDt4X8E`7*0zd*&_vyccGzd*$fbheD&0>wUbw(N61@VG-KWt`XN?m}K)c>g&Nqp9Y8 z+yE>b3iw4i?zc%hCNFcjOEST0S1=pLdF5ST3tqHz5+BZMlZC&wIQO+(co3jDEVj9D zC=k5Lyts=CNJ|6g=E5C<|PBk1TT|6=ami?`fIzR&ia?=GU5Ri`?hc>5WLK# zE!h_BXiobkFLS6id70hIVU3{6B0wynWxoh$=mzpLo zb2u`2nX$=v{bJFM+Z}uM+}IVo9%8Xy?b^{?LYlnH<(tXNTnd=H%x-V;GW!STg&Q>m z{c*cv#^{&J!Ruk%$jR9BM{`MJ@-nA=lb1QQnY_$t#pGr7QO*lDo(gy!Of74;kd`u! zu-M-oYhGF7jmhgb7c%jW!Askb77~4|^2?O?zsbuS`-qpu^dUWK{KC%$&RE(wiHviZ zhRwd5%{ycK%H_c0KCl1NIFbD!4)z;tdkiS&bzEUPf>&gH^FM%l6gSpv+R@5i{~uns zcPEK)UXAeEY&0V~@r#J6n3}alm<#Er5%K$n_~WTGGkzLT|3hV36L(bA>cSLm3fA-G z`c(gEL^`V>%OYPzk)V5r*|Lb28Z8$WRtsfOk40XMWc71mC*p)GYukXz4W$b0g_D$b z9!e4)!i9Y#YnYKTgvz3jpJYv8L43H(1zFZs2lr!J(~oTn{Mf`@#E-SsfA}YVSwWXQm(9z=HS~e#9Y;9GQ#C zFtBrnT z!rqUj&rCm_;gj}EKbqS!W;~i(BixSzy@nqL`j5(w@j92%n(7IrxdTVxM{%c$xSD>< zod=K3k3BrH-liXO*CFRF2F=@n~+Da6gXn8GalUI4VEhaoQ*K zVSXOdJn5tGqqvJmyi7ml&gDnvM{)nsum>;DxgAQ=hsv<`qv#+gJlh@lz@soR9a&&$i@5!;}Bzw8n zU-o`9eP;Uc23QauGajo_IWiZOk@A=_EZv^U7=C=(neWGEFk;%Q9~);n^}cEjq2(O@HA<}8%i`_c57=|^A2^p6}L&Fvy19&yyj#DT_Z z9>b5X`SShvddAj|Z@4$Di(Cd%&ob?$q_rRO>d4oRar64b@M9j%){psl$&c|eudENZ z=R6ueJ{HW*E7sw+WTec9M;x@0AH$D0u5HTT$ED^ve5so6$2Z(X{5Yw_M@g7^j%f*- zb$DLAg&%YGm-zVj|MFw*9#G_{{Al{jjK}|aP5;Pv>>)*B4tG)enYZ%!_*msM;<3tg zRDNvo@b@!e|9PgZ^jpWHr5|(mx&Hrt%-th9IzO5|GyQmvUpmc*M;yJ+k#RqoM*+AW zzf=uBeu?PIUH5Fl%wsz~`lh57!2AnL`^;t?Zt2I|z0ITYV_w|;|HntuXQm$?Oy>B^ zPqAknT`>AJ4mz4R98tMfHMYcO`{&%b<1}brWZHMB+z`_rfyo}$sTm^o>nADGEb>5b z$JO13Bl~=&ZO`+p^%FEotHoaV2#nUlk5;UwvGSiVD5E){^egI;AUq{F_;Mf8^@#(jS{CADCw4 zI3cXH@*6;p^UJlZN3-%FURFLBC-c5a!&Bu$k!>i>{=I;46(_F)to%AZ(*_4Ab%{f1 zctVnCD}2&Oq>-~txZ{nie6)*|UzTL$=CR-Mt5TOQxcCQFex-)5TYoC294CG0ca*jB zQe^SE3@d-%$zltPs>K$h=6u}^{3oca{3c}exnyDGH>>~l*>`}IPewtLu|E|zcqAfD z#ntd5Rz5Y2uaA{uD3orT8T@L>l!Xxa@x@uis9&lQao_ey<3QndFDt(d|NpOHu-va+ zmij0Kk%N`r8DNQW)yVZ`>bZWG?_uS4qpZ78*8L{K`_oqs^P{ZUNqjvlvBWIvZP)mn z?@wgq4+imdvGTc^G^l+QJo^;&S%}=Md=xg+9!)wNto$*Yg6!;NiFeWxm{=azFmKp! zR{mrfUmr^(-G*vq=8_q2!2!>DS@|;=mRJ=uRF#3uDpx;N{z4F67fY-$bA6cE+_n*_ zpsB1prm@5ZkHf*r%V8q{8y|ZN8!6A|aJRLr{LKu$epbGeQ(T6u%P{K>3Q)G?DYp7~ zjQML^lv?3t<;fIFyy&FV`+lY6J`XGZz$;CLmT2QgZF`WFug!w-xTBlT%uT9=` z*40lTw1cet5!l$t?SJfL(0qi4?PvIty9yQif`)Ki%MpPa#6l-=M(n43Cs= zb2R&**LSS^+cdsDR{n#_$hAZ5uwZcqR=z!nuSY+uUH&7?{|IM*JbpP1^zaA$R38Wm zA=9F1zN=mf9TmMs|2f&}Pp*IH52fTOjRpycT%lSZt9AY8?{}4wc>x+TB=Q-r1yZ^A z>YIO4N*)LAb5x1E#Hw`GVpN-bN=d*&qnC~h|KhZ;=~&fo>c>jSTo<*Qjzl9n97@Tv zPHJXdhHxj@^T5q@>oW7l2hl*fOtkE>2fVEOGe5~NIq+ywN?rt;_qhxc3y(gfq!sKf zPZ5q})Pl7eQ)jeh)JZ)7RscPI7c zlrnx7P;WRX`<&;^9Y8nRu?+o{1MQXSYlz)hMKq)AV-yIi|Fv%52EU2At9 zmY7gV(xga;=}{#8-{;;r_s;Cj^#A(W*YCbwXLru$^FE*RzMu2C=bn4+z2`E6=Sz^4 z;TtQf6C5RSnMM$2k~l$}Ny-savTSAZ&J_Z`hO$4zai7wIW8B=JNl6Pe z|KlOXpUoLVxNV(RSC!@2X7WltH|xBT_etkv^4EABjE8KZ zzYbf(J@2zen-t1EST1A@US^Xs4mvNhYchF#BEpciF`3S5rx7kprt{KAbquKS!XUpw zJ06!?ckeg?h>f@ih$P37!E2S9*HPItlyPF4$;<4*OkU>c-sEMTeobDc4>exDixqjD7H@6#Y*W;jL)m{=()i2t zyUEKurc7S?bqVLMx1&Bf=Q6z=&10GI%CWlmlvj>5-YqM}BPIVjl|Ai?pBBJ$E@huB z*Vgox|TklL!R$;Q__Ryvd%h}6_RJ50=GTD!k zCB88(+Eg~KE4fUY-a?LDP$tRjOlA%lH9s~qZkgsZbnD%^>BoPa${zUrl|5kILpgP0 z$uVH~G1+IjACqJ5!u^H{g~XRT(}>T``GjIqvpG1^9)rtT4 z>ur=D!2fK8&*J3g*oEe`hePC`{5+BJ^BsmNUp?iOfzFFieqoIAH$}KAqkClT{%@{> zNTjS+q1tL==C`UyPE--)!2DpOd9UizAaY^W3fJnVWCq*@i`zuX|Bgj+{&z6&okY1T zqAC9e7ZU!LMOp5sNclg;5@)>fPe?D=nmZ`}CplrpEB~~r&3F}5jq0cMICPZZGR_1w;}OEVhg=iydLHgGvQmOp8}J?O#l|2qKXR zI>TZ+&0;qc>FTC}At=RS2H7FffwFT?P(cp~3Hi>N#bGWg7-pe@kv0Q3QqGMDjj3P+ z%8#&9L3hof(@6!Rqf{`?D z2^Pm{7N@}CZBZ(?!?ZZnm37mKBM?bQusBJxco&LHQ>g%Vruoj?D^Ggma)_tH;&eOZ z-t1si&k(4j&_%g-C@eQiA^$^8%Kh5Ta&w|c(2dhf&UKN$LzHsAV^LQyUqZ4`?opZL zo^m1K|I<#EdxrgzDK{2lx#t{IuqZ;_EQ53IZSF@p%-co<&qpZCDp{(TTnZygE#&Pi za?W16?aJj<`%-}nl?+>NNX+7!u=oZn_7n_YugI&lR}G?qcO)u!D@xw3s-f!cinSg$ zl?v86soM-Z8;TvjR4T}huw0IWWFt@22ruTTY46&m#?4gVjUho@pmFrcRNxcH zQ%7ONHbsy`D%h;;sOqKy)lMEglD9^wV5^HfO;m=&!CioEr6A;FxiI@Blc%+o+X3AU z3whd!OouC_f=?AH*d1ZHJra_Qyhj9<+iRzS&(-)Hl!7l+?G8%r?F{K7m43QICC}9k zmaC4r^y(7%YS~$?Rul?Ged8|B@i z5wRn8Rg^q~qa3(lyBzwh?tSFD+Cu(bQOeutwt!Vn2l;wL$TQ5rf!QUZeZfat$kz+I z)=nZ{Uy%X(AlFAC59Vs)fu5Zw);@e_Ci(h9)d{h1zhNWyW;yt|=T`C!vQcn88epuU z%Jxf(=igV8d_(Ny>n2lPNaY6ewhK=l@3EbH!<^(B3ijiTy0WIls>Jp!Am0cX#6aU# z3ooU78k=|)YmfOxE1&|^?KZB;trZ(Hd?8AVjgaqpl{|MEb>-G_JvH(Bo5?rc4x<8j zri;8(PMz3gyJkN|zFV9y>L71#iL1Q*T-SIUmpl9N2M4ORA8+fv&Xs z35FvaMyXM;?QQM@`2}FO01OvMT%}01tJaRVhj(l7>|u?cRTrX9rC?k151g#=mg^`?}V6=`|v*UEwhkssZ5^d3|00?$73tI)g|96 z*ssR~^4?JQ|@4G!Pa7V=K9aFth7j!tVn zfqbh4^1ZE)M>bR&qT<31^+%9z4anmbp?9hgHr~5z^~)OcA>Riw5@KVyK_fe))+^b! zj(qDPV2S;@TQ%ysSGj9Y)3xNwRFRzISz*+by;ShA z=V<$n&%Qyv5)n6nB=Uuf!10Bmmp7g`mV7~#BGoMDjF>}w+a&V8uaYOr!HIYj$I!J~ z+mdgOlR_Rq_5!D$tbJd|FC3k9EkrWydL&Aojc%^;Lfwh`RbKiS9PviO#9rcpc&PL&3D*4H%%WsuG`uvtf5TUN)7V_*c_v=$<%V}lD$zRP*W!F2%U&E-&U(2=e zi|O^qUlVoxin?|i20e$RWww6BN;YCgXft2 zQL*S2@?VVonuuXXBeSkcT?^~~Scm+LAtuyyT;>KnC!@6LreWl7W}~t}BKez}bzSEC ztM3=3jY~PCM>t zvT`l?+hf0`K;6NttCKT#@so|n-x0qHFl95`eJmb)GoNRPzGTT9@@a>uG;gqdjO5ooLpN_>%4 zKWn<1ER^TdjEsqre+-tSnWQo!H@UP0RlW6$_RAd*J2^q$x6a8)>>ZcJj|wDR+!k-9svs&cF`N)2e$IWi_A4LDtucd_`=qJb^R|3U;wM8~Dsf5}EW zzeP?|nZ?(m*5)2Nc~3|zw^BiJkaw1o<=#eZt0EYTYvtaFP~b!89u%2wjT^}hUJA>7 zU?=}F?A*gHUU}|#`lLyF7iI6<+5b*X9*bJ+n7!fsBDZx z-i1a?=8l){`~KIyG$@X=w0dh~Pef2u|_eIIS3k=_gag}$ayUoE``Q-n`M*ahC z%KB8`s;pf~m2(Fgk{`3={(Ua;uCj2I_Z`dK%Z{q#Kdc}*D67OQRjM>~W}?ZC3MgqK zuUj!ny(jK&zV-|9pOBFR%33LMRo2SrPx5jH`G2v%n1j3@*tp8O)-r79#ChcZ1N-&6 zN?FSzT$S~j^SQ@MVeGV&&_`#SRZO63PE}1PP66iGY0}Nk}#-tfH`7RmiKr z9UECN7S?c3Vf6?VqN_lz7W*ZV*W+Tjy68sgLSJ9A(mTBx1HsBxsmKt*jr+`KJ1rDh5ZGV>knjqkqQS|SZ<(# zQJ}&J3XW&3hDj>zd>y*)wAyb&xydLeN<0Q~r^f!6^4Rkbp+`E0-FrO3Ox~#=Vb`B_ zu-tSMnJ!V@oEXbJpdvXb??6<}Cw?c(0wA_0t6~5<;KeSc&Ay6OME!YUF(&bKN z)$VErA@e8`LKedftd`%vxCjH9ETg_#yEjteGGjis<@ zDd~N%fmQfkVrP;#GPi$}BXh5caDO(q*=UyUQ7os~I+XI8z|2zqx4X2ju%hC0tGL+PgbaAgcuzPr*R{WRzX4aOBnY z6v(Q(4!>QEd`Dn2=H$q3LJDM+p=Vpc-nU3U8aBJyQXsAKq>Bp4w+}WC8aA&|QXpyX z^xi=3hRv@Gn^(yxkd|M|uAOQXrUJRgu!&g+c8?gxQSLz5h|gV@EXc988iJGUwHlK3 z7Nbp@CbItGLk3jwz83^__lVYA-YgLXW)IY?#~8XP&&l>%8;DLMYWwUq zZG>IuapW+23S`ZF%{Dc%wz@`P7m^$~R7ioWv3B{KHP%)=oa{oCBX6*!Kvo~_bG5Lx zy4p^-5a!4smK4ZpkzZQ4t*yG+*o8Jn4vwWjR;|~%DLmgL#xCSJ^15gWWR(HZ)8N@D z!X^qha!@1%(%SLk#y?tHb#SqX2#y@+N`a)JDJ>tiwrcNW6CDQ9nF48X4woOKl6M6* zt-_I=QO4S8D7dqm9{7#;>g-^$;b7U>8JA&JmdQri*}QCAh6z|E8;zQK@v@j}Winh0 zVz!mzaA^hEIGN3*#$}i%WwM(UHd7jxVS<#&Cfe8(XHY>(v|Kc*t>@nFK8=KpU%P?=p zWDnWd%pI3))@1XXY+jDbK5;YLe1%QH#bvqonDH^qSl7Gi>JDFCOC{qKc0-XNSr2lz zXvBw!tg@{2&-Jti&vBoSBkwXJ$wXRfx9@+LN~YrSI@`gKcg9lKq_35FOR3~Z+)B*T z_J`GelRX8}k}ph}OM%rfc88SPye$U4fq@Y!e3Z+y(WSN`Ge(#krwxAnK9LI96a+kj zTC}T7`RO&>J;?vk@I6Lqyf0N0dFLIoI&HE9`dNiC?R$?B1LS$FQ z49Cjq7txPI*@ZNdX*k%!bcvl^hBFz%-K(&&3t;a9Xmy-+g}QxJ;iP_)%eV z1Y9-+zpSk!iTp3wxa_7FY~<{+u_*{9)9Mfz?x`4?v0yUI({B6^$TFMnU^0fg$q8B6 zIF(J0aM>7Gp`0QSH~Um3Ls@f$Y|PWdWthi-uH>Y^W^$Me_Vh^~w&FG>e&RU&OFkRh zfb(c~T!x7xlr0+#*$7R>xQGH|rC++(%oE3r!!Igr7G-l&TsB39OgR=|Q@~u-Im-5T zF^&}059#|Rx_}FO>0p!3OvZe0Gb`&~4A~$Xld*cII9S=|A^VTWWDGZz{SVBAJ@dSD zdxYWGy#Z3=IP=8-+;5A^aQ~9k@dWP3J&zK49pg}&wbhHbUpLpqad#-dmEMTEcC+wH zulHsL!?gu&h7gyTFFoX+R^u}LafA{%!X8P`WgvZ@tQ|_d6CT;ioheWGrP|AY_$apv znl;AI+Gf6A5Vygk*mwPrz4)=rWqX(|e$;Z=CW*=P%d^c2NbW3^Kfa&) zkp94hxvqKcT)D^eYwH2(r`*}GGz7C9%oy8Df_fLiWjaBGzm9YIRxuS+@418MU$XxsYtM+<<`EyZ>HR(=?FHkbAy#bu%VmV(+Ixm zHk$a26a-(F?|TY(m2xq|m-67n(=*&)v6YN3caD zdr%OQ2)=6){2&Fvr|x^ZAMnMLyCxmMHkItbE2JcXYfXZgDF}X>{iz4|t(3bi9l?&3 z?7=Q#62T27!5l}$gQTSElgLg=b9NRR4 zpWBQW{8FiSkgT({dL7U(<$kWDiNU+o%u5kJPKGD zp4y9~;Wb0xc1&K$0~T$J5Fe#7EW@QABg~0%dm69rq7?^MM=X=pJk}7fZIt_cx(3xp z%FI^f@R~0%TWr&K9ZfM>{?=JIuliUZwo~pgdm4XLHm|3QBTM5Ii&gMf#v04wIkjH_ zuTLoVXE_b8XV|W3o9V9$8S6dgh?~>>bqanf7x&<`@VA^ffbF2%Q?WF>^s69dt8#cP zP4bt=QZc?fR^hH4O`k=LJ1O^^l7^RT*fDtF;ZequZA_-e{X3E-h|9FWJ02HJlxc%^ zJT%H>tR30YqVboO@w8|~$F=xna2D_p@>FxBA($g^g9gF)WDJuV1ml;5T$cX8^v1Y7 zjbI%mRfB$|zH=L3pOUApGYv1F%6#ojP}ur>U66UuIxbj=#(+ zM~zo&X9ZrK%awj(n-h5LA&(%W;guZNy1&AFmlNXazxCG+7cZ>wYA06Y)!4pm=6W_B z|BO6WSpJWA?GloBb&@OcvMZl93A6|7bMkbyr{+~|`a|dBpm`F=)TQQ{u7IbnqBCFK*H?BjWt&)K$4XjZR-dZ#7Ic zbe8q*6mIg2?K%svFY!!z#iLJi6CP;ib_`zeNj)b2cV7C0AjfTD`1q|6CewI1B$HQs zWDQ==Ol$B55c|mEP}BIUgN@l>TjlWT?9{Erc@2(oUbtOj_$yO#d=1!F{i zsT^Kcb6UE;hB-Jd+%htFH6GgiSK#)OXPA_RS5F5wR5`r#+f>Y^){Z0H2CqDm*Nrn* z^abK;yh0F7!>f;R*i;TL{Z^U5Ypm%nzhwC9$lV+J0dfE@DWvn4KEciGR1PowcAdd% zyx}kQnu9hsiMY>JtKS01H+T&qoxg@va(wBx3=LklqCFWM8{rnB!Rz;<%bo@VkIvre zNYjpH_g6Xo8m%x+Y}4ZF_V}m<{Z}oM*9YFcp9AqNUI1~Y=7qPLG$Z&^IlRV3xIK;6 zohtVi-Yzlxb>p9n%K$q_o@tgeypl&-+Ue(l`c?h*E#s!~nl5r)xSeb8`eDxV!-4n? zufC-7SMsRl!g=YpkPTil41eL4vcaocS@ySpd{3SS()bH+-!L0&tDJV6n%s`F1@14r zo@4O3W^tA8P~#!Iz9Xh?N4(#|II*pAc-^n)*5d6r*KY7yZ~E(zn%$=Yb{H=RscCr4 zbaOkE!|TBqx2MI|BT>!^xAzTyy|Mb2dVu{vo=1f=yyiu@oyy^*KT^PKYP=RmoEIK3 zFnH}7G2#~>j*w?TI)5!tnGLp84ln(&24+v=wb1ZacDCE#b@}5{a)9`eJWr?d*CLVG zU|Z$z(jTp0_B3A4Ik>;@B9_5x@{yhAfH;a5wWKudxQv<5w#wn9KiEUzOSL z?z`EJ@5m3@fjEX&!J=t+y%uFQ*j72b^hZpXJ&l))|IAHTPBSVR{;C=~`VSDt@v@mC z4X@P#v%$8?;k8E8jNy;QYq^{A!ecK6uevjSngGO4c%3bszdpbo>09OSS{LE=G+rwV zf8m8VgV(CQo4WvZ0x!&^^H-+I?Nko0joh5>ueU|RU!S-QUcV}@Tmjrkyr1Vz(~ddD z{+&NB{lN!Dgq78=hw=rMXPf4)cisH55i8)0R8~xfB1WEf%QYWg`OW8xX=U+;nJg0V zKjjtcCU+uEuX>BID_YebhzV8Sdv?V-l=vC1BbM`oQMLYP2Qz#ARhzW6s@Ek}t#lj1 zE=qsF`;FaM%r>p+EC&w+y#HtfLhIiS{td*hct27~69_?-*-|G+rCs2Cv+h!KrMxN}7(=7T0`(BybsT^Kk*||N9 zm)GP~U>-P!qfbl%?03B5SuRqsfRxVbfWqxm4zGjB{_-0q47??3_-ok#3a#yYZjVu@T+}Hh8_YDyJQV zm~JiJj(<1|f1Nh_FDa7y1z`W+z3nvpSNwg5%H!2OIlj)s3|{BV_&PY~=uXWMp1;!c zU-36ODvwu}WPkk=<-G82xe;HZq*rkJ4J%=JE$QN`o1Oc;a@w(HlE2v7>+ya9Z?7A? z`u3mAcv*l{`X>3ycs*XbZ8LX{<0a6kg16?yG~s@oSdq?Cu0&bdL2&%R zXoh7j)`ng22dJ5hbz8=Z_8OgSvB@_xpPp1_K1iL&%`^e5IC*7U?2J1?uzY3k&j0G+L8KD+_g8d%{#P7@~&sl2#B z2WxI6%Fd6DxqBL&79yvE>om373txgxO)Q+?PNrit%)=KDqWZf9jJj5JD}h&?u{N^9 zeR(5PT?-2{q&Z^ZkHWL!rYFpYK$t9*CyHg@Qyww;>krJHMyIWv(?P@hI`qCyC|4WH zKcqWiq$E%1=l2V^(TNo%7!|f@bgq;*9W>06BiDOCrw&$}P}4NbB8OHMf6{rPNP#Y; zCl*7aZ(7xzMWc(g+w?9L92&NXHiUt?SbHs9aJ&%VcK)5iOSVMZCSHQHjh zY;ZWy?BZtN(2%@XydfvXYr|n^#KRR5m!5Y#U@bIWR^u?jVQ?4~GdMKg?yCb17h_#C zDGi4%BDZt?92Tg&tj59VHaLtk{V;3hJ})?2LSAPy4Tl~Qw{!j+%=-r#hnr15Ofda$ z$-d!(z@ZV=Y)j_{^O5cI=dg^^*SqLjOg~I^8f{Ut`WLvDOO3GtTsl9Dy8u6!_XRXR zOtBbkAu0xk*y2!caJUrf$vM)r#UwZ1ne+F9c@IG2Fx6&oxXWg6n6`d1riQ2qR;P2P z;c&+V_`#g-*Erm*8XWF5{cvp5gj3+ql)U#?(r}o50e&#&_B9UoJNPLZBZw=<&$|Xy zH^b7BQgYRNq=_X^`No<+A3@+gR($*SW_dlDh|^A?@pl=ST%$89aisZnW*xPUpXTkSyh>;%x`Fr_p&(Oz_V5p}XpWPID|{RW3NVcj9lrG83kE_DJ01 zzk4UuIDCzpm(}QCAgis<6(x*qasyAY#%h6;vy|k<;(d7hC0%CX{Hy*b%F3}#tNJlx zq=~AZ>wEAhY+i=7xXO(*jjBJ6YGv`~->Xg$6aFljm({9%GO_9<)34|Un=P>@Sh}he zffqmjs!iHj)eB<@4!?aFn+73)yieQHINW4@{#9ou@AV=jq3SA+k6eqYTVbWLSemLm zk^gS5^TkA*b`Zv2B4=`Kua_9pVOYt`=o|ZV5Qc$6Ypiq@NyA}NEYWt62zaU+V(}N* z8J4+8=Ma)uo^2Y3Wp1Nyd^tXqgTSki`|+D7bUArnO4kJ21*{VN2(S-QxewVXvK+)i_|yHa>N<(rvWGZ~LlL2Zy%geKVaO z_C>j!^Y_CyHeOcaunKL$s>ZPS9gD%?_i2ZwfkQj25GSXJhl3KgbN(C-E4-}6!L1k^ z-V+TD+Z>ZF0f#HF-drpVhob_wbN(Do$h@q^;RBn&VXf(h_m_EDJhaE^bSf|1JsbkdelWe5dmAs#*6?lCP|FSjz`NnvC4y){I1&Ojf$ndr9m|P1OiTyG+ zCfhABoaEwCjo0o-MP6Unf2)4;Ie@#7caQ7;hF8K%A{wuKYDHcLqAM4kWGCjU$@`U< zhS!@8zJI2_%!x!M)BIKLJ-tovP2y(wE5ZL7uWwxyc^#7Hy!g^>;MJYHSe!L!!Uvs! z-i|4F6`J?`OkOht&V$Jquf&N^gIB_#qVYN+RN%ECqWn_#9-JJh2R;OmF1~V5gT67_ zT6|&o(?pr(FD!zZDARahDbz%n7GL<*1ea;NPE?G0@OntyG!ndek{62vrS?}UUROJ~ zZNpz!ay3z=^XlbJl<9GAUdn2`ez)*0R&XXmNGil(En?=DaQ{OWgI6!|{+%Y!Qt>Lsfi-C2_V2t@ zH^(wwdDe;@#)iG(4?W%QL3=IbRY})j{Fes+R>tM^abBqpfMz1cX}oILD;xlM^_*L` z$5wz>Z_29`Nz;x46>f*sryl^kWxAEzs~~5iZks*^EHTyEs0g zGy*N}67}-zLob71AFK|ZCeZK^CvMOnSZ-Y=y+`{mdk(BQ&h2RgFRd78I6~JKw><-1 zeJQU=xOi(J6mav!?MR*sPSqMt7e0NJDARdOPLicRFwMZ#c(oCE&yjb9TCV57>*d)WPx%Z4`%_+9 z%Y_k4xc_BDT)9)f?l`k2(g=2RRB&A0RibnLJ@4W_&iY8qaX)I{5Q+}|c9$~0d1utK6ti~IPJ-AvB*N#m6; ziLdb*D^=i?H{QL|@%tYrbUo#bOXsgUEBXtIt|xL}yb?FYA$>cVXI72ZE%pk$5ceg2 zygU@VhTzj%ku?4?FZ_&lOt>~>GR<)p*@q(O($(RGak26DTwk zi~gtcS1Mj}jbq*LSA4N_&OzsuJg=nlGKaSsuNiVhf4P(|=Y_8TuVIw;fIYRp@D(Xm z7uy&I&0qLNlrGU_n!oS`s6<)%_%e@gjn|x51zzaye`x&ol`uY>@*Yfg9x^YT4PJ%j z)xF`bgy|V3)BKe%gT!RIzsxw)cs*V*zSw{#|25XH-bi_mimCloE=Dwe#TU(I{xNvr za~pg!c*Pg&=Qy30d3NXkp`9-Vf{oA8_h^Mf8==5qsvzsilG)5q6D zW1lo$ODp!P=)YFA?%58!Mp53fH2rF&^SY6{RhyS%pAf0gf4ynx*Lz0}c#WpKxN*Z@_-0F@Om9bX4w>Qfb~G>JHGi$F*iURYWZ83MxeQ+Ta>rX~`U!k7 zC$T;~zRY_VCNJ}z0+Z>yPQbXnnY_%Y1dZ3b_6q(2uiuA<*?D^mz9EvPUyXm>fmvs^ zbzVmWy*6E@$Neu{q~Ua4srps(T%qy$D5bv^O|N|f#>Z0L+I0R()sD$N)OjVht*X}@mE2~!zmnTb=at-M>Ep|sdeM00S}O2D zJ2rg9axHk>gs;EE((p>ve;t%~qnYuQ+_pNe70O*mg5b+iUA!Mb<0-E= z-3jSw7q5s_qBrOqd!mdrXyV3jX$j(ctQ@Nm44DMCryyvX{Q7p>joW|=^igx_VwyJrH4&XI~ z@=m2`$8xcx@hVpzi!b)oFJ2#y`^3e`m`vw2RNy#`*WYRdf8|rmdti1o7`>hH&WWl0 zRc;A;jaU5h7|g!muX1a>Y5pp=CY;V|lA6dXzp7M$7e@RWeVObENu>O0LTX+qk4M$b zZ5zDG%@%09@DaB}oX)GN$Yso5`L&}Jc^$CzUf-(?w0BT`9Vrd3HL-4S84t#*H`KO>XYQxwEikE_fzB5Rkl>q2VzPicNO|L z+eu2}7=FASrH?m6@k3Gg4}tQ#xrTS!_yQ>3P5GT{$*!zRAFp>qmA@^*cb&O%Ju>BG zSz<+5rchC~-U(T`n*v!1!+jS^tRu_DWb5%)-&nmFk&5=%v<^N_=#Im*!!y&xWD9}T zH+%)<;|z((7CDn-b|zaKGi9i9pjg3u`GXv3-8V>H-hU)>-#wJyr`(at-B+%syI}W~ z>(O=h{Ur0gX$Xa9pta%AJ&T_ic;tT{GNQF6z^}&vcdPzLzVyub=@Orf;VE8aquH zqVEpJdAjeKGYfBp`|hRuTctGao9X6NFnSm6zIo9^dl&9L(^aPX*mRD*8PQkJB8B_f zB)iY0o~Qe)=kf=_efLrR{pGrAe(?H|C;KkkeaSbAF5G>lt4#O35vl0Djw#&N&1T{d zebV_x-;0lrt^xPmPx+6kX`*i#uR-gYFWh~vMY%z?yBF?0(^aPX-r`kYE;N4XC>ZAA zLi6BtI!zhe_tN=B-(@{#%z*o5Q2vs1(U*LCWPsN(LMkivaa zlHK>tc|{+_G}m`5}@ z@O@_0l;PWS&j|d{)vQI*^RJ({2$-3azfMR#Fe!d{nXoJD#GUn=Y!rXq-En*$=Gj@4 zpAk)2oY&0$qqw!@8!k&743bVcsBpci|H%Da)PLmnmh>OqH~5c*4qf6o9*wK|$A9Em zWsF`MC{*TAwP7r5LsE$SD9p61?0q+TcG5+K8(r3xxRSHbM^w^O!vB&cQ6v{_`pvHI ziH$b>5q)spm=RRcN}u7t22(#pJ@4R5C%J{Ehf@UB1Im<*U78y|>~kk}BmF z;;WC@ilNG}Kk)HR7<*8`2k1n6aL%BZ(LJ(wRIQr$qNIzmUX4-7QjNzl8-BU*g-f&M zU}WnYbtsj*>;NHkdVxx^P%;MxGnM4RevV38hbb)QLn@HT`-8-Cg;Cmh z%Bd~af!t<=`~y@f%8WAIR+KANsiai15)kkUHbYLP3nPVOD%loex$RLT7ZvSua4JRn zV~ys&u2M+^Ms~vFr;?%CV}EAEhzu&(J(YY3)fW*`PRY#t z0XGut9MLHM2;Gqgl^j!9!UKT+TskC*>P+jgm z=qk*FNTRYUV70BxY_yY*Y*cz>)BtvHw;Pmu8AL8B?Ws~}Ck@ycz|H{LO<-4X*7pOu zLj+(y0Izm3i`^ag6`|5=+=j(o!q1uFLlD_1LH3o#CV{FIt!m2W=@1TKz+o zrDFuz`@uFE?)$ZK&6i>wCR|@Rhv!-4^`hhh)SPwP^knvi&d^F zeO~%*$3KXR#ZD@HE=r{@n$5P<^=$6si4aLhHY$~)MyZ#@ONYPJ86p>wg-Vx44ApDS zUz@!321Fv2qW36$Q!-R5>_2=lxC2Bm_J$h^U)U@t`&NtswWCy2Rpr2<8m@JZwtkID z-$l*u0{nrUt4cqJs>^@bNTu(qNKPuMC2&XKYYu!k8D$R6qRcV%G!{in_ zsT8M`(hMBg^$b!)Hv5FuE#aFDFu1`d;Ria!_eDj_iwMt}41D@}zh2 z1S-uFsMM=asV~A+rG9CytJw*NL@F(aQBh;FuBP_jO|u73X%P%=icsm6m{F=Y+W(4s#10=6sq}kIcgRhp zhwM~zmD^&W(qjsh9UcCj3}qM#pSp)GH*7O{skaggjW)~| zjg53#)a5G**kRs|(%p?X2=uW0+T_>$6u3HSz3fk!w)8YgZRzcFH|zQ$1+D>wYn)Ux z(NGoLDqqzzvWfz|qe$2}JmaRlqh+JO0BPKgd(T27QlMXqvJ{o8vNpOF?b>xO1qM0D zzfGaQ^%kxQ43P`#e$fLW*?QSuU^!HRE-=iAUpT<;Hh@LbV}qNm%b~ysRE3^1;51YL zr*e_&^q&+M4IAfNRP><8%@@tHIrcm-kOJdeNH9FXEHy!K-B-4b0^?1@=`^Qy3Ra0c@zci07DTB?{uTG zz%(a*VOJg(4B(SCI+JsV0{7S{aJNc<`=VSGxL@u*zspk)xsWi{%}}{2E6aIM#i=kb z6DQJ55(Q?PZ8;~}ddfmH^Xw=RhG3r>b!F{RZfQQ|HGDzYP5yl_I$yAW)chEdL|G*! zuv8g0FY_1$9>WL-Z`A}AxOmM0$>D!x-*5^%iP3Xas1};4XDmVc4sfxI*S5}u!oBfMXyJ>s%VAW%DEL3n2(=#QDCXeRe@!WP1C2ggGivjiwbQJ zj8Yp~$z8j3-bI0z@mkd`lwNKzO1&m`ieOp8z;YQ$pezh4<6)V#GMbld&!E7YPVBCO z0&m&4DzM6Z`O56h5V?`;lx3chvR-q(_-EVY6nICZtQ8WDO~EMjUhM9MTjoHdSXD&@ko{1hT@Tx6%VP#`%L4hPONQ>Iz&Z;B*2Y{h*c<$ahd6FM@?UG#i_v)+#d)=mjFPSPb2k2xZt*mJ4EZ ze%gr-t!v1zLWK{*F`pPF13PS?nl0N>V7r3kAoXQKr7jmv)_(de3PeyMA`<)Hb=-}4 zA309XoH>O87_tWTVzeo`SY>-%6r5qB+}mO%Q2eV`#z}nc8|I^c>{q!e@U_x)d-Ej_ zIVtc}l)Sge%tq8jIk+4M95Nf`kUHzYNpuR|yOHb^IAWL&{ODewyn7Ku5|WJq#|?^s zpQIMmGax=LQs7vO0x`v?`Dgbl(LFwh927Vip)9|46#NF@uK@lYV?6$FBcZZCBP@4X zLW2Fj6qY+H;unzTG{*lp@GC-_Uv%*bHop|v-@*GB1*=I&Hro8Esd_!K=L!Ak|}#`f1gewu=HB363aMVoiWxN7rWp~LHTlxl!d4FuY}Pc>8rgdW>3UO~Zz z5hRf|e`~4^2_L>mk5aI)lY)(+v{@02QaS3)`7__8U{e@u3WIs3%BKqM!NnA84uj2M zu*hbV+M@o_w^;)U3N{M1bdx$g%2nzNTaNJ4Tnb)}x>`p`ouwG62W_S2zCS_1b}-lu z220&swK;67b*{$&3U+|OE8VntyHRR$#I~pPVuga8VX#w_HZQOnrJjm>e&Xkj6tv4o z0&RZQWvCWMy4&yll!6$=2d@?>c#XH9r7d6S(NIJQvIS79Ax38f`gQ6OWtonL5%N176iZ=L$&z>S0`!KD-^sQ zr3QoiI+2&!oT=t1yPHyQXaoriM@U>19BH3jx88ja+32qpC^?$NWpFfrqXERk26AH+ zBnNH&5g9hkfap5z8t8jDlY%$PNN~qW!BD*&8QbaVQxv?#M!|`03f>;$s-Wo3D6BRT zB3x66F$zv)!5qBPfnN~{-sNJsy9NAGC^+58a`z%l$CdK^4wjoCQER3s+_pNO&C0ymPKf>MO#o|2G& zd|F|-XGHvpQE-vWa?d&ND?;i{C*L7;m$TK3***%s0N@e;mqocM_>z3IcgGnJxhPaM zLcy0cCa>7>OC|L)!zt>Q&X3+KnnJM~R% zLe*9KS3`vM{0hg+I6GIVSgqg!TFM-HHXC8##Cdo#r+hUK7 zYF2}SpPC~XbiAOpXb6!&p*k*7 z?}%_4>Rpy#`>Q8W@EFP;b5Zc5St=%d<$7!|L@3n|s$WgjZ;p)zmz;q}ptEa53Z8Ou zgTX&7cgidKQ1Fi^g__wZcsj;NopB=}_jk+yo^xBKPwov7jqBfU$;A3O(eY(50rTiKY6krKc#=I7(+%#3=M1o5A-# zYMb$MFT?&~FEK0#wP3*)x=h3`1mB+u2daN7erYvGwSxIpE(*1kxhmAo`BIw`6Cn~P zlm%6L8?(_~MRHOI*H`f<4Rwz87MuM*p-xbBf~u>@qpMoyK6;))xRMFk?G)-BGfMSv zk8<3L*)~MxkC2Lv^kC_zuhE5Q!AJCPvEAD66@zL}7Gap?<9WLjB!HusBf54MJ{^ zor;U>On1G61d}(2EH{+>f_}KfayO#PaFs$MHQgxaMndOwFr71oBvELbz;fdvNFs$M z2nMlJR>h}^a zrT!3Yk-ugDg&qLI2OJcdZ5o_oTlGwv7cn~)qtG6x=b8rRM(jP+uMuD{I8CL{{3tgV zdPH9F@v(e}ToimGN}u5ZNj8GK@;5>M7?w+lucYg6cJeLQiY{#c3h* zv_zrjB*VsH%XQvEk3nRkvNje9yr)<=}-J#bKGMG-I# z3T=!Us_e+U-b2G6f>TexhR0NSWk>f>UqIxdvdJ+DtG=XAF@Rg#RQ!RPtBNxm^(Oy;*eOM+Qi(z#&Ej?uzf=nC z&~|30fL{uQJ~MsyxouaIb?D_j6VQ>mDD;Je5%~h3FB}xwZ&V%n+VUTpbreK447gzD zK#T#uaUWoIb1S?PH6T|suViugx2xl2?=geIs^J1O?_v{_hAEWR9fyxFzbzC-7C!^P|`Dzh`2dY#y zNW{lX9ENJEV`Ry*pHq0SOyOH)d?&zEopyAX((Yvn-vAy{T;wS+n%)zPdDdU!r|@t) zN($sTW2(;CTQq(yNa2wV3J<|lAYwIs2J(FB{B~8ZD=6$lFLFKRR}sx;+}8 z@MJivzk=^F$VRE(I@0~g<=8mhZp_hi1( z2_nSrxd?^tl?>H=uJ0W!QQ}_2@L#C!0aG>8zUIyG4Ir{vTNb&?pf)6*DyR152 z^<9VHdoM;|S*48cOx0oA?XN$4hQc4h;7S;5Z8r?Iwcpv?dKHD&xsmLYS<5JuS=Y9s z=CNBSoEfF?t8U8d65*vXyGD-Q_s?nyZ&1KepyDeH^2MFhEfXi=0M3?>Y?P6s@KPCG zCt&yq7@jdp{jFa1 z+vz;814AiFnS!Cpyj*Q!TL6Q*!Egz7d6Z}v9HY)w9s`ZfRU{{6yl*;ctyA(1K%cb_ z3~Qm4w;81}c8GT`y1xzL9SqM&R6I#A4BjRTn0N&!;M5q#sWIbcv(#_mR`*K8;lU`C z5#sPtqf}-y;ZWItuW*#asH~=iibd5hI89j6VbiS?J_3eEz_8fDRT-t0dsd(DQ200) zz9&(}4@Rksqv~N_$=?({X+tauRD8e8OBK(GUUFnq8HImwQut>$s-96Q)22o)xp*3d ze}|(o(aKj#yi{gSb@QKLH07T%V$ngxa|{~A4_l_4X!|>b|B4{tNC}ue3@dM!J$(>P zic$EOOc}>r++fB@rDdjPKRkg_C)`xLz{yp`3*FWkFW*LG)tppT6$~31r7|12y4HUB z3OLCHmJZ77WvcqPK5g>GD^ym;36|L9XN>(SUSc^h+%|{G>dQ#z6E9-DW7$Q>U4&d? z2d}xTvATKA#$y;_Mks_ny{v_)YN2LK{-7U~HHT^nO1H8ZrCP~92YNh*K|6*Si`*FT zMh#V4aq645+y#*fLwb314vS6aYPGxpe zWskkt{KPznpz;dj{f3~s&tW9fAi{ZUZDbp*Yp* z#lA9EmG!gbE!+4AL;~*c+sL!g%~hV<*n-+O--6)*n(t;AA9q5n`gz22%-&#WeShL4En<^#`i{r%0`O# zIE==ABu;4P?Q-w1G2Q4Gm5q_`1rCwr#$bv+3!}cN>||GVlO2z<;Qs_y);ATd+PccNJF>11l}!?;>=x8`o8g_ZDUxmVtAimDDbxlkbKatCs`J)% z{=Xm+sjLrFce%O2vb)7z(ukiRvQy|WmCEil>MFa>{`11OnnL8Dvgr{jtKl$8%@98v z+h{YDJs=_3sBE@usOH$dpHY$vkw9fG)HPQ$RCA-FzJL6ADtjn~gpw|^)I2q(!&@#Y zdjxgOk5E~6li_3bJ*)34pt2`m@NtE*WyxZpGD)PeCu0_DWGSFc-30ALi5f1f_F^N- zsKqo_jW{xPtyK_JcFCecX;WAI)vIJ=7q#KS!B$}kVt=E7wBy+>v`8|tb8O1C8?3@) zi8-3v>=H|X?BuK4a*kC%OJP!oBRfY^AUoDr^w3$WFcHU4TLalCk^?5L(dUU^whdta+C4*Q;EAUh~2knLx#dSr@K7=wL(NZ~fGbf-XGalGe8Ev&+5 z2b*Q!$o6syWV^uduM4cgNHp19a3gCZ+H{4K0@-#@&l#(&!i^SoG0l8#{_P5(A@(5h9H4*&)v=^hJ<2ig08rAqBE!*_;MLtwL|adtZSg1zQT_WtnGv zyR1Slg-yqBWJ^m5WQ%>v?x|%JdOFb$N*viDmIB#)-{6CdtwMJ;z2oA@=Ft?$|Lm`N z`k+c!d3S`p`mvyRb6*@=QR1rruRZ<|E zJh-y)%~qkKi=DPO5?7oRYrk}NyK4_yg)5!xEXI+S$|;bIf2-f)=mULvMIUVP=@Z#r8AL1w2^Zu4Si z3S`5=n`-1*h09#*;W3VE=tzOQX#AgbUa$(yoorT)BQLV2K-#*^Xm!6;Xoh`f&wJ^u zX%kW)8#LSX&2+2K1k)wUTpZcJmI7ISf8XElvUvhLp>vI^B@cE67! ztIH{n)z>}bDj@Y8*u2Kck=3LW$ZFeX9GXY|ycoLyz>!s5DUel%%72Wd^|wUWod}Ms zBBnrADOpqX5N&LNGuLLL-7&vZ@rbjYtNx$2DCZ7=&Gg4@=59*GlWZ>ggIMj}$?i?Z zk)AhGAuau?cf5`YCjmLa7Dwja88d3XOXlbI8V&X}9Zb6}jj*8-M?P%YG?CSX566$7 zU5#*!afiy0Z<_mVBCG8z`LiYMx+un`qBwG_Jw@$R=NC&?(yj&qn;wZHb1~ZCUNUV~ zSz7(Id9yM zHZp6s9ISuxG$vxAY(gfE%ra;#CXkqPzuordRoI8z|2KNom-82SEC*#!r;+1-%>SoJWn*#)nyh=$?JH5SX^9J(mj>bO!M>uhLuU3IIl9IdGV*&cbFLJDN<)(=Gj zRslDJaOa2DE~T(e21omN41usiN?WPZm~$eLEi{IFG6hCX?|iz9CoQ`BCg@vbG{ z`6BjxlERVWQ`xK@YuNN5tMGi3J-ZM`dZwrHe6=OZet5ryXoEfg=alQXsASoqPLRg{QFZ7%59E`QwBgt9)u`KS)} zQbt!}j}nlVjf@Pxin7?u=ASaRX_r&jY|-Wa@%A=wGFJcp|Gd{tDKbfNllCGZH<{d3 zDorAad?qC6ZU{+Yl#o;-C4`1blA95!+-&0}gx$S%b}hRzvwO{wn-Y@{QYIuJ{om(Y zbI!T0Yp!d*JGH<6^>~amXU=(_=Xt%)IoG+)b*^*OxMv}JRUOYqUoju8XyrADd40we zKXiaq-}=c+Ftd4!$0TOGHTNdnZs?iJ`SrEdda*av)RIBVzbV^ zqJR@tO+%a06c)3&(%HLc{t8uHglN7yspFjTk;_TXX|jPjK#cJGKpEM zTFtzjknx9|O#88zP3h!GLECo59}=FO>vW$ z*WC46&T7c`31en)n>c@#7zt?ftnqcTNl!q=J{OtdWj1eAOk!UBKvw_Zkg+pBZe_5T z4P}#<_L>*>cYusYoJ`8Hm<<9ZF{>B8H827h?QCSilEuU;rDV>lnhrhp5oEMPAD!f7 zPxqO`toG@!T`xg~>>;-cn9Uo!CNZmitKd~s#y41^c>xx)zQ-iymCH^|ibBR3jJc6> zUhg)EdBwQ%lkh>b6WA5WN z>o`neUY0ict+9~tk%!C;Gn=&~lbDs;v{)v?s`E0L=Vmdlvzx@M)ZvTTGaw^PB6nt3 z%vv^+m=)hzIrd}7n2Keequ3^CEClWC?EOMH}wDlf#;W1eClb1ZYk%+nCDnE<4;&MJ- zx~$2<$sa-fM=`SMoW-o>Gl^OG)7k^O;YxkvRL}2N_7zpVCNV25YSefuJ`aE~Uu8a8 zAx1%(+$-*lz48n$x3`f;1z7G^xJ_(UaL?O`_46y_oJArMzptp`GKrbCzxs&1^JpMpSia9kmJ8VMKt6Rb!RFU6 zu7|}_*UxO;grn%QfkZrYl~hSOUUU29M3}l*@0+O@?=Ej5OkGjDw!}_W6|=DCotW3= zDNeFNnT0*+!>~>F`pM%3iLecyy9wXD3VAkxgpsnj@mKurB#$!icutZw6CVZGiT_jM zJ?+51>1TY9UqI86QKenRcx!j#V*%S}81d0SjECRRUUrP6B|e%*!ZNyg$g>}bF#6C) zTKXZ3yNuaOJo~jn!3WLaiFk`B;EF0hfrUJ}tL-4$e^iM#BhmcT54BfA_)G13ca=8ul0Fu{TE2QhO4{+qxIi z?sk(f;@_5blD3PJJd?@7hPW_n?aml^*XO&GQ~krr=vw3FEGpqaPh6aOnZ#DKpyB=ZjMhzEOLhL%RbmS1Az ziGE^>9AIN_9KwhCX$TEWY%YdR^J~L)lHc$#er;H$mxOg-K?GXeR1crxXGa=F$?Oo| zfcGQ;I=!7b=B&qPFGA*B|9=7EJX_+RfSr6CunTVDrBe`J4A9g4+&%<+2{P|Eko?WLG?kOSM z0Hbi3&)D2M$L>XoVg}X zp7?dbJ*SNS?ovB6J`OU6&}~B01SC7&sT8m<4VS(aS~m&3lU1&8j2Wa{!~MKTj8qIw zB6E~|_{OJ~AkHh0`GV7;%__RWtDfEIWSI!z_UgijaN0u%cg)GcDd0=V!mS(OTM(9? zLay8v!$Cc-r*5Z!QA92ANYW+6Hb}!VC&PeKk`7^;JP_vwHPu+rWKJm^}*ULnRHu zWq#l+EL`SCuGb;c=eH0}-$$sz=^0XLv$*4mj_X4k7jB;00Qi*>2rG?#L%=s6bFS5S zR_{d>P(ON60n0d2!A)Rvfb+c^aIvv%;2T`JtiQ7!0^)WiizEvL%Nwu;`cgfpXFqdu zz%Pt|nagcs&%AR60VhJ{GMhOdZf(O1imE4iE)qr+Obl2_T-G+o#zE{LVQSU8L1AGO zaD`~Rfuy$K^j=i*A3=+6Lgp%q#+z78LW~MP{UB3yu{eckymgOd44@&_zdGr!`{wwRsL8PrJ;5|>M&x&EgNzet?nH%V=K$WZ6ayv>+s zI_}dIuwZyi`qvCwCpuYL%5YNQ45z%Dp~2Zh4h zDX&HsWS9e4^{jNr@iIH9Gi>#tDi=Ok8poIuZ1tR$C#be8NxD4 zOBrtTbB4w)&X9L-SZ!pOi@Wv3EEp7K=KnZvnzf4^F_xGx@QwcHsN%c)+xBDN$B@<3 z-~yc4qN9q$96d2Xim#3;#FcL8lI(V~tVukztJoW3VN{alF*d5eGw0J=hTn?$%!8~J z1{~f??enqZrDuJ>sg0**eUQsYvu@?rWL@j7SMI^AKf!Gat(o#sp){Yamw?SL;A*+qw!vBIi^-`-hkVy74>)Rwv)=y5K-xaf7fSWG5EwYZ6 zQSj4FRzl(Hvu+kfv+fYnWZn4uknNcDLdd$)!q}o+Pedu=`nB%Fx}*8G1T6!|L`w zxiQhFkkwr_*FjU3(izmdu_RByP)8!)$w3+Z7vl`QgbpoB=8<2QK$gp*KH#36)Cm4l zDnsHZrK^gi9 z9Uk{_UmPi1JQ)*x23e0;>2RA&jo?3}GMMUs%|CHah9{le7f;7IL)9@ghNAIhkoBb3 zqCRwVGdra+nAXWoPL`H34DfS?K`zeF>rnJDWcVDi2E;5FL|IB_Fsqa5nkB+P8HV6r zk~I$4hYB4gcw4SOhA(h$Ri_2R11?sUQW;Er(ZkNtQifqdhZlqnRod^n8yUWYtYKC< z2s@=RnAXYv`E(2;g$|Ce zOG_ChNSxsfp~JKG*B2whD%>R3ZNV_e#q6Zc@T`NSr3_x7!z7_YosxCWAwvdaO|;Ts zn1|UZmBF-s7{U1|!`niKcNFf6;@2UB3|~POF0JVP)OAo-A(HfzVMdHI_Og3~M3l zBP$&y%gj)z45n>!YK*0&zWBt+byyJRIy}~6IH{BCAnOw=9cD<(PN@v0?P3;7s`_G) zi!*%c;tXBa4bDY|uOVwu%)%ER_?V&88RqKS=2EPiBx^ixE(>smH(Jk|hYaf>YpK(M z;S>MAXISKBX{j&1aC3$gLWkR~zd$D2HbB-FehUWS)6#V?YZspebPOwFnyaeqotk}R@T7cvqPrDmO2WXR%WlKA+d74n@NwD%#~hHu;& z7qmFOVFYHq8Mpnm@IJl@h9xgO>+F~|o?85%lZDaZN3>bT#=L(4v)%$(_*8;vhnyFV zvE-#@ome_b;tJ)--S-T{22HaJh*-4#Yub$yMCui6f=L{EXOlpY?+4!y@ zuLXnhA9N7wxrh#YV|O~M*JEDGCooWkto;TT@L9b_C2jHPtX^UjH3=_WlHDF{TD4a0 z<#G}n^2(`1-;A%E;*yMPHkOQGb0F)W-$IgoF0Jh>H%2#`V@s?SCvip9c!P6M25h4V zhK(C#&M9Q86gsWXU$q=X@(GjAgJJ~6JtfU|>s#b5Iv>o>`Q;e`9&Gl=>{b;uVC+eCfn zKIO+|$gmZ%ever&#GU`1VHQtI7_$DbvxO2_=lrS;eC2r7U$K8Czxf$P%g2a@ioq9o z3WLNcySya&8MhX2Cw_rEv7VV$42-8eNEltLgYQ>h;S}&9Unr6FPof{;fZ5>Mw{Ke* zt#5;@za4tDIG|9S1LB*lSSBQl0^%zav|)6fA77-P4O0O{;Rs-MMZTCa`!bh7!R*Te z!E@^(7;QU7l*2yd{=}vj4FsPiO_~oz{Cz5B%Hf=>r$D;qkuL1tPW<^vKat3cmfAI z^xcE03nBX&r@4aLs_QaV0c$8M6Rse>Swb5|0JVnzToGg86tIo}tnW+){H{eXfPf*$ zu4@HY#{}?t6F|w%GA1zAfScSb9tD(QT){?0!0em6$4l&YBVZV^8+a`gywSxBl8jXa z8=C+&O9CXXywIklb#SY=M@a#j2*Bn(gM!)B<6F+PxeWm$kloa(jlM(3;aW62frv9WXVCQ7 zl%bQCd$CJ`L7XhA$M=qS@c>$iLUt$F+>7|WQEkR*J9xyW4O2yW%&rYnz4)|O8>Wi1 z*2%&s-~$o|>|q4lFfCqp%h_cJSOVD(SOJ=x&CE^~_+}KAG4mq(PW}o7#kh_Fx&&Zv zBVcwPx!=+W>oD~W$aYx)ioqVqL{)Hz#4;ygs)9ISnK&3AdjygMbHHsLcOshF4j$!K z*V+A9HGACl`0Sx+Xq}EOxPx2t1u__7%Pb@7(^AN zB0V9h+0(MIHya>5x&QIHnEG4De!@y5F)AmSP?5^|u-LXS5`iNJ2gFxA(E$910zMPx z)$Ccx2sqT+xTM1tOuY-T2l@1BvEIyV6{jg+zQi&nFlsw^g#`&fd~ps7q=3)6IN*q+ zYBn;~@W`=31l$eT&&U58AikrAWy}FJYdE=r+a`KhJPP2@lK-`U-ed<79|sld-2s!UOf!+ zBEE!(6^dl6w#9dx+Ay^(zN?F;dU2*l8;|y8_`)d`MgdZhfcla_a z#R71)gBj$2ng=pSmB)~Yx}JP{2=ZybgZm zF*cHAfsu{k`w{Q}zK_jsUIz{CR9kVrtj$;j#4a;&utvhZlfME`4EiYGmu?QYGU;r# zEU;jCxA!r146>Ko%mKIQ)lvZ?GRvG~tO7=z+A!i`?I8fg2$TY14N5!>n7!5rxS^Ws znJpWiMZg~++c>k%`@wH{J|q*h4z_i&Kn`e7TCIaeC2c&l4vNb;3b;W4ZZZO9zv{i` z_ALVu@E~Mwv`}zsORqK)3aEL6o&)0Bz_jsHz>|_TOa&CzmJ~3{&K1l_0$k*1S9czn zqxcE3vn>G2+(=IAU{t`fv1CATp-Ta``Z-{M-OxzT#qOE2&m-U=$j*0K)WP$9Z6>OV z8+)~3v<{lO_-|c2RY7r~O963aoYg_a2$;Rd@yC&O1|Z;J$d0(o0n4gjVrx|bPTWov zOl)7v!c;(U)lC6+#5v$@DOthD`r1Wk@d#w^^qB+VyV}?x8c8P>L}4ryOzeQC2NaVs z6!1Hl1MW8hVj~&VEb|@&{28*pcbfy^TkP4v0nHi-zCKPHPgSr+OdF;uSVLi96!1p@ zcsL1gkz??uuYHYxM(u*b20VyQ|sVjuQrSVCiYSx;aow@N=*v*r|4$RD~3jreMb2x{5WaFzd-gm zi*5$r`=`xV1$6keVJhHPx_H)r9o;M~1-!(&85v^9fTIsSHVFYwK=wZt-Ha(vNp^bP%NIH zfK?UNNMyUy04QJWk1pKZ1p$8pxthnK4&LR}W~{onqhA|F6*OE9tAOGLB(H zu%?FtUgtLg){#8zM?QdnCqcf}ZVqV3m;&Z)Vtk^b#`iz6KmtPn6B|#FZ~@rO&f-zP zdT}<9C#Ty~K)m!#-?QTkCc@WdUhmU$u}fck!z8mqY|~3$P2ow{DmR)i%q^%riJ0)C z43ZG(Mq^L1KI&`|c=~Fz^gGB>Ko7%)e1_RVRV4k~8TBH*2vZA9MA9BYq@KKR6tIb% zd$E}bps()}8qgJI;1uu{JNM$PZtlgu_Qww+*J+S% zF>rA&3OmA!qVU3tvz#nHE)u?>oP|+_HbSI3lG1uSVOuc=Gz=%zYNnqy|OG5k}-9$<}qlYphpL-Dwr>` zv=p$vk1P0Ol8f(+k9{lj0RsL7^5YJ31-I&FQ&bn@NHB45z{D$eNU#7L$K6T7R6ud* zP5}of9B^>rd?0SBEONALTm50Qcpl_|9&kINje%nDBPYhhFcXS%gvDxkQvO998kIpFxj$wKSm2A&QtA>iL2kM;dW zz}Y$&6>yG}1gN=&qkykFIp9Q(!Nu~Mfg$~8k^5$sKz==D4!Hf}m^KsYVtmW7HcYL9 zepwqv0TVlOl5pNg@GZzJ9tC{c%>k##20+|5+A+%p1shJlNZ2ZSAxpE{}&g(qRwqq;GA zhFRid@quIzN&e7#xbq*_4$}bSS#EQY%(gDVSD>?k5*W3ihGW_=>cu>hv3b6tuy|CY zIii|<5;Fj9m}|SG!+{$SFb(97t-89}x{OsXnw)M;_t9(+U@)X0YC{!Ms}yjdjaRcJ zHUr?-Y0{CMH=qez;3xb2<_el_^!XnEHFF*maGA^jmm9k}d4;FwD0wg;ts=;ud(8py z72@n5Dx{=f;`MVRy--lxAfbR6ZVtHGYfumYzn`%CH3X~#@>kOT1HjcDZQ)cGi+f-c z@M|vz+~`jR>^8mc9SB$%v5vOCrQ$D>LVXgqV*y5DGJu9xwMD^l%T^Q|)w+DIQ2@Dl!`&L~%wH=6? z&=l}nF(%n-1eCw?wd&mN2?V?nJYnYwo^%=&jC&9EuQMG1uLAi* zz(T=eiZ&CfV1Cf44Wlkj?DbE=Ssi3?SQrJ&7f%mUz|#&6c-EH;SU=YFECN;s`LtpI zc%qDe`o#=HLG8g?alUwzlmea$aKOID}MKpIyf#)yBZ_P7Jz31+KegS)-U|p zFct8EM;k@~O>YSd)070mCX3nL0@4-S}ro6N!~U`Hnhyw`7Z@twX2wYMKc!0RBV zW6T23&Rs$>u?BqE&oX8TvhU=t0POE!;S{io0PJQ0`0m_4-3VA4a=KUnUQ4Z#m_S#FtiRDW>YK`EU4qs0X`dA|1eD zgQoB#OdZv~p{oJvh)UePqSb7O@Zxh$gGf1d`Ud(p4n~;zkTb-qhhg{43evgiBxCD_ zD)xjR@hrWl*&~Po4v+Dk#A5=TtxPq@I zsu`cFUH7AF(z1^CBA^60ugc~wHr!=Y0e3pJFe+eLOdDqH;xAb=wI_KSvo}_~$?kfU z2gmV;29RU9Xa6S-W{4DCnJ}9qr#DE2_x4G`@oC6Fpso`kTca{ z44?O3xSC_3)q^`!v;8pTnkm}s`^Fl#evjk2hDW|dz#AdQ7qf8azn^quaO5us)Lal# zzz^NL#wG39AE+b`I=(21fQ=w$t}O*X{cI}}61OzoDd@Ul?A&xC?sD26(SfFoMB>V<%fA!nJz z7{1Jaoqa540oc{84WoeDP>{qy0auEPjIZL!fOFRW6-K}&kh98S3}0rz2X&2{xR|}I zoA8J_c-~LGlY;`TmU$iAASDAfUUMQJV>X2xt3CV64Cu0}*=k+fThfN9E*8^Y6mX*$ zo@BX_0UtggyAbeZ$k`&9Hj! zy8a^|&dVhZQ3s!jX~R?nZ*a3P3RvLhE)E+T$%db$cRO`!gn-Qg07W?lA)X zuH4huj+v!7Am>+$Q=J)L;`Np!6IKV=YcN@u+EKr(kniLm@hD)yD{27#O99V_R{W>Y z#W{c3A3gsmxrKTwaDv3bDd2gb;6KLUR?y}h_~}Tzhnm(J zaxPjZn0N^>F-kI_gN4M4vsoB*asEap`A!ZJ&l>P8pBhV5FbDzW;$Y=~!NmwT<=nQJ z7_$uoE4s|PnZ#SCS;i{hG@a{G1Dt8s0bZ`K3*BI~#F+#ybg%mDuGYxa7J^rL^j!Qx zSJ)wfs294T@Fa|0=xR2zB4KJf5EJRdi@~e77lSo?$s&C?@HJA++CdQCG-~?1e&R*e zdXYZh1tl4$CelYPok%r>7wedK@%gt})J4Gd5WLRHi}M1^Ac0Z6xWK0kOAXMku(VXc zdcup6S5*+bIOez6?UCy?2;N}jMPY|Nh!zUTo~C9ozl` z!rTtQh6WfuU9lw23ME8ZW+Kv8c5OWCw)mx=#iM|DS($k3C)mO`XbLv=^qM^FA_Cq4 z!JB1^YPK@Q3<|)n0@^Uui)95|<7H_npu^4EVjBUfj;gq&Y7Z{s%8c{LCN) z?CIu!51RnCsC~^k1iS}=|Fc;DDlukIcyY&n@Zv6+*`t7uxVabmiMIIqQ*T|1Tuun~ zHE?k+3OmA!qVT1AQQWtn4E;r0eA1+bnA|oS6U|s4zL0|7-I&77Z1m^VX1W%M`f0l0zNBqFFtSQZSf0VT0`Wz z4}wDtT-=Moj_{%=eCb{kH+Lw*^FChHN5+zS)K}B)K8-M)A!s>Eeu9-r)WvpEb z0w?;9-iUzRA^34zFA{g&)m&^+czS_hSkj{c>SyXHVBxh2gW)1f72nOsHfE`#K;xu4bdQKHA zY@}0Kb#YT&S{3l5%mOLkI?;h_l8g#&_Rc@|@ZAXbKL~EHsDlP~(mH4g*n;It3!(x# zbYUvsX*&y~fLV^@#v7ELI@3!*E*Au|tUQ~`jf<+69bmRem|FF=#I#|AOM8g6(S`p) zx$*)@T=-t|)*XAH=xGl_aI4G0q52!is$K(SmZ#v-uK>2>(!7S8a)sls}D`x0v zarM(}&8{I+82uo4I-UaHqY}%6^&IRw`Afnq8$e;ZIEzaG|8^%iH1ly^+!4DSxgLYy zC0hzydMB$5Q_o7c%!f(W`L$fR6=LippL?0pARKmWXV&j~7XkZ2Zbh#JpdLo;+IBiw zCQLZU+p7z+6fXZSFH1{=%dM7tD!^B$_lbY`JaRn_xmQ|n8HQ>qmwu?G3a9V+RN?eJ zA3b#zh@~o&tGdF4yVh2hQ@PMZX?_NImKTf;8Tz*In4ph z21N#FRk)U15Y|+o@GcHR!c@GK�rtPXwALf2#9MuYJeU6tS}Vtf#lv8Pp=zht|jA=L6G~P%Urm^?z-AVxe6cB zRsWQ@G#mO*uATud+%xfH&tBDbVs8W-47m?m0Gf3wCRbWa4Dz>B&uX53pnyZ{9PoLU z0T2~TFS>?ISq_2Rp#gIR%NwvzOm9%Y7d#yBMUN5iXX%P&eg8thXCc>PHwQFy!D`jh zcfqN-Sj-+!z?b7m=Q9+p$-?uGBiB&K9pf|SGF>o{JoGHHXAA!qXK^Xl1R>lTicvTm zdOEJ}gMiOL?rRpp6+X-jk}5@o`!Ah}W<3oBoFo7z8v(J`+jV4m0|Xofxo=qjnkuLt zZ>Hqg!afe2g3|=xOuJFRpQWu?wQACqwMeP zIyVvlhePgcmqi^kJ8!(gM4&whQ4qHKWfoX!@3L)(#NtuFxdL#$5fGo!YY`YR0s&uu z+>b2)x1S9#gS-xI-4WA^VE>UI_dBWI z^fvX7{bk7AVb`-)h280wo=BhLa!T<=t1~adPvxF&O{KdKE)TxcuCj`_^*1_BZ^gujt_PkNaj7pK<-b5O3HQAKQBaeG&Je|uA_e3nVOD5Goj-sN$8W%aqDaP zFskDemxzZt{^j60{*`DjWz=z6%~3JbaV+GXG0Y5>remJI=lSnC>Q79m=_oW4I*yJD zeVC5Zy}B@}qt7G4uvt`bG9B}(xYdq_>zH>1Y0jqY8k_u+_M9%L<2cBx5U^<1CiO8- zf09m3M}0rBDuC_F&?ls(qtHy~_=;2L!*u+>tqUVM7HaD#zJ&cPiNAApvUg_NHh-ct zuRz{4hVB{?gCq?$>0Vd88nsUO-V~{L=5eNbpzbUQnc3( z#dGkbZFj^~COd@h^7k0{N<}C-gg^h}gHVaWehPi-?Y_L`cqsbS2}LKcV{&`hPwNK8 zuk7~cXHaw+Uk`Rkfuf6EV*a8XiZ1ve%j;kfv%IcSN#MlNPE(<{F{Wya55`JfmMTZ`&)hS94-_}UyqY25 zVXj8#sAG(G-#t+5a6oY@By20ORK;EFMd9EMC~gzL4=(K6BZ|By{>_AXt)RH8f*&`8 zVmzw5BcyTLGi;*Zt&rFt1r4@kPB0}+>mpE8_YQozZkw{Efn{`y!tpH z^gaJ6v|pLvb)-XafBdPx6N;a5bAwOY!-IN$1jSFr@#BSHb&e6N?w@ph!Rt^w0P`B) z#+L&6SgLSEU$^b6dO`8CKKvlg^MY`=WADLN9)#jym};0Cf_>sFRqzqJqh|db29Mcys_iNH|UqzJi<6#8yM`SWGq63t4?!ELBz?PrsV4ltA&T znAfYQ;b{&ZI_rIWTG5A4Jkf_ABz&9yR6NPxXGF=K7b>m9sH;wgl@Zla8)m74CW--6-=b|{|jhhn_OWsPI8XURc)Y`56&g5pInD4OFWHkLY|c!>-vt|kq= zc$o~lKXk&nr%8uaTqMJmu?htLmdLlL7e8or2aUOt{Lc?XQ|Px{aVXy9h3sK55_2!c z+>5{Ock;{+IPP8Q=?29=C~4Puu_1kLClP;CKzSJ>AC2>f$NUGzyBfqH=gYaI(1UD4Nd9jOsmtL%K&6gNt!z}QpGY+2W4{7nVPn$sTX&H?ol&BKL z=VG^3{ctW6|A{~SqCoKlOrGdTF8VjDyS_38d7=2E4~qW@u%8s0drY_L1sLQ+qb|sK zl$2SiV8h6`xzRD1e5>Sv&9}->%kcF0nv?ysZlHhvQ@m-=Kxv4{8u%fyOIX|+ySuWz6(~2MjYjw;9du`+(Ahh@>-RrA6cpSXM`QDu}!;vk#vB(Qr^6K!Xq1 zA^17ZD`%>`f^uOnC_T_%4;Ms=oy=gQ#Q&W0AZqBcf$~2eWYzVspE3`+f9^SW7%06l zuU-m7PVt{2=ah%f9?S=&FBX?5A)~Ux!YMtApZ|KzSnoSyN){r>rI3zs`@D1Ii>fC~w9g z+{?j!3O}M8pHr_sD3j6PWCvu;!Hm_0nWNZ;&3D}h%G4NsJP>}&!+r`sp{$uQ0)06H z^O_!joK`$l)&QydlzIiA_|Tva2}g)jqZHS?*1JG?9}T|mfuP&T3Y*0Qz zgL489c8gTcDA&$!`Vc7d+@Rp1#;oQduhy|!eV1dZ1(??Y%9Z%pnQ%7KX-uKo&O|v_XoE>b`2=Y zWBBnvxKL;q@wMyI{Yg+VFt1es$o`V&l|4q@_U6dBpsYrNtC4VBoaeRCbz*qV1W?wY z!F3+U9>sske$jE{mfc9W5e;q#K+$SHOI5T^**DjPtARJWLD>|C%#(KZQ_gr#lSc0~ z1SJde%5p&DQBD}?@5^rg;wn(`oS@`lH;}I&qO#44A8d(WBj1Xg5Pdxcq1$C_D%6CyVR*iVs$ z_CCXZIs?jnG`Js6$(crSyXL!fpd3VlKgtlfNu;{j^~dqpNl*@Z@MD9}{R&U@ zpuNue!uLQqig_KyygJ0$PmzwUG0pb94$28M_zMzt7v<_H-}vc@H$XXw22a``(nh4Z z&DCVzFO5Jsg$7SKA>{HfgQ4D@dbMhH1Lco6e!LKAAyPS9EB(*~l)o^qbC}m7qFnu? zUml!#2PhZO;6*2d@%9hv1O8Nc*=u+}`3DXDJ@LKMgAQM(O_A{?VA>e%q&mt!D$4H~@038A5)T*H(brmT7rqP61q@j`f6 zoMD8QyQU4gy(2{H+aY>Ao=NYI+wk?fk`F&P4!_GzzBR$mP7j2Jds(v3NblGaHjLCV zfFD$9T7dl&o@uYS<&&8Zb;R+5N{w=`pF*P@EA4lE4AHg@h_;a-JV~T_$3A(@wk8n0 z4GrFg^e@MFs#hczUfV#l0~+jr^qc+cr*O7!`|!J3K(r$oyc?Aoudts&uPIxm)qM@3 z_j>SSgD_72GhZ%o^_;)+2t+$$UY#+oH$=JK^fu4iu^FP>&|p_2>?~4sjnBQM#}5$g zjt0BiAoP}l84SJSxb(z3A40Sz8tmzW@Pq*SDeSdN{SQeHeK?LEFN8!-A9~Lo8oIj( zqK{x+eK4<8BCpl18FP;P4$*#SupbioWM&XwHSl(`>n=j{aWwe27s9v5*Xz(c+LLYLB(A+@n>FrNIbf5=6HV8*Ws-3>MOY`PKbTH;M81tHEX9hzH+%LVA z{wPGBLxV$QC|d4jKNYQ#-_6T=7NWz^;BXs+7Kv1gkN!;uE~(|a<0ToA(9 z%Y=xbfW5-L%T_@2eKa^*fyhD|`zf;6U1@0X{Scjl2Itrzv|6NECm$F$`yYsYj0W)r zS0u;DQ|0-;X+LNwL>Jf~I^PGO^&a+9=o`=S^WUS0e#~nT=JlD#>kIdQO}XztbO{<< zf`pqTo+?YK(d)b$qMxI|Wj=^(5_x6%r!;zdFGQE4!7mjEWh*>YF803H9EE5g0MS); zh)k5(Pm#B!2c5&w;MzETyb#LcKZUl*Lu)^{1EL!|__0A`rH!Zh%KhpGyS71e6B^uv z^`=0SE9{w9@#zeRW}?ANFGQwrgOTadsN1)kglLWfqOuI3B8eFcMWyf0_L~CHJj^Q( z`?hQ^`>7}x+kg83?Dn>yK|B+N_c+*3;qQI!)<5|n8bX7GSV!A95iweXHi~QzY)ree zoiV(viC#(Lh>^S{0# zq6b|N{V@iSr#MGsfbYJIm9K>8VKjIc4Z6fny?uup_N@ldqY8f95a|}@dG+wwhP{AQ z>ICNXiyy)Vc&hM0<@+Ob8$uMXv!W-_;B^6>s-At~EsYyP^b{IA<%aMPE@JqYGWpG8 zT_JiF4gP_$oTDx5FBkqVhR;+HaY}4i;!GwKSN%RI1Qun%BG74pkv zit_UHP;#9@TtouWY_M^xm#(GJ$E>`6-@S$Cek7>vWHINuO=4CW+j`+~D7hM`ul2B) zc&$YCkJH<%*du?>pI8wkQsWXa*S&0Jvx4HNxejYH7OA=~5p%<5l8McX|*nZ&epuGo1Iw!Ro?g^8Fr2SU72*H30a5@A8C zM~PDAwvo8Tw%=Y@o0k5Ahs?l`m|%mPn`D!imAh1I_iI}E_c-Zu!OLReQsQKrmF`^g zY=yM+J#jJ@l!%!-hqG&Pub8p4dUjg+E`>}eC1M7HX1P}w(df13($aSZ$gC8z+0`s& z+M)Ibr>CWt_{h{&BIcG)&2opPHA{-q(iI1pA4|l{EVP@H$hN29xkI2_5hqh_iI_P1 zZo^*hfRD_-lLKofR(4dd=oETwyo-gAmXVf@*F4xdNEj+iJD0TdL+GP)nS^25ME8@9 zwWxk95vF#$n-{rB=S#vct$J=MsqewTHH}9+MutFX=|_EJ7?g-d2jOYy$MLMzgapyF z*^{1L>=wyv(n*I&XCOn|~Xh1`N6>Bs>#_IOUhm>QT^ zx`wx3*ntI6p991DF50k6FB(ZWoP?8jJ80NNJNZtIGz`vNE0%0rg?H~)bkIDf6D;v} z$jH6{W4;E3+wfkO86e)QQO&8D?DFDYyi21E+lgO{Fe;$FAQTX9&mbugz*EE#Zqvy1`+^g?08X93uZmskKg9RD7$O@O7P zfQNYPRRmr057os zeAdS@Az`Tj4&(L+VD>tp;L9d}x0b{{N5HqBaF@#ha0D;00303o_kd%$J?i3Vybfk= zG;wjA<1b7`z_+1r4>lB27r)9&EC46^{ypH^c9xa`-sxd3&iqtKc5&C`+uuXL$x!%R zzyfdzFR=i`G>Id17tiK}qb_d8UA(cru^-IZ?|7~A*To3<4ixT-Spcf%Qo9#TI)~yG4)o-NH%VD?l696F zu@XZ7mQ7ZGgsDRX7M6+sA-7G*cXCi|hQ(OcUrlt+ePTpa44(>xvE=ST&3dP<)Z1t_ zyW*-rG@mlgUN1{aaLAo$x)HSD(_yjy%rM(MsYP*b@M>WKZ{F^F>&)j{$y23!lmb-1(7YlD$t1{5BZEp*`3;a~VdoX`ma zS%Q<$0hi!#hMQ~d7={cpq42ohfHv>-mOlI@E#PN z@LDja*KWj4>UC0#yr>R+T#NyifN+L4Ti^HzGWekIH@5|YdZqdA7{qylFpzaEtWG{+ z=M2|(9!zwY1%+{^1;alWK|NB}L7ZGE!*J0qhKqLb^QH|yBg1ScJSCYkB(AOqMd}P< zm`)k0d$|tPMZ0+5t~xW3;e9APW3ymTuloKSgBS%72C`a%`Qosf`(p0QXBs2J2T=G& z%!1)^iR4d?lyykVgpjm^fvoRHFyQ(Ql>yHo@6@D^wSNeOf696Wyl|xF5L`S$Y>yXU=!L71IM>k!A0~RuhtOqq3%y*-OgZ%vy`9V;tzWf; zUi*b!_vKyfMy>@As$*5}`^wBt`RIlHQsSUoy=0~ruBhUA-Bk1E&d9Y8LiMcb{gX~+ zr+m2FUS^NhFI=<5+GD1oa^cu~m;du_$h8PU^$qo&jY)CQ7qdgSEXT#BefzUcmX_9~ zu$M95Dle|h75h&eLI%8do$j-!OT)Pxq0LAyvt2ruI;%_Kl6dMlXM&%lrCd{Dj0@M1 zajvRUPR&EE#Sm&BTX1-8dEp~l@rO?#bYt9tYqFo& zDIcz>F=mf&k+pCMuB-tP=c?NDzQ^!~B@k*XS#Zsen4R+B^7)xP!bMiwu{!>&gL7>> z{{G#_wG={4t@?!bW6VzZaD5~(d(@B3g=oa@HfhZf@xpFyaZRi7}=&Fqv9*8)GY zN4aj~T)1M8bA2@D8&dC=L8yhHPvGaA#S*hqK3q%P%pTz)YZ4QF#5IYWt8dT0ha=bL z5V|F1QNKR-GdtzOwLHe`5iYXoF~OBRM&?{UUuuu{Ytz1f(5-e0t_+FUDIc!YerAty zjf!)w7e&4QX!_(Ba(xM*Hckt!br?ZC%7<&C#Ox6+vT`$_7p~k?^}=(`gmtS(f4>|; z?L2xee$LtKW_Add^*P6^Z_o0xw1k1I^-M6}T2Jn?hdSakh%Idegzm8E8F(Gfb22+Z zn{8fgm^D|CE^Q_zA}dT;TFQm1Ng0<@w7q|3&ca{QR^novyR=riP6h3i?}7JBXHwblEJ!t1#mrK;I-lBfpb7;>P=va<>g!hME$zuil0gST8nF=WpgfEJk9Ks z4_6hvUeAd7HAK{}Ya2g2AGy}y>T8Soh0C+cmrFmtN7ipMKMqUy5zjfH{FT@Xr+tm< zyAAb=opW#jxRxuWz8zPHv$V7>4fk?}kzQVxu59117BZ~I72#Gst8Zs^xX*A=xi-v_ zE3wLj#3gCzIS1F7v$T{8*OW7DMmspy@}{TTBG(37bMCRIOAcPX^5JUh&~d#S<6N(Z zKB1p^#{Fxi;h4 zf2;b{otLkC^ywUjIfp*BX1wvD;>es_@rhEBtJ)+mkCv!i3VB=iZ z6e*++&4kb_n?=3v$IDkfT#xf|QoZmA2v+as1~}K>?GJ20t}J{)B5uL;G%sKIa1Hb@ z{VCTxJLg*9=3KplcVCZO+4u~G--2s!IqUTtFDK<%B)AsKDi@w}HnuK!6S;7;{vwy2 zi=T6b^YRhBQtR7C@-k6|B@)+WnTKoBBz)urWXQqiLIQdQHh#f}N|?sNXX9MjFl(+i zbn(=4&SaL9-e)3Dmaw|CLinuvut#`}c|%A5 zkq_LnkSiBLs|-Hldc7wzJLSXmzLVLbT&o4wxJk z>tmVOqg?AfT(56L{i@b;&2r@0iqGd*)vpE2jJOYgk1nx5!kSwB@;g~t%C%YITv-zL zKb8$3rIC6!G$v z&ZVyUC)$#D^qhm6oUns(eJ`}xuW&B=?R0`|^`F|OB%80Ts^p)EN_<8zwFB@4X{^YWFCUgEg}%JrL%bNw#r*RUJL9YwAv z?%t$Wa2-`x`O1guMy@~QI_>3LXGQ&*HuSbN$W?;-4O!K%6ZZ1un#lF1T<1jnx*+P; z-f_3?L#`dTv65B&I?3&nk6xn#telkVVvOtckEmbKtB!0(uALD2+p2z@Qkb3c;S!IH z5H7NFRbtGHJ6G{~e`qop!lr$TzgyL>v-a}k5-ary7ukD_aaDGzTzH+c&^c`ga_xd} zWv{;8vvUsa5~by`KIfS9?V5*;s5Vy!ZK?@v{53urfegDLe1(NJxZ9PMVOszT6#c7g zT#2X8NP6OLdd^8aZAii>S9K@Xrba>=9Jep;H{}(Kum{4`4cf4C4(?<|Y>-3LrT@ZJ zh1;WC*Tgv&*+!K-h=p9<_OtxRwHLxQ9eST}E|)@~%8je4%uo!;Q$Ad^ zoy;EfV{_rhTSWcpGU73E{k0#$_(Zzt{T$r4kJ%|7u6n$jl*=Kw+PHYVfB*Uyk0aLs z2s^CmR~@c<`Eb>bv2s$bwgFzhZWr}yX2ZWbAXf~+?VJ{R4O5t%^5IICnLWyNhp1n7 zCH#ozoL@?6Pe-mFA>6@Gzt}maq@k19AzYT{9J9W?2-hbh4$9DxKk0~@8mKEE9YY&7uh`S#oJ8u`tL$*g?1_{hA{6@A+(}wNDFYIx35>Db}dddI9LBi-GNVum0 z3#EXaaMwg5)B-*@w9*9x{0YKc3~FI-uL8F6F@pk7+_@!T6c9Hk)yA^~#O;1q zJPO#|#{ql#k`-K8^OlnccnEiUQk1#u5K7LNk!FD%SNIsmRMQ}I6^2m%0$6GdJf)EuxUU_{gahIhzS=NL1#ts27LO`8NdUfM0{Ho* zR+v}X2?)QH3ZPgjB>>CV&EU>)I>2cHFzJmxc&e*5;a74$=~oE9n+l*2U>XIlZ9or`-rStbH-1kad+S-Kc^(qw6=i{}ajlU`qr zfbPE6k*npC5dJt7z=n2~i2$5ZMnJK8o&qk4a|M^Ul3VeDk{;v|EDmA6FNH>O(vu8W z#%>086l7^B;4%TY+yt=Isgi62{2judrvkWBrl6A0(kY9@%<6- z6ofNU0Msn}5-u)d9mE|Eb%5&x;6@X`J)1J8A>e5Ue{BV5)<|&rCvgZs@nmD^jbvSn zrKK+3?B{hb+m~DizkKj{vNYoigtu4$nswAixe5ZXjH4ONk{t?|>)?R-CV-diAGins z|G@gWFTGcoeWu07gsz7X**_5%4VT;%fzH=3=b3i6dn| z+#8ssrGQZZxYGnMzh^%(%lap7s%Zsi;$qyvm1QCT%UB1aVigDl+#>+LHv#NEZ}S2K zJcrvRS^(m!CbTg0stz~XWQPDOYd0fqi&4M>0`Q=c+(@$CtVH_3zi|7*Q~bo zZsw$q6^;TP5hLnjCV+1?U5u;j)6PTqXS;bLF;!69=oElub@5s55eoRLhqvOm319`K zSrY`j0O8+K0My(C<$z@!u;Qx}bPApkfPb0*Mr&S8W)?0&_zw#}+$ND3BrxjC!g5`h zda5g%Jd0le;m{*NNy-w?i-3SgF>Ws~0yt{R|HvxWe;{&& zD}_e#zEcaMy11*N4YLLmcatfgT>#cJ0nDql7%$u_pww5V0w|Ub2?fh~E*7_yDd2Si zu$~EE!!h4ZM?io`?Nk88Iu8M;Kf72fDDMAJz#9Z$LleO3uejhqz%+>9?uO=gB=n+af(Qx%&cU?qsOO$AUqk0}7_ z`&h;#%(4#R_Wdj#Rj`8q>}Ue`)(5|JLcq!pxib~Ok0h3f0IcBBhFJri&?|Va0PJD{ z_(WW3g@Bhqq*E$@liXSuwI3{FM~yFbU}>p>-2`9{UveY)a(}(i2zWU}9fs`L%9W@se*o< zi2y8PBf)*ob%28f;7}uAS+D_+P$z^b?*w*{bKAWFxBxI;F}gahI}+1fDDirJ>K zB%H)k?=&@ZlJDf83Jw>59x1tz9KC-&QLq|BUXaY|ps9;j`)LmN4+q3|>uJL*yBW=M zZWQn(nKzQLCV+|?6M**h@!09G{Z}hqjSLjr@8X{9u0Tj=42*9$sI7jbdd_;f^`QLXZH z0oMw^_5S2Wl6J#_#u(!|e0M`CfFpS(TtUMr(eg}EGC*SLQ@~A5?&3@nz+XG=sfK{H z@l7(Z6dK7R4weZAEc4C8Gz&iL^om2q3nE;k`G=meSiGu=e z7l0un;D)~b)rIL#B4Ay7N2L|uAU88e)S{<4eA%fsjGXGU2Z?9tV$J4-6tGwTmY4wE zUU~kv2v`qa*O&^R{=e=E51)O{gRN`@PII?_ElscWA>%+aRyB z(T1rbeQ~>m3U|uGJ$p_`u6pg>{Nxw{N)S11HwVOb>S!}j8?XLkk(zLQWoC~8UgUuI zTGM2}8S*_51Z)72zf%F!5BX99eA1}}ByWaIj1h`1GXczS4I^!%Arw_~rJ$gG@*p+9 z2fRAKD+J(GCV+w0A76!lH$u^ssQ~Iv7O4T=Vb=j(EdZ}I0UWvOrhW+62#RW?0;nI8 zrUuwt(E-->B{kln>jN_uK65>C-2_E-9Oj-iEdEp*? zIvpB-Tut!RUy8Y2W?Y62kaFRhVavuPCfq4ki(?juEUGUwd_in^wtm!U4D)PHGq6QW!Xnd)^^9&iJ4wZwOJ zr=pj>?WM$}jPx>XDOc}6k{_{t)tXa@^!K+wQ6E>?{b)ZvPKOzuB%?e|$oIr5`iqdcX#y18H7(xM2t9)#@CPJOPpM{2lU*K$CLyMIBs z#@b48Wsg@DF6|ycu4eezO^-fTQfF!uU&&AF&=a1d7|;&j?>neiOs|PjX|Bo6UGo-_ zi>Kz0J*f;=cS-fp6P_GVAJJ9gO5ATL*Z#a{0@pMMri6$94d{N1AkRGBlJq+3N?f*W|bdF5_`g?|Pm-Uouk(D>- zQZ>0jACjiGG7Ut)mXM8~#@3yKqPvF%+-&2SaBQ9YPtpv9i+i}50xp+0;EH5G{EpY2 zTi&gVfR8|Srb!=Aw`H4442S{Z*VC2wG`dS9T|dy4#gDKvI|}%%n**-(Bq@l1(b;SK z2-pg;R~t03E}(c)j{_zhzYGCAKk$vCfa}H8@DIsDS$LKkY*4W=0=90lc79w!R8RpttAO9n&*H`u;?3IW?dwrbS})K&0RD{CgMVA6&dDu`b`XJski zPL%`hPCiXS1)uNKV<-YX3fVtP`ha?;BiEv!=Vv(qaG!?*{+bMk3f|JFs0jkvAv@dP zIIF9mm@>%~Oxh4*1#jj%6H#!*I4k?&+=>bE@(M=|B2HV#&UGc~1zPXPwvGHxi+|+e z#V5IMoBhI*SiBu%=STicadW!cZmO6D%g@>2$0;uDkL;=r?T??*WbKc=5=%K`!QHs3 z0tMG8bbmIdXF2P?`F=O7^fAc!zlizHJhF9vLtde(aakZQ6q%Z_s~RR*A^#a0-r6!t{@MVO zED(*L*XJooSNyB11-5-p{l6%gtNn@tN)5@T=1*44cl1ypCo4kM@YEoGniIC0qhxKS z0{#OQ$obaFD#%$YHGFT#P{{uRv*+SQxLm|=ffE-TLR!Zmb2eChoqp~!$X{rO{I5Ka z|EP%=E^$Eq;t1qybaIcI)f4Y+yASf0MQ}l-N7#vBW)v40a<&S?ZO+Vr+m}NADj63G zRa*sb-f9ct89^|i+Apg544f&g~ zLVPME?+D!(e2rWFRyXAAQ@No>-Fo9>E9Cza!37!rpjGcg#+}HRBN%g?e+S>F4*9!f zT#&INt$LpX`Fo={vDrp8ZGRLljI}~eKF!NT%UskrsFFNC)}4=ahh0g=37zm zpc?}1(NWmNvhs`UTY7x{7A9HE>Cf3fbtuHgK|2H<@IcN%H#5vR;-0bV>9LT1+5$gp zQy~A0%Chp$I(o~4u+(1;$PX!ybIifAa!xoFJ-+)r$iLtK|0yfvUqbI>r$fenTw_Mw zi#1qEBhJnmqQPiP=TnzvU2`Z z_FMNg0RMHEb;=F?N}SMN#jzu@VLteA#M+;R+WaN)Qs>>%x(s<5{MBU0KOX^q4I9hy z-)b7ut~C{~h*sy3IHT{@d+X(g8VFcqy;h zBzGOs82oo)Rz)}X)1$nu`ts#Ur(XnrJsF*Oz>9YYVrqCRC=(ZNdL8@?Fzdz$`0sPF zEdTwcN!xE94E}~DENKDnb$q+Lm8I*B|Mmpk! zgM~TtS{!S(RX7U%hcL_P0YAQHA%@|9M9rJ~!e`)bDWfwatR}XrhN<6-92@xCs7Pr6 zKhCINr5-b-N1Cn$e>)SFM8aD*hPRgTW%u8H1pgD5^#Brf;934o_TL?ivcTWbj?R$q zHnClINH5M>SX*-KaDmp{Lx+=@^rdxXNzO)AXT`{YT1N<)v z!k0`tN+NZ^-`#{I9pJ4eN;NPIKK#=K@b|>5_DI-E5K3~+@UxS^-`kGPknkR!%|9NFL?_{XT=e*?E`tes`~ z#@VwT|NbNJe~1ppy1?63Y*$zJjNwh);2)2roJcr6%1cdjA0KnZ4t~7a^-si7-5e~- z`;x=fb>$%NPnN+y0!w|&vwTzRK541b6sm~nDp>LMyy(%4Mfq%Y?iv_&>#CE;z zZeRP`55eztBc%m=pL2)4xl-HU@qXZ66v4#_-Z!{I@0*UzF4t=Ce~oob_keGnh1az} zjoQEX75vMwuH{(QTPBv}9b!rwcwY|qS72Rpu$0@$vV4oAS_69@0>6TFDOlG~j^TYr z>AH3Y_M&S|NNEG#5>eOJ>a7ERyBGXFtGGD8J3?&NDCt7yE5Ct%H#%I3b$ui1%Cd$A z-m?h&*;erHQ^5Nkcj*1Vv9jy8d%*vz9VxM{6&_Bg$UhfaH-O(KD!2?h8hn_%0*xDeMf2@z^}xN(|57+8HZ&X9~l;%5Z=BE#NRV46xJmB4J=UIEB?@mP6Kvg{QS4DLeN<3?cW%ACU z`4Fh4Vgul2E_L#(Ze#?r z;^0{)?YGW25`{oV3j{h~UC}7d`qLeXTwfIePuq|ZuMo^mmgOriIqn+uG6bGgaKXC% z5)RLsmKDBv2cA@UkkSO+3koacy(EQ|H~K-K8`kxL8+--4lrQXvZ2EEu1YW|ry5oLy zGY!O^P6*(Eai7IS^zCDXU{yQhR#BP9T#K{yfNyVt!0TxEI+_f!vaG-$&uf3U&p}|I z0(k`ru9U1nRxu=#&N-~$H)#-ParQEGyc_tvfF zAut|Gjk1F8953bj+xFA>2WCUyV=OfZOHH-#x~8iCXD*lmfhleXjE#aXDoUNP)tD$9 zhQM?Q0-r`9Ff+nS&2k-{_gW?f1|GI0# zDNM3sNfQJXimXMpvxTcCU=n71<-sR`Ex2KUr8Wq@uRU3{42<&h}U=wEV z7Ip2BE^I%x5tFd4U$CxhLAc-1uF~dBm?U9I%=Sv$p*M1CmmN1iASa5841s(H&&v1A z>C~_Q0(lV#?8EGW2+t~%>PO!{ib+mfOb{p%bwym$Cjiz_gmvX%_F+MI#9CSE=fEV) zKBPk6xTxzl(+^g2YX}^}y24S&ZRBKi9bBmm@fD?r6KOP30dUa8AJmcaLLPNbU?0C zlp1EwaNRHr0+-#mU?BG9yuLp6i~f5XK@b#Na6f;;%^csRkzS{UkPQr@PU?)?YyIfhaCA z_;%3^Yl=Ra;+t9rkwZ?fxeC8Kqu|@ai|u{M)_z9&jSzg;2GQRY2(~s6!&WX_tdM)R zgRDJ@YmZtX*j6EH?IipQo#b1|T6;SLANPRTg(~-?2ggKI2zGLjyiQgKc0`|@`DO<@ zN4s=+uO*BFZPbLv1#Q%Ov3E{q1O?Vaik2vkroJ!qQypI zu~9gt;Z=x}F*eu;4hW7FPCk@=?Q&lLlQ3IGClkb`O;G%^TYe6~@hUD@>SLK#{fX(J z%MF8=gcVJ4LvX5xXH9d=ci$JnBng63q7ZynA~jE!aY5tv=-NzNn~7^vJS1c3Itb)EXDHh%DdWP5@xN$tmSc8(LZ+%z%X8e zb*;g=mWsN*v-U20_+YL`sb&f6h)&I7AIGm*86RtR3ea(`RM+7&1M<$(f|2iFSBxPS}I z@NQUt*wZ#bZx2><;@jNq0GZd5oSRoZz1n6@e_tX!jAjb^ZguEbR{L(~Tkn|DM`MKz zoXqk~S;z7w|F+goo6|=|NH-X>+)_ikJD*s)rAe3Tx0%z2yGb`2v&>$o$62*_UD^_J zIzAbPqa88JJu~$Vga4{L8o49RWKJ^S?ZtoT!-ce|L=U&Y$%$uY}) zpPO`iR+y2u?uA@u!A>Fa|au!zmL|MnD`SQ{aFhaUXWHgu6{+p^}35Ra9T{Ne6wUW`| znC0GCdbOJ-_0QgcW72LiHXXD4@mmM;xp|a{?MD?KT(C9GoKCKvjzx$i?tDMh;kCzE zR`{&?&;oP%V=i*G7PH(kP}cETzTv!aZOrLy9pr2W>pA!nO0 z%YFM10?(sykBh54t;OWySIp_h6>>Hkv)sIm$5Nc7dDh&@J@N4`OMzw=6XImwc2)bI(D;o zZ4vXiMd5nJSyp%_(s-CTJ%pSyoiWR;t=u|3%U2xUAN#r>);`4@v)uN8N5`_fVRJc?Ov!E>n6TV!rzItk`_fM>iz0p|28GPyR5S?>K*(Xljb-dX=BM6Y)P-VR6gko6r zQ+Pp9O^sP*U#I8O^hv$?wdEu%9}I3!cn)dJ!ZL891*gG zd zmfLR8^Ld-UWXgDR#%vE6f{j^j?r72RS-Vez-4B{GW+{L}yfMoyH|cGAt;b91o-}97 zaF8M7nB~@!5gng3qqTqNZqAr)BSX|N%WSh~BeCtbI(JPBnKN7#G6c>nZ?Wq5yrq}a z{-8Mn&zy0HJ!ZKTPlnhYCw$g$cK`W(bH*ngGK3$q+|o#|_Ug}1uzSrJlbqxhLCkV5 zUb>a`dGpT?zWI?k<0A*T1rf8%&XII1tKCp#{ypZ5@iuacBWAhh6}OJ%O=-hsH#TSd zpM~5)iCOM@#i?Uy*|u`U-R2BAN^a3GpL1j#%c^sl*ZSI=F~&u10Wr&kE*;AodpS&R znls*Wl3PqM%e}dJoHsOiVsoB3W0Zs3!eW*#Jw2=ZZ${;&=8Taxa*HfxnLSt0slD=3 zJ##mkGlpBpEjVWRnyO=2DR+RYqdDV$3c1C{EU_mo-A32{m9^vl%o#&mxDvFdyEcWR}nA)n2i)wA^gY80;XoK$+zScAeTQWOd)N-kdSWMsBgjEcZpN zI+o>!jJZ-|&KTe!w{T;YIb%IKmgTNYZn4&!(O)6Ah+~%7%S<|!X>azgGTWTd&qZ!Q z$1L{^bm&-`a|_=tFlY2}l3U!&r%%$cgvGmOe`3y%9OM={^ZA=o$I^7Wxeb^zUWt-h z^f61E=7FH;f8!1DXYen2PKxt8NZQ#5nc)#jqgUYOj74}cGsYH6)5aLW+e{FA(nHel zIE>>L_gd5<5Kar6W7$j3st5x)V#I!&v5w777{4VrgVx z(wwmr)0R?yq%0XvHfJoek}>6&9Ua3rXME!!h}~)jj!-c>db`P-u|_4g zoJd(@rn4r@8Q;0c%!ybUPP!mvzc-O-7bK0;OJ;AFGuC5k?#J3_SvpU~oUswlh-WIX zG&--wY^>)>*;aGSuBy6PsE)3z+}eB{^*gN+h~cgxvzPLB(hF^)b|}) zh_{S;ie>EqN1Hbfzl*-xqwi>|UySW*HC{P~_@_lhsN$6%7NN&BpwshHIgn`J-GL zot$g4%DE05^q)hnry%DCY|f+*(zup5n4i>eEsHRJlxwTt+UDe3m4>-mBG=P66;CnX z%8W8Uso`2BGk=t8yNIu!t(@y~W%L>3dIo3y8N^rqY7g_18m@H`^GCUMd3ZbS74hY& z`FShk>I^x*SPb;qkmC3v(Xag|*FKeVc|?4zU3N)Eu4f@9+bF(N3-gm2y?%-?f0Qdn z#8<9}uSLF(@y=};?u$7_@wGF>@wFR;(0-IFANvq3tg!2Rvqy&oi1Qpy&$K5tAMZN% zNvt@L$++v(&Ju_%vD3P!T$z=nRR`6$s?#l#dSTUFAg3Uyg?QDXc-gD=^VX$Rhvm4c zCoIT)9IJjFC!VSXTP=#0y=v_oiflQp`kax@ zKZJoEFp|zMj9cTNos=U#(Hh#hHc6vg#~hsNgoAT!*wi|VTrc3%SeF5pHX6!&Uptp} zwZOSbkdNSCe{#~qxklXh%^S$o4JY3wMJm_pl8g8tzm(_|yWS!Gs9sTpbN#7su5JA; zXCv2(IK4NjuUBkDI(1yy6&vUJOX6JTMSQ(ACLc%R(z@dWVz&WTWe4#=eyPz*yXGYR zs9qOEJN_f$>%Ep2Y9QB3I7QhYzG8O;QpcrTHFK^joC_y8^LBh_nN$b4df+5zgZPTw z*GL_gcHPgo$TaF$+~YKA&XrT8-R;QrGETELim!Sy@j-s6X-943g7_otNG57CuF4YU zigtSg`}nk;m~Rwc4T%f-OAVKexzo6Auy8Jmh_5Xc*@;}Q;8bykLEJaBGe@c6(ngPn zPpVfn5nnY#e3{M<-H2Sha5B14e6^HQ%cYHfajsiLeAN>1^jl`08k9V5w-hMGVi5PE?aWbX^ctfwf3zLjiumg4=6ZGZ-G|4kv;p{l ziBWug$lRo8e;l94)y={6ddb1L)Xo#$N3J*U5f+z$UXxPHHCbj3sa`!qKhev?xjuP% zI`$`N1Ht>U-GFNv-@eqe<7f5+uHJ$RAG2WtXuIZ)UW8nO@G%^t{%cl>x#lKv^%L>+ zx`?k!H78^t*PHmDk5PQhm)Z8EMlbE&Eb&R(@eL7QZ;JT3RP7%;0ZJQ;j}jTh*P=*j zxxThDf0XMj74h|sh_A0A zXO|+^+xR$?QG6*8<|j2=YneN(9Y=`x8YOYP`gET5G;%rdfh(i<`dMX;Qp2UqQ6N64 zUhi3WJANSI>z1>=3&=GDAL()!^b^_E)N*OFEI8L#5ntm(e5G}(G6lJY;zMIb@#T|K z%atEt{-|E#MSM*Z@%3~!JZ_rO{)dmH8O2wDmHA0cJBIDdALaVk9yj#%Ll{0qoMHIz zo1EBueAKU*n-wQGTO_;&)w@>{yQN8NiQQ)Mf9%#LNuyOyQR1pDnN=NcnWVh~-l<6~ z#Hz;U?MPAbyK2?3JEF`^t6IAgOVVi7pQ>?Hziaz~1jlgjPB-aqH9o;dijrU1tJZD| zld`nxnekN@_;yvmsz-o#mQmGbT%;)ZmAz{1J~b&ztDfWL`z-r(ERHho+%m5|GK|Eh z2_puZ-(Mv@$nUBQv3uprjw+AOBhrHS4`sjsZPx$0WBUOzbRO4*TpzuQPa_(%K0dt2 z{9KhGb`L+1p^C)HQig>RXINs<`c!0SB;R@mGK|7!8{PUk;KPs1PuUr&sjMtzSnA{q z-v}M{t-P1)1*5_HwNX4IUSAmRfEOdIKEkTC#VssJYl}>w!%CsU{N2B7Lx%V8kxU~U zYFU}1vg=UC&B{_8R;gTv)lS|PcV~TWLx%Uki+x1G4M^S_43n9kt1{@uWZeiWOBvQ$ zIm0>+XK1(m7t$?^!G}yG1BS$Fm$J1*Iz!OfV!g~6ez0+dDS1n(Bf|&yG^=XB@FDk8 zb{#V8tT5GKQ-m{Y6*_eHFD7H?GI%#z4HzadH~*o3xJPD%DZ`IKhwVa#QB`_2MuxHY ze6EoW(^TfC>^j`fifV1KL+G%}#oOZB9y|LY!-wGAX`n+~Uw2i8*xVyhDY4V`P0_zm z9d_G!TkMT;hS~1H5pzb)`lYxXNUgXrHVL$;eU_+-xT^O^B2kYOA?%^fjd z5c^lz8ARVg8S-qLAzkI=DMOLa;Xs7zaDBsLK+3f#6Es?9c*@1AFI`~qq0NjZ6qKRF!F4z(ba*SfVryjh7$3ZM88C=`=IRW3I&|di zNExD0&hV$up-V}XImqw{cuyJWApBgNK~D$mSpu#D-fCv&a_3FFEj*V;%|(XE_`U!m z9iHWFT6Tsm9xWPTZE->243{L%uxQuKJCI=tzL+4Y-xmH^D)Vzy2Ho?AZi#Ji*}@p` zr4F3o@dl0E$S@UO`ry!K!1q!7D+b-;h1jo2JdoEm#M%O1+rSyt`{x5POv8M|fI)1_ zRdvv15ba1ADn#S1s`B1yaty0>fv=)Xzv`rEkA_{7-nC?YGt^f5(W)zX_~zdbJ9Sw# zR=UvSqhFBWQ}9)`Br>pR3HT}s=7(%Poh}jR>5NY^D zxrWMwiTtQuH3e54E7$ARD#HWFH3NLLtOi^oWa5q9 zL9UtLyTd5HK5(R#YrKp3qg;2%ydCR{`0|abjAm)Gz;~BXe0`i^t|{&WuDflV>s}FG zb-QK1fLx!0?{1^`nl3RvscFZVPUes5b)Sf@MiCxgeeKNt$v0wKQRyX#W*^q?44TT+Kv$ zwGirwH5KTz0rq5kZT_J9yN-u9~I^&HG1uEGk;XC$3%QR;o@=s_|fZL zM6UVZd(0@lcBfdcY$<`OgPq6yQzE|BTK-XyYXSH=$Odtr6J>r; z;%iCwk3T@JFTwYWQG69RnV-~f6-mq=<$6xUS62~VwxtU`K`uA=x){aR;S{&yu}A_} zHxXYi*|qq>A=PRf?m3NIU*XG!lH!XE;5-abKl9>P2jcoz_?V$+wAW(<91b z313Y_Z!IE&tye{It85|oUbZF15@$H4{C9?nDl1DFdOJ8nAE86B?a6`2un1p-}Gz-tOLGEiIt@ceT5GFg$`rt{XP>J7K5*!fe!fICFZB>4A(_iS;{cL7I!J|L8~sy zvFauG+9s#|=Ept8bJeQ#E(P!{PzhBJioXiEkg;GoR=pH_ZyId%zwQ0?s*ZcejBfSY z9^U#xWgdZdntJv}hOfcrv>0rD*vdBHs+%8s2$I+mJGvM6`>SM~{3ydP8)q0{k!A3eXWN!XLJj|C_Vao8i!rOvQT zQ}%celZq(AJPT)7pmH7RUs_lW8NLPIJcj{8;w0>{?VDl(5oK^YIm04}GaOrcQzK+p zjju*l3>exm8U90Ch{-~fVTsV;YoWt$Z@m^ohBf%2Wg{IrUPD`mi9wX%8=*s%mFsYM z+ki}DSc|W1HqybNG9k(y57|~$mNKmH@U~E7&ai&mx!;iCJMgWv7_>!q=H@@Ng->RM zDZ^?TXILBI3>8}i$PJct_)2M~0Yh&)^HX*m3aqRwWmqSU7aN2QT^*OdLx%71^_3od zhS-bOh_5m;;0rJl7-DZs!3lc!vP-VRsfHht0rmCxGE4&!$WUfiGbU| zcispv@l6IAAPINvSN0ebcStDUWe%8Y)&czL%n=0vcYyDT5#VZ1Jd6goPKr+}n}Xuj z5&2ylrb9!3LP7Ku+Q8{pecR+a+dI1K}| z=l~w>cd!=%{tUS{C)am&yg7Erk9(ia_ggLRR%*ExxpqNr zb(cO@+yP8*J>f~_V(a98VrSg_i5t+AtF}Ws6^Y|gTgngayzY18`UP@pM-8|{Kc5n= z*!#N(KjpG2rMR}9@zmOqH3zwNL++gp1FlY1;)DD+7rt7YCdATcpy4~k|InO zos^}Ai=a3Ipj>yC)+_fucY|I#2P4-Wd_B66UV2>pUGWSWS0XFr!q=L|+i6_l^nh|T za`GLZaqN_mA0={|M7lKkWC#N8h1>@$2Ex6?>JY*uy(o$T;*0#5;nf38jJ{LAX3kO# zn)|TQX3Xv|a_xiM<_27GFU%4RIz)}X*~N0uEd7Y8g!%_Z)dn;;;ZqQ9p!50E~VGH3z6@dWIu{r z`yux+yFoi91`zFOlLAQN8ZEK zUXaJMF>Xf@o0O|t>G;BrE`Q%8V?A=^KyEjq4r46aJh4CGhXh!n(CcGMe45r_3}Z=g z?I_xta`i5)*Qz6?g%xJ*L@qDnzTz}!$Egx?F7!%d)!H$URpUxz)#6L+AC#-FwN!j< ztuMFf|JdKi<%8V5syP)pAbGjT4LjdD{1jabf#4R-n=!|f{VLE^SFb{Hv z$odNE0?w2f5Q{jrPX50tAbz2R*;2p}0&uhr;7w=ObV9&<$i)wEBs`bFE(LV~XREA| zvIB~nvlMWQS}OFu@8+I6^$9%HPxC|W2a-Nl-1r7z&{|dueU+Ij?vXIcHNNyNfn1xK zukDOn0sO$5S_UpL99DL&GcKkz<@!X{)9cS}hi4&I5OOD5^||7P!?<2a<4a}Q6XGU& zQm*Nx^~#-PAGNXlc;qU8-022-#Z6EWT!mJ)&1Kdr?i`bHeW4Ry$E?l@8y-fkLdc!t zGSKU2B%VQwFTCQ3{mRaTAh93injhgk$HGX`u|0RO)zYV7CIW^a*DdP<#@!|t!d=5l zQt`_@>_-7tSWOjTm!B(QJ%>`mji1b3?Eqz26#tkNf#s`^eYv8rKdi96oqdpR2MNY7 zp5Vej%xnWQbk9PLNb(1LthEtaHRRBd)~9XBglLvtNbtgGmF z@x*$(@0wNwx$Bd5YNliC(+;G*)aba`%Gim&Yt}JNGoj5x*sukVbXffd6Vg z8jt%_uH!xoI`YQVf2m`|ySBZHIz}LOSJKYTb=={ORh1$gv!!@{*RG?`Oz4@F)zaEPfa`)N%8)!T}Ppr&~cTO z)gg2gvk}F9UEmaUI6U`HA%-Rp@oQru4R0&l=rWa0k`Fa9k}t2SvumrnKS%iv zLEiP2#6u&KFKKgEVtu6!2U6)Y zB_iJ=a(tShd~x#`X<6JT^1;0LvQ)k#f5!3&sf2vnqRgL=Ph6&m=o8mgq&LiK|M@{n6UM3`EURt_7n1n>trF{F1N5l`C3Oy z$;ZzP^JCyAslD zB~f3+WtJ{&eo?)$EON;uB4yAH~C4DveI4R+pv3af%gUy`INB(n1&KGs?wK zQX`*uzKi&~cKL)&0y!9Z%=9T zCGF(b9(_V8Azz3yi|7-xAGmz@g;ut0RK97}Qu6UbN9kdOJeTRXI^!tHR}6WRT>A1Q zZSMb`S6NtxVA;q!RM|ZYRFTPsk^3B8i<>+(e@CZ84QmzAYO!y@&Gs0eS0<`o1Y{ z%7On7JT3YXyX&$=pU$Bp_HkEI50$h`@(HPgd{Ha$MSeoQVOj!9qtS;ada)msZ(r#X zm#ah{9y;LU%KFUjuO4;?}(A>W@2FHSyj zJ6}ZKUn(;s@~s$W2WNz(qvt4Kz6t13`57rS6GG>Lx%_WGxx=hIM7 z#c94XPr>rzAoHTCRc?XIf7EH4oLwO_E`o~_LX+GqEA+8rnf+)9CfOh~Q3Wr~)iyzB ziql+vtO~hguYl0Z2>j7af~@HgRxE3lrEQ)3YzQrIKxjUCb-Re+S9bi%1ED1(6hlj` z_?H49JVB&irm;XM%k8MP<9 zA#*vdknP2dS>Y%@+=?5s9<#o8LuiwQWra4Wi<;bvSwFbVHxE!C%c`=htaP>3$WKl~ zNR@HHk~`ciE40(GwdJ0COtL{}y9%LSWS+I#HvSfQFD6;cH;=bN)~!~Sl~vn%qS9l( zLTJAOLijqXP_Bn%h4SRq9n_teB$;pSZ-K1(PL`F`zPxz88UaG60uGGMXA^4P9KC0Vu^zigpRscR_K`A-?i3?Nmg_9z7EK0A?kX> zIlaM+NLYf|#R`N@afhMPwlAu9+hY=9MA7MA0^_XZ=z`+95IPez-`vFwS&clbuB^tM z*+VBzg3tvEgwDGm^pA~Yg)Uo*Z<&7_LYGur91u2QJMmEyebhuB zx8sT?Rrq$LcFT`OK)8;}d~;7HWZi3JSy}g6r#AfZIS5-7T+mOtLVVPd@UJL@aq1VY zHNfR=^xBXT-*3miJP@`;nUip1_jT>67Elh9c!H-y`|c~(2eC{MLAz?BEW= z&nee+$omzO91wms0$DfktSpNv4R`+l;jSKBBnWqRF^A!o?7e$DH5HSr5PngC+#@y< zy6!2N%m0n{w%SnpsWs$!BhY@H-NOheaWGKdo$}1O9l;3c0FEsva#v@n#1Ubd$*17zGzQWQHZ? zB=dkYwR?Bmn6WZ07FhW^-O1~xHw>? z-O937wp9u&{hRPqG4c`sn=~f7T zsz6qdJIo3>%GJI<2f{Pa;S6**TR5C!-8wPcACu7G=jiZ&jXON-?&Dj9+cnP);V*Cl zzI3y!u-osZ4Ti@3N9$r8ii$Lu2U-ej~s*WQbbs4 zgRJ2a%gTD!b7gy{_aOXD6c-sX7mHHM9Dm#qmo2rf>@dY@-y$&u%JuFrz7f*7k1 z<0}VuxLDbjRrDQ%*GLdvjZW8b!tnRboBF?66_Xqg{w@NA#ZHq6!W+@Y1{DgASjgHY z6&K9k;wEcb$zKtmA1j6MHmAATuXq#XJqOA2xgb0Oqx-nb>n>J?I}Y}M@L?;24`E9z zbMdT9HGf7I>`RVWAbivfnXAx6tVdpLDtWr)2?(D+r@vuK?69z`tX+0n{}GQt_;;-9 zcPnJAQ+U>fNUdrQehA^Hj0+yhHuF-Ms$)rYKL+2QPFzfo)y2b0b+cDHUa=U$XH{IV zU)d%wc9;E-`FDSU!A*+X#mwLtVzw2&11mS<|5WeJr%smpz%FLE(7mhgyMJ5}B zuc(mqs*Po3^^?x*uKg7hrOCJ;p+^vUEx+_Scmj$lIB`M3$DO>?lb$mzYySX6*Q>Z7 zp-*L5nSRq3vwl1VMOC7>V5|fbo>iz^bY@+KB8wf0s(K)6m78T{t@hk?*7+k8-R#1} z3RzEahgqGY)4E^$1d47!!donm^@)d9>LOuXB*d8wtd&nH4XmI414S7YD7woDS!V^|1<&1gJyHpZ z?vbDf$LBLoNxak<>C5A*-i4xu4k)@W0xSL8;mV-tE2Y{gD0(1*3pzY0FixvmdTyEu zMNK@oNRYKB!W?Gpw||zcOeS>QL4p%!vFm@(L)JQZpk%THxhq{{?HLJ*UyDHQIy+hGVu9b=IiTo8 z3v*fYqI%$t!59MF+;9=!eOUAoudV21d3ny_7on(!3>V+@K+!7-FV$QAZDFJ%6!o&h zMZ_<9Rb=(Ge}1+QqoWUI^~J2$oV?WQrbZsMCKUBI!Nn;G6un{NSp(H!6JPiflT`TI zEJM+oQJ(dd{P$hehd|L_Odf23B4?Cr$`Cg$HYj>e)cn3w{>^z_OtM4KXylct;n)Zi zjdeod6{^kzT$|v8Pz5V-GRcXH2|`t5vNjo)Ph1eH79nd>(Zf^;Lba%lpGr{VibAL^ z_4!#8iawJeltERUB}36HJRxgH^X6EfXg0phWxA7i`62=*Z<9>;15<7A2BLIES4AiI zezO2%UQcq$-`(e@T_}``Y(7aaV{bW4(XlM|lC+^Ys*PypJ#}%{8ttshPnxA{`&kx~zM;AGPk69L^S#>PI-(qJuIG>AwlqqvdJ)fqI!@NDA z_(}A6)Ecv-0cOr?JhC%8dg@oyNg}6zERCETnlqYOAUqPi zX#VKgY4H&!IYVV>^a{7=NsNG*kyx5`4Se2XA=klLnhCtMWj2k@Tf;-n0wTa?2zy7{ zg2Or=z-#b7p`eD1T!)i#3R0JV4_ z=>kw;W;9I$Tx(&86!3dH2mBs~GZGZceBM%R`7fU$;9pQs%Vhw#o;wtP+D^t`G(c5m z6*EA#&g?Y69X6Iu0DU%z0s7iFk^x(rez7!6R{ z-z6@1<@Ywp=P2zVX}tX2a+y%^MbGE$KMykKD! zlQd(%ODeM?fZp{s4tPc_4fxXT-1Z1~0SfNIi%7k_7{@f2LsGHU#Mhu;xV+5#Q9yf? z1NKesX0VAr7|`_t1iT0Z^<)FUN-pM*1ICV7B%LdWLn-lgh6>^!3$r7DIUDib4-w7+ zdDl6U6>M!fJ!Ab91pFHcG8BEl*rAp<<$ySf#wrnj+M$lw(VYaxZsP4|4B`k5OCx}J z4XhmS$x?vNTWpT^4C+@Mv3f^yL{wUx?-ckF0F4e@X zP4fpRqY>~QD7ep}5BP5tjGcgx%E^XM1#tkB!H_gfK^spafWE#0u$K6|{b5%4k; z+;6`|z<+rp!67vUO#m~8@)*o~Ct5lNcg=hJSp>WS1$d36zZXBu9r7klYId!O6OS&& z3bwYy1A6DnEC#)6bdJR@%yZ|NOyx{a(AaGND1w>;;_y1Fgrrfxq&=GgChgf;6SuXo zvP8k$5q1WcJ3=n4V5P2KRzpC5f~Fn=!1hk&kOL<5jhcdqJC3Gc;*O&Mc2Jo=0+U6N?Wmt&{zNwu7JDLBFm_H$j7gdXn0WZp038nIkN_4u z!kf6DO{BDfzn?z*DgvfKK`W~PU{?=w$Q9H*-AX*V7z1{E@R-ejtEa^L2rlhJn4}BgW=7)e2v_`%*lEJ`P6)lsi4oSanUjTMY$B|>X}L!GQF5iS$2++#<$%yF)ymMwVR^KtWK z)e*1)6gb=l3X1NE1I8{TNJV8=@Es{0kPL2@YS|v@ka|`xa#e(a7mb9|Y1!E2Q36-& zGK!@cx9k`tf$J3~6Rto?K5k&kF0k!Bg@D&VK`(;?8;;5|heEie*7&rtwd^<#D@$AU zb1MVPoSWS1A>i4Xx8n(Zx$B|eHHSgVexx#o9PpY#?-L92M*y>0bHFUSwRGs!bso<` zz)DasNHqWyod5^CrqG+p_~=Du!4L;iuwY#Bkr(%kyxGtGh=7%$U`W&eP;{fI0i14S zgtXV2=;DBrZKW0LKXn)&U==8sWYqnM15s)KXC^dp!88vC{7eVX)as=^2zUb&xSR$G z&So1d4s6%d#PjUTAysgeIIzvt0nDqtD}aDELc!-ofM42}!_+9aNMZgc;C!LrSIL05 z7tddP-}eYu6$%y@0g96$4v6=;SS2K_Y~9Q^QC5}$F0t??UZ!(|dt>FY3J7R{f+dPU z6YBwHMOYrbA)w{ z1i+1s(ud$J&TZ}fHw3wEhJuYzeJ;Fn$^3}W+oZ;)QLd!JGUZA-ENfg_6S&B&SbA!J zx9*lXV!|!+Z0O-^hFsO5;K$Mps`(bD7=-I*1sgOhPTWY^7Kx;3qppOPq-}GNG;MU2 zrD4lpnuu1?pk)3I<60rOYFHnej$AdMV27uaUK-b7EAdNyDdECdLz*{j(3ITLWn8OG zT(6oPCOm;$w?M%!5d*Gc+)qll@J2HGQN8d+JmXrYaISv+){R20TcIG^X2A73wutsi z4VUN^DcAbgsVBzQ4-)5ku6d95k*g*YX+P@r`0|~Mj>v8f};lg7fz&Lep16#!O8qly?iRy%P-<<(irzX5nmm0iaQ~f z6$)_bP4f8!Jr3ba6y_%-Tya;rR4<$y$Kw8|h_7EQxeJl&PAE8M(0}1HnACEKu@%a7 zOvKj-mB;=L0=RDo)TjaVM3ac9QUpPlArCd0%i}@p5WPVqypUA2x;;XlBsf1h&ps>0@ z|AmuUnV*#Cg|o`ok8)kl`!Aei%=KE@ec=`4x(5ntTJ+ly=lL=}DdEDY&Fn|Ha2hky z%Oc|Ixhnltsa-KNL1F z=)Z6lHQT-tm-7Dhjei2{J&hMlnmkkP=8TDUckTNBD#Z4rjT#ZD0 zHTLkhuUftO3&_xOs7ISS>PKw)cx{tKTu zV87IG@vxxx0mx%UtY3XZ#Mh8@l}Uf!6bjqg4B|`N@JJ0;iIr_9(W~(Bh&FRK0{Btl zbv>)$xKp_Yp|HJ?Oj{kSxWF01x0R%Ak*a?XKo&HHG@K&r>T27h!5`&ALPfmViPD?nlV=w3oRHct8sO6voy-p8Tkl~ z1qz=taju%?i?<_Jb13X=H{cS(psC^NAt!KkQ8?EN3g_}n7~w~*hoG=a)PO7TmIEEW zye7SR*%P>4lsH!piF3K%8(xH5Euip4w*gn;y_#$1>XXRT)55uWi}SAQm#7Wa4+#^S4=h_8_Q^=Zh}5(-~6im&cg<|sAs^@hs)(eCsqmEBjs z=SZ}6;hiW*QpN}HzSi&tTl0^1XVRaF**0DfcOL!+Yk!4%FPvA?J2F` zfuTRtLBL0$P_`NX){~e+0hrkA6c8UNjkhyw;<&Lb3OFaq0q4g$yLbin-xZyRfOaUH zU=)Mp<@k!Jf<0K9k1w;%Tw&FJ8e8N?UUJ)(#3kD?<$G-CxBA|5(LWjX``Q zj@eN_e8`ajW~!wXJT&Xd4G8!c6wZkl#9*RYhD{tdbMvZz_>5%&;NwmX_@vHG@_bI^ z0SNdw6uOOK@C5Tm&!Ds>w#h7!z|bcC*WHX5FsBOQGm}igemXnJoWq02DPDUh{Msl6 z6X!K)fI53IzLp>!+As#=?lcfU^3-7r5T80M-Nfa`4IG4kPe9=cqZq^(js33L&ENx} z@pi_54@8(90W4e{Wq^h2l8+=f)BVuOrD#^}Nhn-n5Q9lO1XVC@+(0yO;(dPt82=-7 z^jI8sn50d7QXJ||>+B>4I$fTCfE}RF@MgcBf{8nh*3F2UCj`b=K{4n_0ndsf$pxLA zFCo{nP*`HHOB8)7#rxK{K8wbuX!X>Xz57W zC00~(e?IiHzYx#?g{KV~H11X%7f!ER#)qg`#k@h2o@}9jaYOM`xbq4FEWBh%0>p?L zH6v0F0iT1y3l9C3O?uFSDyaA9Lej)uO~F=-nYOm3pt#7VfLA=FTlR=4_l{l-k*f=Y zOilx?k5uMd2p6=+r_q*;8@m+3iE%@crU@q^m~fG&&gn&Ye8e3w6*Xxpg=Z_If27UaUWIo)72;7UC4(ArVlzb5r* zdxFkoM%;Z!!6o)&%2h2=iYs)hX~xdCYa`bS5W3mmm=HIYi}IR|OHhsO~?r{pP#QBd}J65;HGtjt?yT2f~K9!gq z<-!Z`Qt{di`C8M5PhJZaF^q|Ec&=Q|9 zq<~4!A`-y(9}_M)jRMAX&J?hv0Bo%TcyU6%8VL9@gdQ;hOzc%Pz+8z{&LWPjlmD*@ z70zNAMpVtBGf4m7GU@r*a`^u8-RN3H)EFzykB5wp^*Q(ugE(Nz&7_}M!`$A}_L4(G10s=5`6iPd843=5N#7;ZT3K$L; zKC3c2Djc>!3@|iWE`4I&d{_5<2-puoBcu8X#&rT5FmAsiX&PYSWuJDy1v`V`fN?ir z2w>vdTz}hJ`zgeE4MK9#`KA8rSYgFSE_QEu#U%Hy?Joa@#rs3(!z8)&i<|3Jw41Ke zUgBD`K+^sQMU~k5T{~K1S<#60N2Ee5C32GqwXCYZpZ9yHsOIz)YSAqn+F;KUYmLFg>_r~KVr zgDznS7Zh)BLJ?kFpwW+#+5EQ^4&E%0wY?IY*b~J(2U+vj&1S0&4py--ClRx)$amLQ zaKh&{o3V>O5u}VkE1U=@aHwB|86JAw1wEeF3@1W%I8o?<2snwy15R_AV!|~WSvzbu zm&3Q#ZP-O?JFdWq<93MLNiBVQShI$K%~6~^NP)GTx?KWT_tNZoVehdM|Kbyifdcwi**ltp^!DJ z1B&ZL=({Up>P0Hp+t;o=2a4;X1ALL?t~oYh_&^jF84g`1leH#TtZ@X2Gw50~8H$@( z;NVJ$U{`%oYzv%i(r7*xOB9V%JkVQikGD z97CPDj#qZN{Va2if3Bju$Ow7V};_`3KV~# z63cl`T=4%d>6#nY+_<(dLh=^5aIwPSccNtNYs|x23`epRvbNj?#owrK@F_c4TVaLb zECnK;*vOhV|>l7&7=-`|FgK1c&n;f_cM<9wXztrCE zSG-xJ@AoTKX|W%%n2N=IvGQWSxL?_O$2KV5r9eq*tYfbbbDy(uMzts=$&l}GL-BsP zaUQH=e*_MiBm(J^pxBEx`7Z9!FMqtnauJiPP`twe#X%=AEU@EW9yoX#T`NK-;RqBT zlzFj3re?0m7?uaINS=a+Z3`)OBw{Xq#RJ9Uum{DzIdL(;rn_m~B{CG_s9W(#CwFo( z`r^8eAH*ayK9AXd+IiNW>W=JrIZ%8Wy#3BFslgIZ0{x)n-g`LP;YRlvGrB z)^#?YtpSQ%(GDd|+)z?UCO#@-`AWEUqcE&$+mN?(H741i_z(0^O=dp!X1hN=UD6Vh zaO+P?P=aS*=%WT2)v!WI%_uW0spaf6yreEB$xw2G2TJOwJnMG(pLNYgVv++&ZgN9O zT@UeLmGG}9l+=rG!}`*B@@C(XdQS9XfszIS@gCcgySim!k{wEJi$KYJbXyvt(S2?x zdBDki*lezCBmcl8;s=+eGS7O@^v2xVWK6G+rTW0C_(Iw(-m)S^|J+LGsN^yRePO%kbWvInA7B5SM z=y3*eOypT5vz!fxJWH@lU%(4zxrL+Csd<;s~dT^0&v9qj_@1k4#eRMaJ ztXFYyz=6BOcGdG7X>t!h$)*S{PFx(k)E3jiWpASzDmqjpIFR9HSqJX1?`qVkEtG6m zaIr(lPC>ZCVD<><@*~#$=)a~GL&RTSyoB5W9z2;kD=sO z7cN#f@POE^Ce|CP93KEBxlUY6P;yMvb=33q;y;!{$q7vU%>@UVa)$?+dyceozXkt~ zxi^7wqUhd-b2GytAU3-oLfA$W)VRE$q7V@k!6hszf?@ zS8GGXaWr_`3q{>RJXepgBHG z-`EjiwJ@os0rd!1NEUgp@jffx78_!UJy+$Gp{mdj>? zSZ5E!CZY0$3ORCya7W1{Hga@H5O)_u4|>T_7eDR}Sld@6M^~ZNqi!gAz{h<5zR-7l zz5hK4u^v8qV>d3m`X_bJQ-(vb3fr2xNw(`Gh%HCz9`dkkMPAS3DV=YDSYKQU|LPDF zjYAH#@paKeX-6F1fY>cId*d_k#M#V2Z1i_PtX~j{CdpiJitUqD$6G+`R=>S*J2d}T zkS9G6Y;a$v6vPIF?2XUGu<{AdPkUy!efM>U4N>iloen5^R(PH+eeaqXh1lJay)kyE zN?S_EFee=S13k|Wo@dF<&OaloBk-dHSK+v=oz8im`_FL5ELMQ%` zAr|nEeAxHKud+WEVf)a;%+t` zVk`Z)JD~VGm1O%6k3Mw3_D(e01{D%Dy-@UNkYy|ST-`nU@ShO-DulZSl`)qVPq9L! zu+HR(5c>}8e2Yc>GQg6Gz7DMD-2-!M!lWPlP_a~HNwLkr-_E?eJ;b(P@)i#i6}nkc z(I(%I@3iUwv0pLiSM)WV!-#E{$Gp??Y>4epa7Tojojlhs&OQ@*y$rG4n6%pqv7n13 z#rFBHS^Li%i0u#J?t-F_!jg)%DczUfiUx}?smKMf`hJ!ai+DOcv-xL;MSZwSP_%<5 z73~Tg|2Xw6#16{1yP-nm24fZ8+t-{|1hJSOcMShMK4!3JzwfKL3%`O`5|fe+?Cm6$ z6g%vCaMR}IxCCZ?NgO)=aytvztM;FT#&v&#ukv9b5HCzzS4?$6xpCuKQ`{zwL+zjHUVN&f7 z^5|ws@w)1jPYgR7;-^axuNQ!#n1>}5ss4snu4oJK2KXffNkJYREGgbtT5`pmA40r| z6XK0!C`yT3f4CDLmnI?J9FxwJkw;$S_*v?my)L*L;%B3;mgwtGZm{UMbXEQ5H$l7& zCOHDgqmBD&Fa6cI>(>zPfCk&6ufG(QRCF>lWp@7}h@Xo|9cB2ra{#l&I|uEp24knO zjvig?gxEva9o8nTF@C8V;+NpH?h_$$)D`*Y5`y^U4svuk`Q@P>w_#V^cHgjft}KA~ zl|dk1x`{NAiDC~KcQkZ0J?iO&cuyPby_YoG__cm}t5K+Zy_+2M#{GIf#QXTkQC}DS z!fTV(K5}%k1L8N~O^fv^IqD}t94~&t?WpEJ)Ep2>FQp#8Ed*pK_3*hgZ3x;M;-H_o zU@-GN$#;Gk3-P<%^qUvq3qr)?un_Ke$=QIGZUh3uf#2R93P~Gjvp2ob2H}gT$x)~| z$^+r9DoK0D1Mvq{h+;Q^M`KmoeGtbp4IYh?ad$)b8ZSAT7{DF-@qCUdB-t7avi z=9?0PqY)P<_7Ks0+yS8$ScF^L*0aW5Gu$EGaxjS-RkfHV|JC!rcSW#X*)7U8cTxvxFh z^a#Y)$hf;9DhAWhMgB#XJ~IL0YZ32SEZBJA>k(z*ylclm9J}K91|LLU^D=|cH@!ve zN8AMQ&waRKxh4x=Q$6Qmlz;M{E8J@!c}+ZV1m5zGerW zz95O6&wdBQ_XQz(k0{rD?w3cMiyeXz#N7qqmxQl5j2I>p66jn;RT*s zp1L0Wq?`~>$`I`vWJyuCS}0E~hWH;?u0OC`3lx?V4k*t|8HAN`49j&C%hk)zlA<^G zOZ(rj3KBLV8ZEr zVC3iDp~#231mRx-EGfKIIeYT)?U1;{i#x)(OrXEaU+SN=77{odOmxNi+s=s#|K@Me z#r-fOx*?2i4oJ8JLYHq$XIzyk(F2ouAi~`)?rWcG@N?UnLE;*Oag79t>w_#Q(c3xv zndPUU$OVb(LJ-CoYm5y=J*%MOwUFrRghU@161R9*Qo`*hsCau3ByLu5M-IcHT+x7U zP5mB_7=Y;d2O)90o9DVcbnxc;Pe9@}1$QrmOLamTf zvmr6ci@OaHV>tN4Sci8?vo0udLgFDA!hZ;aM*|h=pgNEk=YYh+K}byENr^|K#TB(W zLSmwVJC^G>PYVC-UN)s6f}euu9t}X^2@XE-gk1NsJb=XGA>2I>{)ZR2*zPKEj`;!- z(`4M;ka(7ZPdw*cSqE-Mkq>vg3!TT4ifgK`+qeA*i5X5vOvjwFd}uN8BIcikA1nOB zL5^O>yNlO(AhFO(j^1|QuOKAep+}2R{*DTXr8M7rUP!!$I?F>ubA=Cg2@5Z^c`jC;#q$G!qs`VqLRN71!nWI-MDZJZ z-q9}ri61$4iA}a}mzmc?;s;dx0k1&12bqmXPsfvM9z6<)%`)z8C?2A)q~f8WziS3R zf^eo~f*8xq9|L_j7-C8$|~nv-b-91KC? zpa)8B3y`!54w~8F;C7tsRg!Eh?6)S5n`P|JzGWccl7PLNthu77ggPEhOMEz!*qZ2g8>JRPr0AHiYe0f}Uj z(8w9c!eM&U2qg{uP#mEqn*>0`QL|c)<~tKLo1y0G0g~@5JZho9_x)|;s8tYm7ev8N zjvOlPcw()klB0I0*;aulUe@4I2L*QwlZ9?_)X|GO{y&eVb#mda5UA&SN!kSt{1t?~ z>*>)&c-MKB3Xv5mNxKAXUaUY#3xynYaf13k86qmRa)Te#8*t1Sb&|9jUGzI{>MasE zx;cQm6QU|T!bn#8Vcr4M%0SFF&;gNBn(uCu50#i%!c}ad-~ztVNgd0L46vl{81lIdMMEO z)z-&A9jk!4*aPag5V0{HZH&iZYnvcBn&f~~9S^KNkFq`)5l?pD13a0eJ?6C6Sb>9B ziI!%X1bez*B*|{>V4B==*OnJSeMW-HydbuHl_xzbcMbj{0lpN4&|IKChX%D$t22VP zZ(lbY)E87x=LA5VA#%MKc(nGwy`a9NV6qR?7ZslC<@P z`g+|D(Z(ufQ$Gse?u5OgDBElCS?~}is2}=C+6EV>>qD5sL5?=MLH$fY(@t{qr3ch6 zRMZTSqp!WV)vhODqU#sgEm`IsMl)#TqEZ-lQl1B^?4RspMSS(S{rMfm)8{4+St- z{lr-uhmDhMcvVGVsebtW9c&txdP!O(?kOA_YMt8=Cmf&ZhRvfDl6DO56?j!p|MD{j z>IqkuFB->Co(k?@?R*>aN z)^>IXy;uW9E=b~Ij$~btRL|M<%oj^hgh_QU=?sx1IhQ)18HzBeJ|;EvaD$EA6B?EQ zBpW$U9E4<3jxc##WMO62AlhOefM}%NS>wQ?n9#@>FmIlP2cxGvb7&~ zG+IX_wQ>D9@An3fY=;KhqQO=!4x_-;@@Sn(NS=d91(?)E;YpVVdbL>oBP4M^n!Exz zd^p6Dy8AzEzx^Ia_VD2@L9&C)lX?cfn!V;SNL~}b9gSY$;7QkoUcU0^O_01E{ao)x z7#^O~NBzG2SxX_=SHay2$?g(Qx<$J0)4gpW>9#@g7C$6=I(gCn@898BFG6yl2a*F+ zWW>gkZu5`qc zNDlLJgJWHhF>eJS>2*SKtc)lno;2Qb@(UOV$q8;qj#nUgpN}U!;#;<)=PpQ2_Tp}X z>>2w^WiRGYf=$?@)_Kp##V5Iw4&tm5+t7s zK=KhAIhql~-37@pGC7*%$K8S2PIB~80Cy)O2h+4yQ1cZRB*#%JugQ>n%?-&HY1+IH zBw16n4~jgHTp5HU4w4xDLhl^| zTR)5Erk9jKS)XGie-_2-`s$?bkfeyu=qmyOuig*JAfjn91KXs?XB8eQ< zm^@#_9VHh@+{i_t!t;`6VUz_RKE(y8OC3b<(h%-goM)-;t_q~OqS=dsB<%_}q%KFv zRn+8_`0Gkct3lIx;871e>gFMuJ^i>lAa$*u99@gQdIceMAx-OzN7v)gH35>=2Q~Yk zc2C9ie+0^|qq(;-D z(U?BU52-tXL~{)858=@RK62#s;*S5vQ_b=CYaFKCqmr~qxKG5RhpFb|AfzU{AoUov z@)-V_5<+flMDqzedK`})rC5BZ=|joWRP$;4^%SPvFA>e>e7H-HnnpFJtB{(Gk{77I z7sxM68|x;Tv+-y)9?hg4{HW*+m(Wsv&NhdU zES!fNtwU$)P_kBJ`^-Ie)b&Ro^(l%!4{<={=9KgP!nQeo}0 z4@0UPjm15XI_Tj^3B~8UZXt?8kP71-bMhorzGp&L0YYFT^dLlN^?+cQn`Z#&EKBoUcj~bv62_-fC zB&`wtYJ_P?8#!v~!(DQD*XPBWTIK`vn%^gu;;yo4r{xZ=1H50X5CBNH^%IF zuQ*9fFwGO%`$(DI>jXMpb*(*bHWt^$HUCmfY@XINb^0y#yce(%%85xb3;y@xArsBo z-7lT{jXjU_HSrMBJSLlH)>?MKgBRKJNdHvqVwy*TCYm+>jNISQp7%7CsMN_c{|uOD z)=;nf49o6wlUB(zkN8bA^PjEh9b?aX9BuC8HvjOMXy(~xmON(9n}Rk!bugQUy(XIW znG-fmwdXzJCEW$ntn`>@!mM2-N9=hMQ1g9mGi5W;wB0tZ{Q!G=a*kZdY$^^H(^MLI zc`TKE`o)>{f?6sWGo-yMt(_*C^;#@l^0mF7hD?SiOtY24M6>Su<90;s1$lll&S9Eo zOD39i{_L_3Z32!6N{Awf5CxkPd20}(PKWcfwxQSWws$y*gQFsaX|_;JG;6o0Ik?c? z;Y5%O1({}Z#YD5#l2wDc**hHflkp_eJTqjXSu^?EjR))CfZTmyn&nOtn`eC0@vJWPcH8kD&Bt!0Il^tCS^xB& z#mDXK@pOSNFECA~nda$hUOlIey?tFTnIFwGFY}t%tk?aE+k4sDpXMYtf6|(Je>G#N z?vu|ruZ73^q0KPU+=r)-bnDQSz0Q)h*QD(2wkl*&G_!e9HPJk+d2mo8d%IA8Ovq-M z-%2K$wT~|NvbMe5&mJ-bHm$j@k;_E0*2{Iy{@C7bvx`i0Wj42%mA&SDhk}pU+ih}? z*~v^Z->A| z?Y_o&OD%bc&Ip>=v=`gXb=%v?=)EEHz7OLsn|U~Mc`dNF!+{>o`%Zh`-!NdJX}cq| zdz-!8XHGJ^JFWS9BE&U&i}QQiF84RK=iP^yddtOJh zxtKCfSSod!Xx126@ZcnSUK_Oe61Q36GSSR`VDtYTu;(>Lo8)?jmVNJAW_;#dD}Oh~ zp4ZS#?#ib%_jfkaw7=20%x=%CgEprVMZ)J^mzgG19-VrjJueS!jt(-LS36B`+8Xq) z_l`YpKHlGbhI_ARW14$cxLBWD`)TLs_V$9a++?OCu}S*m56m=cl}vc|etSW4oHaF? z+9ZAQO&q7rX3edaExE>C&`cupIny@x-C$<3#*~XauiFb62gwvsrn$juf-^r5TH4EA z(9lojnWi=O3zl@=^Ttfs@{YYg@{-A_Y0Yh`{U$c;lP1gk?FIGSWX3DAd8cZk360w? zlo=-%-0$ujjOQRw};4^0YsCG zZR&A8b(%GwEBNYw-M$%nn>;VmtQ#<~S!3D2pGxiaZ+w6+Ixx*TyzDxg`P0W;{j?ok zkjZNmO!G9KiOsx^%BGI8+t*^Be5Q|S*7llc+CN|O?Ui=>3M^557t^dInP|d{dake? z=3rl!Ad0l?HB}Q$o6|FPn!Wv8JjWeXnWp4K>f1euXS3ckH%20Tnqehi#aqeb_xHhNBy9xekN;!zw8AL)QdaPGWul3UeE^hBB8WQdl(h#q=LHE zla^@@0QX!bgE|c@Yd-R1Vy~S7_?VE%+60NLgPS~7Ov|)~rsYvDd2mW(r0uQuqK%{f zxIn##h=`0nO18JhTMX*yZX&}-p^wMy1?SkvBl5IfdfGtTN2U&>_2?W2d%?N*aPoCu zT1F@P*bB~+$%LP@Oq)0K`va&~*OQiQf5l5McXs0BV1>!%`_cdJ^Sux!zqIn&(Tcs` z0-4O_W3v1prp20)yL=KF0Wh64>WqWu05AmT2PQeTj8R$^X z4_=60j5(-`&JwlT2cS*tsc}Pl+63wNl`e>FP}4Fx*VVL#>_>ESY>uR8q@8-|u?Ui#vIz2GW0d3hi$qpud&?T14U z>nW#Y`1%3C+#UV)z+V*0+P*50^+3I=JVb_A=z9-#`(0?SGm~jAXdF#?$x9kcZzHDK z3$9kk+e&HK*XuCV-VTQp_&!rwmVVo=r;EICM`Xw;eS^?maE%*ZY?X-&{nFPY?H$50 zc~z3hz>R6gYTC%lluSnN3mbRZ(`ri+cB=70m~pDN!~Y z^?C-046)#AwkZ3(kB7Wyo0e&B=pGG81!?`Mt|C(9{A39T93-MleDxirbiyY>o<~j8_L-A7D=PH&gF~Q zDHnLjJ={!yjS4+e5#R%$be3`exSsnaj&lR3UFLCsPCr}0K)F;k0_@@(?)>;Q1UL$m zi~SY=wVELY1%sPCzp zni9jH)oj8~j>$%_TH`v*^(d}uLs?A%alL$U?hwTF5GZ*4^Y5F4*6bMu4VTtEFqtJT zTpWPtkd5NHQB>$H#tK#Xxz9Sfpb!C$0p%u(3dQvWG;_KNP4{0!&MOqx8qn(zfUNIh zdNks2sR5=(0p6w!RyJOSW0^%AEir1nG!L=Rqws-mvUzKY1F|?p<6xT#S+@r>tRi=2ZC|uVK0ZssA zk`-~(F!vF_jeinu0%^0?n3 z;-2*|I|A2?44GD;+Hj2M5!DKNE3H2IUot3N;(Ym9J5l zZNj03Yn?+c(*jK!M-n;F({O3`xQUG7nyd0YZh_m_g}fE)9&RuS0X_=Kn+|j0OaXo_ z69;4y0KamOW3myMH9+m=HqoO1Kk{T%C@wAb*9X@wMqE=sS(F1V!(f*Xr{5SZqf8@i zvyaIsuC+=QE@iH>xas2&#Pt{`>wG!z6>>A%g0Jl!z08^~?XdyTrMTpv8LqGQO>By| zrh@W?D+gSAU3v^!p#7eK)f!hgvjG&kvT%Lb!PmI^ft`r!aZm~s3tX8&tToK2l8x)% zo}%#Pb$X+G{V4GU@UvuW0Kd2!>?!Pn0G|Nmr=U5&@(Ld_NGeYQsNFjwa$cc^;M0h! z>(S@c0xcC{G72!{;{bQ~vH|v2+Pr`Op9E!_!vf%u05d269#!=+TC@Kep!N`iY#dSe|uO{l$HK!L}9%@F`HrWD9^z z{KOd91VHVcFq7p5u%(+C!#@;Y%*6qwTt)^f18ZKLR)GMY1|^}G12j8J;DSQT2?0$3 zrf+!@IgwfRF6jq`M2`YI?BM{9$wq*`xMvPLe;WdP29!T7&a)MrO{(}pVxMjt;J1UrLGXYp+GZ~l6bzZyisd0$wSx`>eav-jYLP6mljtkd8(#x!g>z+}wMF=jv&|^`p zKsIr^$32R?RHJs)Eaa|?7W7_?tADa+42l%gvyMf!I#9fw-QBqI!-@T>0s!!R49sxW4cpmh??cA}6@M2ojlg^OMOwCuz7w6FDXu#UQ0C z4>2?haSU?Dw?9P;({a5fLr`%HZ@8!t{6qbDi0yvP44EZ{w;W85VrU%TJT!B03~x04 zYzJbP0Yyy=0mLyZl9-*`F)UG8UW%c)#4(%|UW>YTpO+7*C=l^*u84={rMy;%;YBFI=T8}T!8i{xFH7zi3WFIKI*E8VU&KSh zp1Ug%!)#oG%PJml(3ReD$FNytc_|MUig>u#!DF)hvU*=4hL>D-eSpiY|3q)WsoY=0EfgF@2Wn7UCFsh<5Soz|Tq%!z)m9m283GI4?`?7*6uMln0mKp_kyH zQ)tNhh+z&C^|astmrDE3Jm6YtEHA~-OYqQJ@Nn?chi4;(SE1-S3m$OcHMZr zwR2V<(JpTC@_0DV;+xKh;Wb>O%?d+fZs?R4(hm}eQ=&)Do4Cvy13`JX#lhpDpWxxp z!tSJhn2W2ydCcQMXD4?IX9qGc3=llr=Hxu|*}43C#PB*UJ7>j%u#-CmhmwKec7^jW z*v~N>_@Fq380JCIAcqAH!cOiO+Gk?8)5S3iRXK+H78KS+3~%6izCH^K9eu1Ur^e9P zqt~PHfGYws8I1=|fMXagc<{6c+=dw5#N~z+3k*(fCwC0u-a5rFLhx|E;NijR`rU;X z=HqI}Ry)L@Od)5mPpS-Aht;Hzeh4~wZwmkOPklg z@@lyH%H)`A6xTS3nuNHP;KH9l^Y}{7bt2fR2iNFKzUGK}oGaq%$#M7KP&)rzTxZlGzS8ru zst?!09%74Zl&{xSU6=mV$W7#?@lq(7=gg#uU4_LaC^RqrAvAsA!T)A=2GUc^h%V97 zy3R*sa!fYrdVY|(#vZd?FwhKLzXwJ0EqVZ4KUK@Duc0S1WY%!78KpN4M>iXR!g*WP z!CBR1{LKhw859Mq0{Ml2-lWzc&1RzigB=q%xUehBOyMk6c_6>%`=#B86s*0f25L|4$%#LMzhT+0-WYlXsbHChsS0C6pcqW2w{k;8Ds z=DV4l>cJ%*s#07l{Tx@&&vD(o3f~#D<*$IEl_7In<>EnX_27EV$I3}@t#NT&pSU=# zZ{&~9LtGy~(HfsQuGm5+vr{d&aLHe0kK$SzV&RTsIr}p&<0V}FN+?<&D7LCJ?1GHYBLRDE8p zL;5PjWE9tz0gmhI0LS%J<0W`2FMlmK_paBbn`s~*07<@PA9%}$Q%7tuwufM(3iVIifX7(tqG7(?p zejZ;F*Q|6Qu64Ldw?%y6GTzKiwbWz$G;WXLig|c^sRGxrBfIg@Y5sa#2izjQaG~(( z#nr&g>``1Pf$I-9=j${7>2D&g4Y<;{6|N>uW~X}iYR>IZTz@(^U&kemYx$LD^*~&o z;#%fD^Y(~~omVfeHryV?^_PhIlOc}lj9CwzL|mUi5iY2a@#uz~A8|SL>cw>~w?}Z1 z&oYTtk1o@vs7|+xh?68ql`EZu||F~!{8Cj*@G$ID5>)LwPZ4Sw;(e)R&9=(<8 zIWFd#l*Y2u=@*NLE-y7MZ_n~l*Y%vbQZKr<_Xu?TC4NuO&_}aU<9hfk`>9=^l9r7s*dc-N>VJef6ln!sz-d{KB9i^mwUz z$p6Z|uGS>t+t-8l&Fv#1Rr^U*o4(Jej5Djj*SeWhaEwL8|UefKygN`o%Yr zpVvQr?SST58+OR5M{2@@4*qXxx2pG&EU{dUl7s}e#h21{m}If5WY|{CtP>; z<&>^Xd%|Iy3F`V{J>e}|OSO4+j==vuJcT>$>8>UrRHZC*Qx=DGIaD3q_ zeg4PBb(Q>Zb9B8Kzc(8+ca5JJVaQKOc=|Qe48nDfA*kzX^sc9@xqCUf-U8uktXz+i z|Fi4(!~n}nU0?5HrN$2TqRUz~L)Sm!$27f}rRE*(WG~B3N=;8#F>=5X*6qAWXyQy!G`GV7Qy>3Z-(qbx6lbBmk7!I)US z=in5CgCAbF#h(d>bv^0V%!!GdnZQrXWVpsBULqW)U-{Dzbp0!Y2N)`byB3}1sa>0t z8oy_g;re!m&b4y!g3joAD}MCOqQgzU`2K&E+N{^ZZ|G&X9<1nG+v?SQA6;*Q@DPUu z;iBg{b*V=(FSKH4ucv!2j@I?v0iEmf8rC6?rMKhPb`=ZPqP|Y;+H9CHS!H;r>k)d_ zT{?CTpz9qF9xj`^#!n-&?5A{XMmT=0h~=fO@8?(h_$?ysY79et=541RL<~D2JW?{p zfS)#Ec20>Qy&412C3=*3{Mr%AOEHY%SA_UwBaY#w_K}W=;Wr3B7_z{y%**WLj$uWJ z<)s+Ldf3%+c)Z|Y(G?F|ix_r6_+hsNhSeTsCwB~MWR{m=7%zBuMDS2{*)2aIhTRaJ zXvM?YD%6FzqfIeP7CcN9JiMA3d_7{=1K}xFJdk-3+Lk*HvcmFG3{wRU(;Pe=wiIq9 zPto^6_z5c>3abzgKS&uErUiIBJR9H`e)9Bw1TpM`@Y8;ac=##6?BveFFD$EeS@@iT zW0)y;xcJT!^AN*+2v3(RFl?z2wE321rM_Y5BJXAGa4}*fbc9U9(K#jPVPMH zcd)z^!%KpPIf94jsWTox48KG8Wh)+(AhVM@hDc^S%n>}iuJCyHCepx(7!(MxY{;wRMDMqKZZWu5D$^~XMeuA>lMu9~|x zygB}b2W^;5wn(p4l;K);Elo?k%A<2#xqbMh=(+^Ls{-b((@Qonla{W{1}eHi4t4!u zNauRM($~&F*QF3%<1u%gUNz^xxsEC%AK9quwfLVjH~ci&2AkII@M3B65^Ij+c_dr04W z5Wjn8hzO4B96z&DJ-9l_%pS${OMv6rn(iZzuek+l-bP%9@XLCN1z#8Xn4Rjub%~eR zqqw$kv&ex?a?|BY!mE*VjLKe8X2AG}d!PSlPPjT&balZDsIIh2%-uEcts=%)U z`Ydqu;C8A9*EK#?PKs;4%5f+Kfl}4-h8d}ZqHO!ZROBL}|DdKCH^6o;!m4tB8D!%UH zcB+T3QQiz(e~5ZKD&njCJA)P@t`vT0(ki~jIGLU5!8Ojo?9qBWE^z(r=5hacz0-a| zT$T7OORM;rs4zR#gKLV!>``3**jU^b+eLhJpFO7q;yR39%XC@9{o^5Kr+RQrlbJn& zi+pc0ecs0JZF0UI?S1hu#PtUh=Uc_svp#00dT`C)aY1p__UVQ)M{eG%BAg>oTwBhh ziQU@6&w}dVSPmIX2XGhjywr6)52xe|52xgN@7LcWhCiXWULX?#>(X`a1anHt|K*dd z^a7ux3?#2MlzH31%0zKBkT|Z!6310L^g&<5brgylxGivr_k^nl*J4iwt|l_a)!fE$ zwZC}b5yW*2iksRja4o?~)wb%v^`4j6qkOdx^>}uW;~IKs&@G7TI25-~EpXwpob*;b zxK{X>J&LQfz|~g7mu>1`)XM)0id$R7*T*4dr&@67R#%|7+Kc!)N5t0`KMY-fxK2QE zd#m``s8lPi#A+Y2M{#u&@zqJ@^|)clKOZ2jzoGbCtN1DmGCS46SK^~gT<3dv+&e{l zUAwB|8pL%Hiq8*P#24wzw5@t@tPsCULq^rS`5VF>a??aFu`M$iUT2#8-C_U&XUuFF;%X#aCLz z*OBVwt32e+z~vJ0b&Z$DeU0OuHxZW|id|Omby8t=s)w(=E@qF`C z5mz1*U+XcCd)+(G)q)E@#+`wykBG0EM0{0#+@c%e%7@}U7V#C6m>F>kqAKTY-QsJM zubX*%DUwsG$Krm0Nrw*QA+8!we2XEz_?Sjk7%;+@*_h^QKaLmD3x*S2qDRLL>BZHF zjAFp6FgDD)HKbv{M_8rjb-xoa)P&*zhC1UIeo%-FvRPsHB4~j@w~8UfaJ!FV7@Xz- zF?_Ho@fTvK1;w|^nQ_A~luz?f0RII;xmc=_Vi=-u3_}GEhn9u@Mhvx~_)dp82K+Mn zf5wmqv8-BMcmxl_1rM`RH@t!vPJ?2P1rLdB3Nw^*U6i|>EHCBZUctltejX3!-uTj3 z#83x{M_BQ&Q)YH@#&BrAi{+&l9&qux7_D*)i(e^gf*9)JSL%Hhb+ISF?Bt9AzjM#> zQVb9I*kuOZeT&z*t1G&$2gMH=s(=mg)9afP6U4PPc}*-AcS<;BH*gb0z6>}I`!z~* zOJx^N^q0z)Y(QM6L-E6*OiB>fPK++&+P*u{DqCNi*eAHQlmF@U#hL7LANeIVqPNY5 zdWndeNhwW}9oe|1x}GhoAbiz_;>p>3QCzzDqr^7Fl_*h|?Ni}0uI8%YI*6s!Hj3*B ze#86AA-0E?9eoJloB_p8dNXOlp5%8zQ$l1XuE|73eNl;QrH9b;75>#WBBQQ#(`c#d zr_^jpo(sM)`&L4U1jYDmx{OK4tf~yN{wO87dCi;>!>jY8svuF}NyDO5s!icS9Bvyyt@O6XWL$S_3ar5umKdCyf>`H5?V-a1dLW^Nu)~KI6%X^GRs(s zT@qw@DL{Xa1ANu3HG2W@HUB3e=d%c~Ar!xyeZHmu;@}LH-{I7Qp)qKvb_y`&0C6>g;thUtT)Mg9 zgb+%cVc|cGxX)$gj}ga?$$uiF#Oc}^#r35i?&~b#3SAA{!QBY(OemHuh*N7hnIjHR zH%KEg%bGPjuheRGo5Ji-fZt^khl>GkdG!jsiBY3D6n}3)oZ+lQi8DMECb;w)!D?Nh zV!|)QwMBF)p{!12tIgH>;d2mR3n<=V(W(5~h%;-mh85*C;zXBD0d5awozK>NDYXq= zKw83CP`tx!9&x%U!n{HaQJ)iW>Ca0NqA9KeQWh@!B*D)^>x@TSEunaCz#Lb6Vt`ea zz_p%<#E>ZcStgQj$_iB_-mOqv5nmRr;;1~V-oW07>ue|vTkxee%D|wV9K@Yof`K-G z#9|LqWVk?g2a3pOJ*rItOpoF!bF&dG4vCH|-}VW@X$8e)Y9>u=NTkb7Owu8duBb#t zheYa`y!hO;u4AFDE5vyzK}H-`=!dbYdgI6b%Mna#D6R-)f?;DL-Bb$VgmR->Jc7t5 zH|kj#U`QimpYb7FaDcjnEGWQAnRoj~R3pHn?v54YOLsMJoOIY@4p40sWMm6~Z#eWa z3b6b&pI$}*>Xro%02ex$9tDV3!t^p-PuxGw3%@>q7xgvTK=EG|!CnR8n1AwL;F=R+ za)K)YauzQ9N<+P$8|^?`Z6RW};>)2jJDe}Iom(%{Dzt;5mr=gdj$XY?>$JqR1I1O_ zk%cQ#&o#a0?Jps&b`UW>E@FYEc5>-$(?C-%aOhW8v% zHIl|`Jqw>g)@TorGrZ>UrJGyN`7+Gk*WzorlQ|@MS_2S|KZuOt(sjg?uO`{`7-=4y zF{O4V#MJ>JO)ctCH_?dWQZLD_N5eN?C@#YUCyFcHLuU9Xu9mKBz8rx&Tdf$5xC$WB zQZeVNBJ-9tt;dR(!fX?)T6}fM=1aZ7uh-LX^>r~B#nsNnI*dp`(9mH-&QWJ|erOB= zJO?7}4BaaWwDQc(LF>^Lc$pIp(C}d&LY#i%6{=g?g#tX!mqlE#hJ5FhcYKAoIzptA z!<;xnuc|eG%wClcr{4$$Yg{h`m@dV2Np=HRG0d^7&H{4jbS^|L3YgKhr9Y@f z>~p>hSLl|wbf+zftE+=o=oQ8*lh0puZ7SQe5doeDk;^1=fQGTER-qj-qDyO`_qiD% zj5zjnMph85=MYyl6kvCLbsXtwyte!DG2f^< zJt;9git9!}+%3i{lSn^TZ1zuk5a9U`xygdK^mjaoQ9=j>sNNi4PB?L9eVi$AKPW6O z1vpURp?AB>(3i+ptF||<=!F0;fXKk0IfJ_UryQW}ex?AJ$uwyjp!N$eFhq|sm=O0- zDZnANteV9ufIAzW`#j>h5F$e?+N?UzueYt$Y*f+9a_UQT(?2P$dz@Lg)*eur&U#@d z;&MXdo{%|T>fKH~28zqDNTt?P|K+uCYzdE6it9cvuh7w6Lxrxp&{MltJMwVlB8WU7 zSpXd7$O5R2@abg~pzc8`sXSVtx`&v&LUo-d1vpkj+&Ck^z1IcbUhXF~doe`DT0~s= zvmQMdt!Bj&l~Y9=%SZlmfQi2|8JwtQ)$Hdk-;|2_k0Y*2ATr5sPMq$xIkBzb>h5Dc zIW9xLp|ymO0lgk=3A)cXQR1e$vv6U=eb7Jue#CVtM5e0dxJ>yHPhL1K!*JdD>?MY& z6xUP1EL@)t@i$#o`(ecOKZrc-w!oEHXBuCby@b{h{_ROi43#Oa8KNc3GM-hjC9K}` z4r!`gATm?>{{~?B6CPH{6rf)KoMQwSc}<$A)T@O6yF$eHnhYPunYDzf)U3D-MghKV za#o!$pO|}gIpVquBJ-@ys+nU+t!6VXK55MwEvD)Jv}g(GkK7acyoIV`6}>--OC2B3%d{3c$)T6g7OJ}#q_~!Zc;CCs*tM;W z+RB!WzZU^s0g-pT<`Jh(_UoNc;&czJc~do z)eRya_|0+YUiRn286Fu?;!Fm>?2F~3T%tn7RT{;$M#<_ze(vfC^uBo@;<^$dANkC2 z8M-Vj(6Wbbj8lfevTKXY=BgCe1}Cr3jef()^z$9g8NomV0bT`>4IvAFcZHZmPMqOh zC?(EtFVqsCt}mwmWhsleFP8?V&x;l!uI><#gXXw&>(~ffEhIfwtwN8fdYL6I-I_WS z*LU75T-c*+8ufMu#MJ{L-%IAWbTdQ*uAV_XhMaKehR+n&mTbQ8QTD@cZe5MIToBn} z!I$Z$WX;Z1nH*a3rJET{ac#@y3+wUgry7v!s;eQg-HNYF3|0-mcpuUdm+sC0#kEh) zs>ijzx`ubTiriu736VX1^Lo^+4#4Ztu-X8vN8O4sM9&)6Vn2%kic4{2;fh3jtqaeX ziMXzTh@zO|`nU0Ag3I*LzivHbimTL_g$uv9d-e7%WDY-fc02F0cNvvA=FsoC*l0C8Olk+{bkSH%&p9s`Z9ij#_7rs1mP z*2^fa7>A|n_{HrlitBK8dqiBjd%n0Aaa{+I!xrr^@m@Br#0sAt1I4AAP0Zp8bTfI0 zOyf&D|Dd>zNxb7fDH%F`1o-#T9pt+GdWigG0Z=z>l2>T?URM@C-E=_?P&ZXi02EhX z1Yi`hD-;LaE52KL3F7JvQM=Q;Le*z@t#DlGbOwu76eUi5L1jXYOMOYv%QWJ|y>yDJ zmTDGgZw-2tbQm{4w6@;@*Q+MD<}r6VT=PwEy={U^+|Q@D>SyzX_1Jvw$ySJ~4@A$f z;%jk;fe?HxVJ&R>!Sd}N^!O1_n4!torVUmz0`5zxV=XZ;EfP%88EL?t!RxdW{5 zvAh&uFF!ktMQ`wD$KV9Vy&eSEAEMVg&8s+mZbo%dfa(Spb0PqKg@&|^$Sh+}w~zw` z*f+=ly47reqXM7&fdB_U^k%mOz&1RniDM1mMw2T3mjL5`$gCg~-~ds@gQRSLZ*G2y zOyL;_(OWHIFy7pR!7n|`NzM$$;~|!p0vzn*4BqX`2Ke5q6Of=9w?g#JkOhMcGT71> z{MyT$vnk zF{Rfaz(Eil=QIZxJLX{q1%p2+dRcA^ZuPUg6yPM41Ds_NgYFMTkQ=_p6NzD<$qg{Bgg;1L zI^2pEdYPO8d`-mQnXs_Y`Hpc+FUI?o~oV?{w`PypN^>1DZ9v1mRN-~thYi~QL! z_(aL0D-qzG5PjP!26roZFj^zo<1Gv`D@=}0{gFFV8sRn?>ulZ{pa4kZBcR_Ti z+dKvnm+&g#490#9F(*Wp8^G1Pa1`JQi31Eu27q7Nd<*wIRv!Tlh3Lwl1;9?S9t>qL zwuy=ULkuqC1*QPkI61&|&TN3=kIdbO0PlwA#~}-V?E(yqVDPIdFgVZ8>`{Q92D7d$ z@lxbNdHo#3<$>sD7B#Exbul{}mwG_b%V^CSzO^M|(Bd%c( z{lOyQ3=;@6Tz@(kj+}ADdwN-3itFd>3Pry5?p!A$uHg{<#iBxW%bpSp6qn(S9jQ?L zc1pg=mphp*#fAN*al#|=^?UuNM6_$vx0G(%kXL-dejPMjLycB-Xjb?;G8T&hXL;VhRE zC&)AOkq}iaBF=C>Kx?5zURGv;H7CAwGjb@dBcei&WmV{Hf!(co4n=?uK=eLh;Gr+ry65L|mgFdeWjo%gr89XFht)jW{s}o8T(R3uOfww$KR|x4Hpw zjfRqZuX&)Ey_|9k+UoxEJv5$Lr&0`G^;Db<7*8-s7hP4)P znRlRaBd%1+0N5b=$GJz_CzbvVhCZ z5QeJZ^$ki~V!ijj0~GVeD8LQ@9&zUy0hXNSd0_&Xj9kMDB?T^X26ZPH&Y-SeXMG&# zMmaz~RiVxJ1fVK4ULEK zXyA`PQgt3L!P09R;UXsh*F zi5|Qhw7+@X%Xxg=pA(Pg)v5O;^7t5(ykg7jD^JN|Uqqp8)x+ZeM}|EqyIw5Yby9F9 zc&zJU_C!2hpDCj}-mD5ejK}vx|M;Gq6OVrPbn*mnDwMqA&4I_kA?Ck&cpT=-u$L2$ zf-}M687^i|@OYb&A)`DF^$QvDxK8ws>vHQK&pz)tFY@>}l&s06e;kFGw5@u09OuZe zC*=~4f-}KmBW_Rdc%P5?pfV?E*JJRnw$XO|gXkZB$gO`Iva|3JWaSE1H z+p34hX>x|WoOl$R2_Bp2_+kCyM1}bfJU$*0GK|Mv4&FcR!m~4Zw_cpDcVkPp822<) zFL0OkMIN7olC5$M?Ro~snKO@u^U=y+cI@SJzD^3x1dnH_f*;1?v%U-&mH?8i{X&L3 zmial4WkDm4*5k+ZJzaOrJs}~FJ}4=6nDb~THy!sJ+T&pUbLKIY`9!D6JXRK90klo< z*bZ6JHr}q2=VZ$82x2S!LJxU7Dsvu>Dh3{rEUS3Lq?gtV=!85@gOW=E!I|K(hfnasc-*06$S9BdgF=QpHs}3gX>()$h(ULX zcs%Flt?wg`PeW-V)gm4b%FGwRS#=&W=U`NwN5Prk@tOd`%-eM=>dTN(9%FtXLmtm{ z^LRYhn^QbKwzd2y^7sstwzudX4U=Q4%wrt93_B@z)p-=02_CPPnGeC^AD#>uE*fgj~)9z{xtIVER$N1@z(8GAVLG+J(a_b+5l%yIXkIzAAFN^-sFk!Ij@t8S7vg$kv&IFHcMvrz* z@!?4%-UK61+XRniXYx2$^pAs${o{^*FhVWIkNYnE;d$3Y4hH_IL zb+4}x>?=Kp)1YU0zsHz2hQB>c0I+%&ofg3hVu;GthJ2C_g&j-U$_@}oB^d1E&4}8xhanoZ#kI%>fv#Tnqg1M zt{3b3J%Te4kHh`U9_O**4OfQDNqAg@p0tggXJ(52@dac5cxoPBdEzALA7?`8vp)0l zj46*RnR^-oRgcGyL(Cwp7c8AIzO8u_oCzN9SD8J*5BRGdk2}1qJh{iC;7su7MV7Qp z@c5&YAwvzQ_|-3D$m3_CfBY=B{_*|pVFh`75lYwQ(m%#9leSe)JRb38*uxV4`*tlj z6Fg3EGkb!^Lrf&DuPahcCZzr2PeFd3`6-msd8Sp<-(Eriig&7~XAI+`s*fK9XM)G6AqJ517^}xbA|8`I9~07etZWnZ42-C z9qIE!X-UAGK0|ToaK|9aty?raS`B75-JHU(vW#Gs#T>gDX0?k&GHLc(s#+!U7ie? z6RSb3U*_}Es?#^j#~@b^eS#`M-z!1pL(un= zk|CqfC*I7X^qm*XG5W#_C-g-6UWKyuR?#=g%iI!pRj1GJ*c1zD9Jb`t_ay~Yg1*;e zhFQ?}tuI4H>HC_Ojna38GZ*^Gx=Gj6@BSRp_ZpO48no#9GM|=KoxW+jXw?&af+|7Z zyif*JNF1o*HD7+}P&c}T9`=2GkcxkQ<_$Jg@4Kz_)tF~4l=ZS20M5XA(za@eKI2(C zSk>qgR0;aTbbJwg8(gd$oWA7Sl8_;NcVwSB`2DV(O~?pFKi>`?xzybJS6`&>btoI4 zm`9(XxK)n6OT;UZmq;I5>6@90EEVaP5 zXD8A(56XtS&FM?7axfRw5`D=vlHOj`&%A;vLEl;=N81E_X3@7XlfKFR9O>&+aKTBW z?+qv$CtJ`b`i$zK?;AHO8nIV(`UF*izVCIkq{n^9O+MyVG~O*iA;ajKj#L=>zEelv zu0!|F$2@OB*;5t+mt-iwTvQKz+x>cbRi{r-CFt9wqlMA8S7v?%eFsz_L;7%XVs7;H z+kE$7q;Ecyy=>9T@5QzElH+`4#jvk%SEC zTkFn+J{-98-2Quir0*>#3tIGjsWX`e8ZA{m^BT_NRi;lzm7uSslYtiWwF+d&PyXyMyzisQAr=x)y3!zMQnx8rh#jP@ZiMJgLGAS;lFV)_cA;Xw3 zHs}?hY}p4g$|_r``n>c(U8Jw)q3?X2mtJ+?{ct0F$k8e28)9494e5Iu z%6_rv`x1*i%q?%cDQ7@0TZtOR;#xlsd5;&3(`OtDO4;@->C;gqqVLiW!zbwLs$|HV zguW}>LWa>7QF5g3z60m(LHYtv_Pa&jXIOoaMxS9Um=k@5l^bdF8OMTBF7yei1btU# zQspC5^~jV_`g;0p>5WF;;q1Qe)X~@47kmThTLfisi@wjWT%JZ>=2$Q%`V4P%YV;Y# zf;rJAs1o#D>kzc02QI1JnKDXWpA7mA=E*rmpTjqrya)ddl%2Hb`wTCvYV>7}1#_a$ z@Nol;KEqfrC;9|cg1(zFsq&HNb9-#*O`LiAhlI=q2OH(u_f2Y_*9PfZ3S35g-!~{z<|LGgdzqy19&D@R7=6F5 z*>DNcw*(HJZPE7`7Ivld8ODM+(Pwzap3-L=3+6R2! zoccZ-(_Fpux;jYTyKu0xMc-#w?^dHPb1aw>eTIP$rOznKi9SJwP0Cn9}I;oy}PeV?IdlsTY5=Mq0!mWqR zf+|7ZV;rA2bv%(NqxAVQ>GKA1i9V!naq2`Dr0;z=Xk0sz&8{>Z_igh1XZlRXeOq`j za;HyFCFpxPlPW|DspmLm-uI=ZtE?O}`g~H3^ld-$4;krO4hN_BETV6{m$@MDR^b68 z#l16HTu*kiSYEW5j{C%Yx7_IyR0;ZC@G;CH`etX!oP<(;CVemYa-{Fh^IjW(^sRt{ zGgNc>OvioV-dWD{nT`8&_uX=*Pf#W3n3akJY8#Edg=&uUshby)oAn>S z!8a`WKGShu1BJQGnLg8TpSbUqJAHyGLEn6t;S&8GLOF0r`#=%zW4@}xuwPR5GYu6>5a(fsUyBd*2`A8iQZO^ zUPhxY{zFDyLL8)aI`w)QeX^pLQTkGXD(cru^6v34JwYFqGrjTXODU+WZ8ZA!yK9|zG4@1A?Vwo>SZ+gQl%Ni)#&?$scAR*-K1#c88VH&h{S}nI`9Zm z@sM7o(YN2Bmud6~ssw$NOikB#k0?wi=sSjpw2jhN5zLXkZzi<>r0+vGSn9B#?}UrF z5cG*z!nC*^EN-POLrJxAUuA8VUZ&A^%%PWQ^a-js zeU)`(rpKeNvOap!Hcnq<1BZ}d<2~WYqVE*Xc@O^WIz0FC1xVi-IC#WwPM@Klt8(9G zut%fMC?oV4WRyOGjMAs0>i_X}9$-#XUE|NW$hxAh)Nknk#1NKkUAi+VL=SilsdGf3UNzz)8K#ZOzuoJJK=lx|9#J! z=b7D^bI(2Jch4ljbGh8l}6dH)XJuU%9%|6wmXP++R?0a!HW?uuB%i4=ZHlCe%rvEWJsc&~%D&ja> z;DTf2cx^@bO4L@)?cubQvpW=R<@{b*TRBtOqpe(c#GKW`Yy6b|!3 z;b1!yj__c%!q?omra<9n!~AG@+r`BXLZN`>1s;xV!+=BKSed{U%=(5*x8B6HH(hXM zrN~^LS?rm`y>Bc)?C6oG;_zhSN`Zsp29#6n-axV)HV^T&G3cHL5QZ;`b^G&$%G?j2_h7bBcJm zb2}(pBH`kMLJTU}SbWf_0o%i5dwJ85cJwX}qr3(EqqkSPG$10))ys;aCTSDwdTX ze09Z#5UQy_$br=}PsO_34WT*;C>{&VRu3av=maI`rCXc_u69Q4hHkpm0M{A_aQ*=` ztq}(ye1_uUoeFbsX_2&b*8~TInxbM;EK+klB%v0TH|$fdLCA?oP5~}`fCjZ*b?Gh- z?{0^*EoEE~rjmm<}!ZbuRNnrDZzdu3+uQl|6XEi)HDNJ4`P(bxBeuOGx0Kl}9?6k*b$ z09>5pWVtR%!n|u8uuUyNUq7O+6<(GUS}E=1bKXS}CN0M#uVHYtxU5T;B`89JKLsFn zt;BNWuH$x|+xQfO)?lumG1oe$#e#noTm;BnuiMDoC{|uy7DK;5#>EYx&1&^+;c*Qu zZgw(@=W^x!^IYd4v`xgt4xt?awYZbRH7{g;BrwI??f&UGv&TTlhsl{92xSGR;vNYX zC*nO5AC?G-Z--fT_T&zvU z`Vh(s;37gOWcUgNHm}$|4?>0Ls}OyiFnlRu%LPO7AQbiD;)1Y6X1>B8l0@qaZQ2T%K>IF_aDXfX5J|X z+fk_^s#JAS#i|M}*jTQ6bj2K3-!rp@L%4>F3)-periyiNsqKN>T*G2en%-mPCJ5hw zHtrB0e5aQxay+hKt15Ks79*Bw;`7TO+|UK#27U-T{8X`#hzss(8mRW~Mguti3O7|~ z8V>K_rnq0VsHM42hVXrE2samKS_=->ybx}w=6e7oEj^%2^3b$acJQsn2TXD5gj;P; ztBnh;-lJ}{Lkn#cIQ4>Ff>V9P7H=1ng>VNCgh%)xua4@VBW`s>C!Jl?Nap}9B80ng zbgQc$7amRxbF$K%8ZOUYKej7`y9FRZM9Aa3RI#^=iyOjyB)Zj?t|<`iuadmz#5Eay z^{FLz$qr#&f>Zsyy2$~e(0Nm92*2z>HV&=_Ro_E62oLr`c$l9lhKGxbl@A;!;vqaV z0H>a}v!qkc%ZK*vY7gPpToAtMfpc3_8>5|Y>d63{8{nc?IGl$C8NzRy2oaKV>?{=E@JIa4YZND15{V4H!Abh}2 z(+-Nb*de%vV_?B`;-o+s%yNV-ZU}BPlD3HNrI+sn;bWNds0V`EE!4(I7ld(KK9SEe z8z+MH*%Lnh0m6!(RP7go)LSnLqKiM{d_f%!T$#8T;G)*sl( z0}%cg{k`R3NfDdn+MqArfk-6*qObEf`Sa^Zc5c(G-uFYK3J=jY1jv8E@YPRl(r?M1 z5UIgKq&k+Znqjb}=X~eG4@0E3AEFbz5V7;R!P~{JJ{s~MMC!^AeP4onUQfz@Sw0!A z^)p23i@4Y!f+KP~@{tDKF>k*928I^>JnVwV?K(!Jk+P!qp}`Pof`NJ(2}f`&SNA4~;EX~88U4uwV^wY-!`FJ)W zj*&l}e?BXtDnwdYAo7q0A`d!QQlz!um}j{Q>AVnmQ-nwhm!8yJ3J31*hI9%7x021K5>Lu4}M?5^lZz2$GaZCDDCz7~kgv_Sp` zJWI;|%5wi>SxX=?0Fz!s!u|#!?|k{~TC*TB5Nr8y5h5>GSgyz*PC64S3z5NC%jq2C ze`^^0UV3lGdnX|>oP)?PEZb1Gp6gY2^-VeLAo7|AqTL0E4AOH&Mv1vSw^xJ6XsqQ{ zZpdHaV!85{OPSv{`wb%F5Mdma?R76pij4P$?wG$Cm% zIU#?8#FFy2Sf)?8SOp@Vps`spL_X$OQpCfbzC3jy=0IO~TpO7#=t;BPzcrrx07T|^ zAo3dz`MV5*yQPkg1;;?-YfSo@gUDQ2&-IPm{rC?UjRh8nWMT4bkDj#9dzg310q~-QWCy7$F*LKr^hVb{Cd(} z@5EjQBN#vQgR|)T92ZN<&zF8}A!AkkiAjHo5ZTYMq{u;T&xq2uLF6!Y2OKUVdjopX zU-rQRkB)@MQH)_JB)nl^NkMSs?<;#BL{1{Y2?-*{bzhNFu3;?(y@qrc!%A+5{AI-O ztgvnUWo$O*y%4S9f}qW#8!Y46#kYM9B6yl6atX_JL1Iaf%TkNuy=p<^sshmt=$!qerRnXTIsuV94=x-;a%4SM(Biy%-F%1?x**yKeO>eG zNufa2;rTB@BPL4NT*+{cNro#1GtC~xxukqQQ&6R$ZZZ$E00|QeTAKR zQkuPIc9oG3E$xQLeB2c`Gz>O!`I=U$1JUvr-f~#BvZ9`=f_R|P=U-#|Wb6_c$27N| zWEZM8Zao^~hbK!nU<{imEGgK`HL^^(5JYPTxL6=sO=3yWno>vU$io;U1$R_DMD2PE zqqqA<4Yu`$Xgv&V=1lfwegGs(PspR zKI@?td%AGV57Ax{-Fn`QYYLq2q!RaW5?dbuP8{+xZzm3m$I7j$4blD*sX7=>GjFo% zNt@&*y+_rD=pa0IJQU~Oy#v(ZaE{n|JK@kO)!PU>7xxODRBNE7jg;Yfxd3jdz6BiL zyNSr=W+tPf{oi(*_z2ES{J8HzV|d#ui_bV|l4sa>oS9(RUcq__NGvIs8~CVC&jk>D zN5aJk(YJK`=ma@t|8@#Q-{WxK#X6 zM5ns2+X)c;z@_J!7UBMfwL-3~VtH8<~Dr4ON(OCgpuv{M-@E-d!%c}o^ zgQ@_L0zX7OUftkquF!sBCPe4(5Q)f;X`F7$?CvZj;5#y+Ut>~TH)K}OF)}Lza+Y){ z2hsT!0`rk@woxug>C|@X%Me|Neirf&{Z6r1AiBtd3kKkOwIUYVA^L*^zM5{v;j3kF zzge#~n~=t+65kB3&;1<_Rk=H$T#dck}| zDy&usyW?sX=5%7i2(VnyUp#NNor3Lj4JQ4J{?@5&Wj$`K!>wP{*0Di`=msqGLo&;j z*-ChM&baOn-Ry$Q94};c#DZzPC$pp1k@N3jh{||aZ9yBjqp?8rH#tFcW{j+xG?F61$@Ua__y0xlJ9nT zWtDofAo{l-GX1y|xLHzWp{4GOpRolW#~gK>;7jweBwr~$y`H@#L{ABjxg9y?dRS8Q ztQ?v(q#s1jcyVz-^t?==vISi5{{=tYx){Jk1m7V&oA0pwk2&2+L-Yz-4B|3NVHPt# z@qF-IyJ`@<<^rG157BdKE#;y8f9()0@KB2d97Ka&@D1i!Hs28YZ%3qBnVn-UvX9crh(z<#7$SY_u@3GzAv{ zveFfnEz7~X`h74DVr9_cDyUop(!bbgaDxVwEhg z^FbMWQ$?1`H_g&&d+WInt87O<76^ykEGbrjIhgek!Vl_DmRnw0P53$-# zx>d)GYYO;YR9H6O0FOWKWdgA~{K#N|tonAAlvUp|q{hTD5W5p|e25lnSg4H#3N8Y~ z9BQE*XfYkrhjT2O?^XLG&y+F&Iws{T`Vc9uH5{iAAW+^-5z8>Tj@@g6uVcM zefXX_5Nn3O&3K4873!?H2Nw=vEdq3_r5$4TOOVxAVA-;o@D})Tw zq@5kOaSiY&HrASltlCc4i5BD4xwAR{?fb2w5Nj*I&UP~F#JvGaYAF4%;h+8x>)?W{ zyF55r@hmCU$(?r@PZq>Fx*+xlVm|7&AXFDz9!1QqJl%Rs#KjK2ffCE+d)d8u>;sQM z>I{|&LH?8tzH>#Ww&Gq~oKAoi?U=x5R5vsm3VTza+|@;7sL zEQHu|0r0&fL)J@vJ!zoZ_Wi-W5PJa~J?w>851u8(`rB_j_(&6o^+U|Q2t2?}fd|OA zxFPluN4EyLaLo^y1N4Ao@=|2XAI%^(2p#e0@H5?+?{o3{y9dsI*bqNtRp-GsL1NB) z?|QnobZms!a0z^$Vy>BXJ!z(Vq3Oi!5PJ>#b6*9beh*8EjTZL|pJ0QSAmD;HuZt9F ztP|H{h>i2pt?>a|M96wYca}AnUwZtnaS(e;0N*<@_@3vOMPF~bGN#@Qh)r;TZwA^K z!&4jYxga*t53%>vLQnESY!arga_iYv`Cn}?DIa1}c<}YYq;ZDDasKDS_LC5sicK41 z5)%#lnXXYip3H_Abu=B5K6X)O9|v#|A@+%zZg~V;Ea0nZIIHIRYXP?lVxK#~Hx`{a zbc?=5?)UF?U_+gQIaXr4uIove0kOPP*9Wn=e(+ThAgjNh(PzCVUjEwN8Dif^;7iBC z5hqKEd7U{8E*^l`0tpwy%y3eu3sfEf$02Eh7qa>VAhudX@9|J~;%MxrWn`L8yx#(M07Y#!n;gvE zOdO58G`>vp-hhc_)1TeV4iX2>&#{u2W;4Y^^Pa6OKL3q48VIzenPyY%qjW{R-~HsD zclRO=&P|&O)4WGEvDu{h`tN@yj=BNbikar!UK7p654ZfF9C6(4r;VCvHjzv;@A@~R z>H*@Y?WG-xX*TwlXg2!6|N0r?sOh1-l4;&0nrJ#&?^`{ZII0VDL|~eY1QX5lPnV^? zN*q<~bXZ}U4&Fqw;kGrCvF!E$9k!Tey4ysvLB;k}8xlt)KOM!GCLR|{(Io>uBk3VK zoj5SfT9S!o%})Kqw@7+0KqoCsv!=&Hv&Mn3?=~grd44+cVVZcKSMhqU-u&__^+urPTI5Pm}bk zb~;mJnpIpTnw7^^xosjzzZ9TzTc%mrX`*Sr{m=GON%{qx-9&ZocFsiew);P-wuq#k z7w9yb*}TneqFL#qoc1q}^s{a{S7(|Sh2kZuDDNoSoTQ(2(&GfCSs`GeS)ojC%QBLF z%1#e9m}Ys!M6>*#9iuBr`f)Ekm|>db{3e>^dUbKtC+SB$^w@@JmX%F3%Qk(`d7Y&H zCD21Irdh^oqFH9)no+Y!`e8RcZeyCIB@@lktB1bcn4}+c(gQ=LS;}LgS?a2Mwg*Yy z@25wXOfyY1(M$`IA`1aB%K~1uF@lK9(oQg*TWg#d}@;3Y5dDvGfm5NAGZ~6 zy>rtu4ROu0xMzyDrE{IUZ2w;RiNXmRh@-tk&s4`Xvpe`rG)oPrRbdNpw8axB>si^) z{wbMgrhRaE2=0$sEA-55+~&EHdf5}a+bRz2-HbRM66whjrYXuMHm!r|z5Ek#v_zZd zy-c${XQD~wKIOsvdkc}C^JJRF9yY-{%wEvSN*qp(o_maIp2f32#iL}odAUnz;%J7E zuV;^Ip1xO){Mkk=sV03Uk0FkyWqNWxu6bd!hj~xX zOnZk|o+OU$XjAV;7c$H=ZJobg?<9_HGCeQFyu0)|O0a1?Y2h0a$74J_t<5x_x0rY* z!-PHe69*oo;pt_j*~82xd^zET*2K|CqNjP|n&+?AW z^IIf6o`-UtC{G-(AmP_ByqfqoGaZ-)2>H8K{G95LTny!@DjaL z5!cMVBAeK>ttnRnHD7emvx9L>l#e+J+rb~{@gSG$B>~GdR{-SdA^i|c|TXx7(XA)+Ws$be8dA@ z(2r|ogI@Lo%~Bg%7Iq~LJgUX3=W)$*^LP`RY4WU59f)HpPp@LfHP3wQG|{wGI#d5B zaeRQBlkIWM^Xp|3P3y+5e>p@P?@RQ$b6itd6EM*vHFtCqw0<`6T@QcL~oh7rfl0eZU# z)7)Xvy&E>u%J|o>A&%8d2vGv;@It>Hw!UMUXN#jW~n=P?>oeiiJX;$xaOsQcoUmx z?=7471##>^&U98s7q;jnO0a2bz>j;HIJOJ)dRpA(g;Q=5o7U@dCVxyEc<}`9X<^>| zdOQ6{m1vY?ViVSl|1*O)Hlocpb86HQA6WmOO2Sns9RG~+f8 zey>OA_wSAU`-qNfGf3J|e2}6oAJ;ro#lmbRXqI|q!?-FW?SM?5dSRLq{3e=dqXxIX zLDKg6>4nU==FyXaiKeafgcH|E+D?UD4UKE=c{E_6X?2!rcM?wI;jJjya$NIJE#5?v zENwg%A4GW^TZrP1YaX-eQA#Kgtd<9Tjn99G^kE%c)6Ax2%(Tbq!IiEa`m{(~^T6La z=fO*M);`nf?VWWA?;Jz#-I(T~PrQsXLDN<^cfp%@3naEW!ZZ)wXQpYbII&I`?-BLW zyCdV?4^?oR*d$eV#j3-pK6ZNhXk7DPBQs4{@L`!d;8ZUsy#Y3^c{t5)V$;%l#B(=@ zV^09^cG9?}cDeb~FgHX6h02J9U62;AZ;X`VviK$Ik*GI9ip$~`wO_!ob2t~5X&1{w z90$=pPNp}@jz?J0VP1LzDwW~UmUioEbOch5bu-x(4*ffhauCLSil(RD5lkG%FmEfg zqUKfaH71S|nD=gh%8*&R?L2%p-s^pYqcZfNKAS)srz8kX^2BB8b1B4e8gIyM#PrnX zSO__Rcl>dx9*qHAA!)A%=+iFoy!dPk)|6nG;b+oWuBGzTtHzTSZ=8ZpJbkhZeLCm4r zQ=dR0H?9l~I;$ zRCcYojK|Y5mC?B1EZjm!UDWI8r!s=#bA1$xRlC}GI!Alx50*bn9|LU9!B2kg(S5IU z$EW|+!nx`e`UD`&M}J^RgJp-&4B$h6szeo{Or$bxSfp}vyzC(TA0Ggj3{MuIOdCR& zj20d6K|i`pe-!XSLtY15gwH9`kE0lXC+#+O*UAXE4syrg&Gx2%T4||43ZnrI^U`hl zGXRSgL<4-yt!Zg`8lX@FkUs234+Rpr)|__Veq(rf$DdHLf>JxU`;3 zbtx<5O8ilo#`Pt~1=w4bTUqY@8kUN1Z0hiX|seOZM2^!LVEM9;QL?+6#c&*`>jB;IC=h3cv zDe%)Iept`HZ$q4okUP;`3`c7kYXdAhb(4t|2l&WmqHGIKWm-q2a;k?@B$R0#n#nfP zw0Os-GKxc=o>VVRt2p;htnx16Y=T@-Oo4OA1V}n^vWLhMQHl|k0HPqMoJGySx?~8Nj zdMo69nAFX6*Flb&qrY3bHfs~c(503d+zGCq?&8Qt*XVYdl%mwyxv&4(wYL9bdRnQq z{UnvCuG9Dg*Dd??+lj8XLGBFE+%-O3OS977txIjin_*qp*kic zm0BGW@DX9v9{wqLBq~cRuZmMi?}XU&+^&qSh_eH7Jwj0&772Xlm}M`y>zWG7OI=@_ z$0tPMfwA{gLf1PX_p=nEW9W7_%T8Tuqoc7SxFt?}8c6d~J*{H2=^~Y>ICz~;-MQfd z^@}8}H=cb)syVwgZI`sm*V`!?-ZTh1O^qFbKa2K61 z;S2z)WlX*MXCp%<4ERz7mRDtPxO9eNPMu*(_{pQlup4r}=FFRCp)rvvF#|qlp2$F- zDUZh?+sf+<4bL=w3K_B>cb>(Z0Ut_dc1q6BnP+)v9O&yI;tcqD2%W)k`T3WSVGrca zS5h!M#xXl3X22Ivu)HcmN9|~|jUAtg59kbS_P)Lq8TLZ%LOBJ)lXhmO#0>bbJQFg)vGc1q6hJje1<2Kvl?oZ;*niXMlScMOXl z!#>DeB&J~KBQZNAXXuY3hxVfk^mPENJy}_#yX4R|ppDhqDF>=cHztc?vB{)F7+mJ|#h>2_{i z7iJ6|z6is3Jr4L51)ag$v)VLdH~_gTloSlJbvq?znCnVppzmae*9E?lL1(!78;c!)FL8y<9q4s)!hk>L>JdZiQ$-|Kct&alLl$Uxu1 z!x&B)40n1~uSJH#keeZYYzL+A;fG?)dwWo-~I)&LOIl~5-+Jzwr=c_0 z+gOhy!{7M2ja1XyEj+VRa)xap%S##Pn>tt=w)=I4dRrc(m$OIcBHi z3_g}s9jkM9h&n?;-|XA=g&D|j3||tGsy*zHn4yw0>=RjDwJv;$&af|D7dTcgJ@+N8 zi{p^Hn@bUg0|K*?ia{Hzk8p{4+E{(U&txit->8cNM!zUO@+2U`34CRVHwD9K-A*b7 zZC-80aNb*l;h@nkju>qc4nO-KGMt3mL#g`3B@eSxa)y5dmRF6#-$opc8*zAP(5IQm za0*|ik}3}W+L@h_GvozWUX=l>hV=_Y)ceIBeIEG)85GDpnJNy2|BE<8y+s(#ICX|| ziq0@_@#o(o!)bgQnqb}@@Le#>PKo1y?^9!W)i`8(bcRbVo#CC&szj0D48CB-pMt^W zWOho-fUl@wc~yqX0iEF=BMwWN4x#g?vygkmnS!C*|9>3t?Kedj@JR&L9&(I06z;n4 z8#0{3*W#p#Lsf;@DR~@f$SkiKhg>5LK}oNRSLIs0kl{S!=B0{59cJc#IPNwXAMl+$ zEVIf`=+@&9vFHq6y}gKz583!KA5V(9;CN=IR6yqq7 zc$tBnfxuT5C7Mj_+T;vj_&%BCRbAT>UBByI|1!G1gm2zU<+=sOvfr{2;@1VKF4fa^ zR>nCs)pfaeV@$XzwNCIhsP)&!$Z#3*%E(2F&n}xKohsC>{+uYnb&&dvU%%5CM7n1D zDAxfI^%71qs$7)>t$`+RZT~~4e05I@xvteaN#?%m>q+wU6BD-Av3PB_h{9*( z2O-xr$m0U$^@y+GO7y4IV^@EoOylZSL@za0Z<*y)xf}5})Oml72uIjp(lHmG)$M+$+{ulD@6^d4Z z?s^D1(|)uxn(JYFqD*uBnk!MJxo+oXGSzhpJG%~^_kdg5g!P5k>j85@|J-3T0~|~1$@eg;4>zG9r_Ix5ikgOPp68Y8K804V*uKV6eM*OS5=At zKIbYnTH@Y#{K&r%Kdk^?JFKJ#T+wTWYT)8`=TQ4P*MGV<#y1-m;d;?ujBD#{fgWRW zw<1>|hM;@f$dp4#GuNIKwamgFumlHdE} zqGu5>40*%-DFBTNMFwDh4|AdeCXH}f1SiOedTIpmWxq_O0*>Nzz_DU+K-aXkYY{L4 zd1F!x%lPhNX3zjM&f=FG@C}LCQvu&J`s_Q!s`y>Iyz25)1dKx7TP5kU#wnXy0w(n# ztqmH7#46x>Qo=rURbg`%;=~|NbQP^oeV-~8sXT3;Iz?n{Hih18Ko8jQQ&zWu7=G7q!zax<- z(l=wo zR|VW{0Pal&-0BdwRC|6L0+xlm-6;U^4b03Sb*#-1=gWyQHG)Y|)gsulNL01anQ5 z7?^$cd7OEbDi3)_lDPDl#4;zdLj$MnBUaiIW!gTX=w4h6628Qn>8U}&%VY8L;&~^% zTK`0bOX-~&B0~kpJMJpl{B?$(0?dxVkl|01rDm8SGCh?+;dO?y_BcadOO4>E+mN9m zNpttdX?d_pzm$2#p^;psKFjp|LSdr5au?>yPD#lCgG+ky+`2( zIuc>j28-_zPn4zZQ4JKPrvm1!WJRKU^(V0jb3)%+tB5wI%cmq`V<#Kk-tfW{322H-(4Q7?4__ee}n1*~KMR&ga& zG42;z&;GM70#<|k+fo3UbrSqi7IVS?**5)8WfU;+M`hZM+t`__fYlYfifb1GoNMXb z$GHLlt3!SbF-0e7sxU{?iMC%Xx{Fb(I0;&d;0Z1Q0@jB77AXMD&gmr0{58M{MPO3)jnHFU5x|Ey z9k4A|9Pq)5$}9w|1Np6G^9Ux~K7Gr+5r2x5I;VQ60bN27zz#+PJ0%0In`=2#kE?)y zw?n=wMFdTsD#T_H|4|qm+?#Q^M<47eqvH1uArj(~SSe%BO$ z@h6q3BRzugr|X$at77d9227SRg7`rWszZM&V0Q!X856*pcho+NfOR4NsgeK|a;gXX zqen3Q3J@y4WfkKGK3HBAu%|xL%kOQ>HpjN9UlzIQLH=_|Tl4 z#4nRj9r{xNd0y|RFPi{9)o1T<1mqz9rIG;FE;6iXfOTcnEB?84E!G#Ao(edGXU7cr z!`XbjuVtUO?oH&X5BWorxb#{y?C7f?^ScN$?D;CEJ% zniQLDn$}`^fEAR&XhCYiCCXCQqA_z&0mm54Y&>7QnH5g?NkG7ckpFr~0G;kc7!9zc zD^ZpjuoY9&n%Udf+$fhF^4~T3Vvn|N1-a58|81#g^Ve(9uw&GsQTSWeqH$hX4bns- zNRv#Ox^l-RR4e6x{P&WY)GcfAVJ|DGQHxJwsk9%Jr4G`w64O%wKQQ{@SC-Pi3 z9qLaVQ6om-sVwz~Y8-c~LHgDR()UvFAbmD3MuXHC@{=Fpxn(WlWyXYnXia@EpD0UR zi^Dmlrvl>ilO6djHvxRM$L=Wz*aW}-qZEzSEdgKiFegSWj+PT;sR74{Oiu;;$)(p~ zhFgmu*5V1JF~*?O-H`uN5|`c=4Le3H8il`gEpB%fVffjr2Wg#2Q@`YaPZ8!G$X}Dx zq;46cHv`Ox5u^!9qAYcgM2YFCLE0ecfLlxet4+DM5&@e+{>G93P8FFG18^pWUHeg4 zYQRs5MsSa*rEQkzGxJl%2M~mnJ&hv25FZOq}?V> zz3kdYt02t1_}!*_#LT| z04`uG2_x#a0Vbr-sR8jqQ~aj_9yI#m5u-0wzBczo;`hUnn$#_8ajU`#Y6R&wf1)gP zkTN|?PX){|`eMEbV55mS?;zj<_&u_c0PbNv68hr4025N^)PR5LdMaSZ=!;RKFOFVv zWF&Gu2>GETF1;2FJ4P)Ug}-$ze#ua^8P*NGFXE@uiZ}IMgKUo?%tQE%HCNFlb<0{j z%rh@WEgp3z%2EgEq@C%}Akj~;#b-CcGA4ir<)!NouoVQ;#1a6W7MT+R@VqxsmKw0= z<2}ivfS4EOn6bJWNjotf}|KU>y^{HO_2$>iS{)u3bq0 z3th~KQHxP$qAWGw%>dI=0qf~~F<9TD&u*kre|&{pZ6R1MiA%3V!;VplM&WN=i#y## z7#bQuYGl&XM-5-P1!3CZxB8Nr)U9eUYUP;|JxKBQ5K}por3?~&0g&ZYgVaRP`(iT_ zz-r4{KaPOyA$WI504vGNi2+!}n0* zWO^#sqi+2+HoSvw=y`hUL`MidnslL8uPP%ub*)vEQB*2Zt1AAEOX`Zs4xm%|>GdM6 z9~aE3s^`ElFCarF{L-Vh1Xa}mJ!n58B%OprS?Z80TN@c05sQ>rGf1mWjiAYGUl7LqSo}xzvQvt^XiVYV(9pMXY zAJcBx4T9rS1+I^i+ND38EB?}2CexZ;QC2N*MX&H_TyJ_+WB5nqnqUM@v?m2FILTdi z$J~kt_yh#sO%XV=zL9jFIt5Jpv6@Ymsetjf^wKmHaI#W7aOW-k-~PBcay<#bDM`C! z)@PF{O6#+ObeDRC{->8b6N0XCO)p-d>t+NV$r|H8uI><=p_n(c_#2EVh+d)b_a8Hv zTA@kL&(R7^{L#E>yb>PqQ@K2{-VDnC6a+uz%>hGmUCfaI_`94a z(^_27ZkZA=G*4uCRlqL|z;8?d=Wdx>9|50+;M`PzCihW<_cI@AR7(cijRxSBWWb-!Tb_CH(T@X90yMj)v1rd$0Ye*f$1H*n@-Y^*Gik%jF-lr}8)mzZ%qa7p{r)PXzcVtG|ApSu{>x~+E0uJSFA zs}}@!yHZqWO@-OfD>VLcb1G+COoz!*a>ZXjPxVx;KZ?g|-32ad*(>Xj>v;(NA(?Z< zKOn&TsqqSD%XFLmO3r1>CseLOyji@SIR1Sf$>Fg2#Dtu7tOO8TI&;s2avUCFV+a^Qy{q#-)!O=ar-m;7yZT zwAnBR0sBDkjA9NLYN{l{sDb<6%$E}GnpOcX8DsBN6ToAEPkuqbz7V|3r2stP|33vx znEI=L0ZETwZXhXw!F-|XuDTl$upb2fO;N>WCl!+JSy6|!`1et0VQ96}uFAea!({5v z7JWye+w`Xb78+F?k&*$U3LLHU2mf8XF>0~ferbTd; zo|gg^lu~rSa;{{+sIqwA+9wcj02GuK&D&tqFi4%KfJx9=1V0lJVYDiq!zaqL2pZ2{ zsDKsy#YUHcD%|N!jp@9Ehk{D7Iaks{KU(LVFDLp_xx&VS;d;w zAN2}q1=5#PnuuI4K|%Et75ab9)l`qG%2i*oq-pi&t&Uw{S%w?DOR)1Uo1?(t>U72H zg=Ie}bcKUUTLL!@roDv71EJs!SJ6;bfMu&BY$Sz~SjpA4wF<3sGD95tQLH z7iEh)MPyDYTWmLE*dLnPi-iwkloY;r9M$l(YT@QEd@n=6JxM);g)jc0Q0j)_so_hS zE2!a%e|nVZso_hSKWO1wB~Tsu)5Di^2(5*0wM$is>#5;OnyabdO9+(_zBPJYBYf+N z%GB@~b5gbSx#ZO0i#IwiYla+nYXrJo^H0X`4T6F;0rS=u-K@LS!KntI&*Q8nu z4#Si5ITkg1Nv%|CeMMWi8onewHGD}jEqq3(jPU)Yvl!vqDO=({RYt>?Fwm&sb32QL z@7A3+cheJVFnq5-K{v@fd`ZY^>r0ZU;Y*UG?z}z(*M79PsXOl;rlz)1EqrF3H_O8m zjqvSbBHYch&bwb`LOp!ZgQ6kB&O4y^*mvvBdu;6%`ar{ADCm=P&P?yTN0^6%&YLYW zp&9}nYcl?IWhz&T!Qua;POf#{;}X@OKRtY=o%fWWX=!??JFgKcBYbDPEUyv1Y~7v_ zzKa1v55qU=7Gs}j=UqMGuFo-iL!e+-s80+x zArC{Q#nn1*(N3w3eev(4Q(da3b>5Z#$2Rnzkt;j5{$=$$uK2Z^+wy6c#Ki?PqN^OiZ-bufl+ zI26oHG4{po(B10cGu!jV?o=3xS_}?D_21~c386B=*Fa}6!skc`73;jlS!gwU*z8L- zZBEZ{)AMJqLcxzI#=fLZ`M>VGvAg8NFsJOivAaEqdZ|0_t|EF`>uaW0xe>nRx}MSc zTH2WpHGG?jkA1i9ysvPLKF08kfP%Ft#=h8tx?8>VnRedRJVVi2pV^)_)>cT=Q^RMr z=Z$r6CF-f+GwZxDBUDD~>!{~7!qdjGNBs2J%M7aPY<7I=Y8?`t~W4z zuR+1CRAb*0I*J}X)6V;pUXyzG{wtlgV-Y^B^*y7r7~y+Xw8VdU>kI!b8#3H=94kKd z-Fn)*t#Mih4Btp7IOI3qb^M=q-sb`gnTA>GyhZn@I0RwKc!8-U?0Jjsp|w5l4lh$Q zT3X^Z3eKk(`(lG+=7Qp- z-t!LeC+el%^A491^-_1<*Mvm9)ScG|l@UHc&ug^4u})^s2;caCA;a*6Z!z|ncHU-7 zz8!(#6QCe3)!6rzj-rRpwDV4IF%-2J+<$A&YlO-O-+MZX5xz-Y#;1ob;p!zF`wGhz zANy|Ic~=i?IuXM+8VYSG#=iga&O3!?#h}Gaz2}{(w-&0Gde6JvpJ-1T`)25QjqrUO zV0wD^VjjVeVfbqEsdpWwopJFgKc)h`AFVhi-VM))!mX3q%UFT5ec@HM%`*k{^# zCoK4vUi5e!3LCo2cO9{Hx?4Sbrk!_#m!at4`>*VIjZhik+pMz~;gb_W6`wXod%74i z?Yt|eaO_sWl^=>5Y6*QXG-IK#Mbfwi>{Tbmv8$SWsz=X0U1}$MftOxOjf27mgrbp+ zXJ?-2f6PwOZHlD~o|-Li!Lf3@wvxAup7cgZaGG0Nx$p(2t>kCNSJt*y@C^BFUSiAU z)l=o9p`X83zIGhFkB8Vnp42}~!|^u(%1c1x3A`78lXbDkG=m*iy_*MqI+?%}FR9v3 zfUC6vEa_@(p)71p# zD+IQ93Cuz(Hy^?E7yH!Z{w#?#1r^804J8~Q9ZFj+E8k0a{@u0RBbO4h#9$VczW*T51|Ni zMFWHoJ2Oa#N7%K01R;O{2Yl{rn+uCV(nMVBpniLtkTNK#so;z5oiwey7Z(>H72R~J z5{GME$i7C~0jcGN%YXRc)W2$4Jv$+s6V6mn^ELDn;>M_7^HR-5n9qThn)&J0y&^95 z_&3Z+a~G+y-vaTktGi`Vua6AJhRam*S%HwgPC{-|Z9eBALMCyf zT0aY9+@agZs4Gl7KCvnxQ|$0_PYyCTQO{LhAmug|5Hc0Tb{R4n^LkPf`{JvOXA&|! z02#L{aN-cFZ#Z#SgmX2U67rEust!hsrktLussHs&b4L>L85+A&f()bEWVG~Nopt_Q zLcS0o<1P_S+MO)dNxSm#!D&MXnd>1{-$8#58St%yT7#y&L&yRSGVXD~iQPK+*;df>`64`e(g zv!sltg$2U?jf8ALx{kQ?;`OBG?bCbI?M{e{blo_(ny#>>9hH9KVV z;aE~eU;E#kgJ>|z0vX*ExO$%sf7R*y`QouAgzS~j7$y(2=(z@ZD`wxdn2_@PtR_63P)D~GG5{c$yPhd1v{=uaPw1vHs8zGt?-tLg9U2ZKO9_^1B6`n(6sBA z=bD$0D{5Mfn~;AM?C=(vmhU1Yg6ReRxI01$Jykcg$v_cLNH74w?jlu;c!+hrAFj)8 z#ub<(PrNvtBUY;nxmGW+mUb~4*3z=8TN@v-mh$7mL*PY8&o#_Fs$0#ch_yTifyolF zR$@uSdK>@vgJLffIf=ER4A(aX^jsgv&RQ3KB-Sc21YUI!YYoF!4Y@~^UtcBG>gcOF z`ubSWbA9T!<$bFVYaR48)kCax4PW)R&c2haP=vnjK%*a8^jy=ubH6TJO|11r2;g4L z>M(pc$?-{{T^I6xc-)?=Xy=*x@PcJVr_-K7V*UTu;HsM zmvdv+d=#OtHt6eHRO>61Ns_;zB(DcI*Bl7z}>{!5q)(;ql;M*1eSWc z&FMCeSi2|?_z{!48NQz2PKj^-gd+6yIQsg`t`mMCx9Hsbb7Fl8eJ#gm^k4>YRcu-3 zA&mSpXzUsEwbY2=O6kCuH_sC5bLi_!On!ln8zj~~TytxJv2$O#f=(#Ssd@Y{sPplt`kh4DkIru0`JZ?GX zgytDP{!FaXdC1AeT$gw~>AJG7!plKo{m2D5XZ(eekMWAWe?;OI`yP5|4upYLSp>_#W(R7jp#|arR=qQR~HcLToH02=<9}? z<;sP?dt>hDORV4Ex3a%75^}@qt+@nV%=gtd9K|xV%-$L1#{`+3f$y8Ka5=aD6z_ZTzF#L$;A!gs^8sf>Q!Rh zfyQ>YAipXdSgk(XK~HlN>s}TSTvZPB-YpXA9vK&$yfl(@s3z|ILpPQs);}a%oWy#- ztD8S4wU{>eJ`}l#^-uJE#IGm)C4N^gj1_Z)C)UFOxOpEd3fydA|MjB-sC^7`9mW58 z`yjYW`)=gauZZ=ef{Q?`XAQ!$%0s)}SwpO6WL%K2m0|E<`*iuwd}6&|A=YdUv0icO z7*{QgN7}N8^)ec~j0UgSX#lRFjcabKB(=)^m58mBKx{TQP0N*Val)}dG|g5@A@zpi ztPcWotE>yc6VUf$+Er~hzqOU~!_5_{W+gYVRaD^WJv79&%9v*N6I&HO-Kr|$VuzcD zSaIOyU*hE1k32+dH9fd+#8$^b73+9$aS>Zxo^I81;+jlswG_HlALSgn+b__qbe`B6 z28gYZ>h3N#t|`RUgrjLq{J8MMVsX>0d+o&5Od__%YMK-CI7MP<#88N(iTLkOYbj#8 zACvCKr15r|?IAa@;mqpXEOo1mhuFHw#PW=th1SxOAMx3!e~GOfDz;UKrMKSfEPaKE zou7D<*j$*)#SzN@!`VP_<;jK_#MVi{MIaWNVX!n0n{Hr8x(c}9H@JHTD8~~{V(aZB zmiyGL?p|W+?jn{hYDnB@-;MUWyQ${0E@JE9CzfGOy44HwJtxEYPF}jzTOzhT*e!$V zRv)aV&WJopqG|nkV(S+mHr~z3W_!uLe8G|G#5O>|MIg2p1U>0xSLWKXWr%GM=6V@( z4KbY4BV2l}5%Q>3E!z>>tA1QCr(h%ra$aHM9mF;YlSX0ESR-ki zrA&n{hY;KAnDja(z2VdizQuX_bvTHk04^f2y<_Bh*ID(BHg$<@f(6AM^k*bZv{&&x zJcHOo%puy*sFC!(vwilQ{=_zgBeuz2$XscU`@&Uu!glA=#5R?O-90cVixn9%_i$eg zy3~`{W{41eUBTM0=!72$lB?ztV*6Oe#f?>?hW=9o`V?LCw$sqhb`sm?GGsN?EoL?2 zTJC)c73KsWbA=Op72J%&SJCotp9iNC+gA!??)E_DMGs5LyySYaS9XZl=E>kIFF(pC9LI*U8tK2p zWgQC@u6n;-)v6z{twH)e==ptrJQuO84cvZwWfrlmNAWs8v3c6I&JsS@&T`zF@hCZLj_5;gfJ6_?^e~0I@Aoq4qn8%`X$% z5{@Ds@Z-Wm=63<+EOU`GzS0!z?uY&0dryYl<(Ng-U7qvXR}aJajR?Mv(c)$owQ<~o z3rB2Q6}olGPHZRfP{a|il(lHLwo9QMaG*AI4@XjXKNpEii3KkTM) zifgWWLNU>-F!YZ0zmfFTP8#gE<}c+16V37$7PPGeg~!qR4rX($n={cY*Rjl~`6TUd zfL1&6E}GdaTZ=osj=&TbZE|tV_4k-*mie*p{wSV~#uBYonC26_iTBbo#5!XLT=CGx z&oq0P*(^0sdi^j-6R<=p+;PochMGk_ZO@-~ErP;_u|x|cra8dOyY26*E2rS82aXN_ zam{txBoj{Shvk|)4}~3NI>a!|4t5hwa^Ki!Ej%qJ(h-Mg;!de}3xSohZhlD8-a(sF z`MBm<(-vY`DGqf*s4kX$h8WjWEF80WD#y**XT{sEJ$eqOsCGIRrJ8s~`P5CFGeNV0 z)BEHgoab_MLd!I7UGCVz*AGH!az!Zd>(6HVKa_CNoI$3tjSl$mC}XrgId z-sSzKcu<8l-_>pA2_~B4r7q1;>kWY(f-#%9yon|(f8VnS5ARU(4TWjuSWGl6&4#{E zg`{`n=)oY+|I&&p!{LJ2Rj6ozJ}U&SWw(Np#D{ zAS>{{T+GC~ZPeLCX2?H96P@L+P5aA%ck`&TldkiuZuuCUhY_eGroOlJ(TQqNY)u0ZblI4ii%tsxh-GecI~-L=N& zkUJ$rXE!zEf@dWYo$0;nT&)ec?*-}XDMPl_EgzG6g^b1BS3~Z*0XjR&kUhL6I?JDE zIr9U^eaBCycs1lgpBb{;!NKp|4Y_Yy=@c?U9uJx5Oe^ko8;%*?3ezcShWyBkXKI(# z59C7bm=K-kX2@bQohc2Po?8XELXgg*Ysf`eyh-ks<>4m>LGGvkou_BWnr;(h@=J5) z^@7}yZaUeoA-}6(#xu$N=jYuZcZ8KLCNQ0Ol8H`eZ<{zBa`DatS0otnVKbg2&zt3f z+}A>MSwllExW{jzlbf=!M>6(aI6*v`>69z#xyz|;=AWsR#-GQ@@hsyhf|9l0a$<~S{`7?RI7gZGe1$C0giV$ds@N zlG`8rqABD~_aj==kUPJ0Gvu}}MctmHPs%Z{>E0ZoqG@ZO_0gHrSG=|%VwFbQ)$Sb+v_EY;hFT=`L7De zflC68Yl9kcSGLbYCsf_DyA`?iK!7fuYRG*_ya|%5JhI>ue5eX_Uh(NjGo4(Mw)y?Z z+4}-?{ZB)xlMQgdhf@!-7N<-{kbiidPDyBS%0ve#eiBEI54l;~WGh;_xF3!an+Iqd z^^LN2bXaBUgqw770Ki{6G zbCEPok;Pw-yHKKYml{r+*_@AY^MV>qnHMG3B51VP9xYCpvnJ<8`yuH?S&LI=wR67@ z(3x#5PFda|=kPWgmw2=|b!{kjDNomjXdH6ZR+cV>0M7ARoVIlOC&~`<(m2YQDgn!@ zVZdcsElyp~&0P@ycv_-ys83t+ecFqQ!y=7CS!KbPoNI`(o&7WpaoUn{XAYO5B^rmg zjVr8}mUBPK-tN)jj-O$1!})^N(AIerPq zM;FeuIAvo2x%QitZZ4p4$VJQ{p}i&@ep!#p#v`dPmYc=9Ov-=5Pz||GFje8b+>P6BF=K3rY1*4!Z@K z-xq!wria1n>yR3w#!+6e2gRwp)+m)g(-dBJddu*-d`MW?bHc;OYdE=H6iUELtq`im z;H6d^i`z-_AU~Jk1!TLAV(4M;`a@JA@IT7y@>zjBQ+)Yhv6SJK9`tq#(hOBuab<2&%pQ%_Dd{j^Ndy#&hk<%Md)pHD8@U ztN0BPO$sC+s16-&8bRFQLv_(Z@xcwAGHnB0uSN5gHIdgS617MPc$u}?|KNJK*GM6y z@uHiIO7|t$$7SELwLbEClSEU)|BaW{DbqY@(^31l_-3N?CAiNime=L${xZBS_YGYd zGHej?5=gW>Z_W#MNHINnQ`IgOG@iyOO;x+7usDU6cJX0xDz7>`4by|xl9t7JqhWICDE+1-Ii*dUQ(<|#X7h>EH*B&b<`0G#ZuY|9qnpQ;}kkhUUecXiB{qD zTJxM)vKM*1MWW8Ky_L#K9eFa{DzAY84b$V_&7_W|7)~|QXr6}Yq4262(s@-6>Aber zd9^X}8b_kHSk0?X8#_}yM)my{Uh2J~&WjgxUOAG^>-Bs4<2Wg0Jc;sUJ1NCp+UWo0 z@lx-0bzZf#&H(GNo_2ji|60+%?t94VZ4#{=Okgkd{^jQJQt#JwUJVTYy4CQnjOfch zA+HG}+8~jCsdr#EkJnU*>Y|6@U)bp=ojTgpWB! zJ(Tu{lM$>vc5>@}|M8A3Pb04>B>GSS|I+5OsGghS_v-wu&g&6b=hfNpuWgl^6N zdxd60n$ywNbP^pPBrwxeAJst*gO_oeLgNziQs)VotinrMr=oERub0C*uUEo4ua_D< zI2d_-M52DTIWOEWPIb`Z=J2Y(E6VUch1XzF=QUK+dCi|#jm|yHAko2c0$!EeOwY~X zl_@cO3a{Z_o!3aO&MPPRb2>Wxm_&yM67aI$WP7Qros^rx3pdrWtIlY{znlX{RYYE& zkmx8+0$$Z_vc1&xTAkMz!@tHE{tI*U-0)uS8z6NOV#n|GFc<^xPc3Z^koy zioM=PyHR(?QS&rT_xqm9GoCr2DGzBc^pVD*xZkk=d%{W6h%bqh1Q-yFYxI^JIM4F6gX)cw9o z$9cn%*B2x@FOh%wZnC}lgjhM1_PEHyhBu2wi`zQxnS*q`B+*4eyu0IPQr2RD=;Ja> zoSG@&kU?8+q*#hmhcfDt9F0@5UK-H5f)xSXBzZ^Va@_yuK#Ub#8NenN76)Ctf2Yg%snpQPl0V+32E%J@{%1@#dw7b|N71Fue+yNsv@riB)UC; zf0<3R{U=`Ig*aZj4FB3=_*dSG-@B04LK5ATz`x8U+Wr%-cYJZY_8R_mz^nHYXLfv; zgS-}z=-veWWj4|FpLk93#PK?Wen#ow5bKEHUmN|?Pb05yN%WA%yq_?eX!}pRro`Lp zsNr8H4FB3tuNfWseMh3l68V?OMB9JjHC2qW*D1rl&KmyJbHb0eBd;Kdo=V_fW)p4y ziI=)5oN`n8iF1a3g$@6DV`R&(k=OSmdM<%~Xe)BS!^ z!HxptwU|UNCh{+niMIb_FLh%+<3(RlqWL|(qD19|W18dq`!?jYgha1|P~ zUefELgGaYR+E#xCa#&4DGJ*-}LX?>v+U9PIgSr8k%2N&{b}PHp!F6Eo!q#_V)<0mh zH$H1NoX6+PW3yInGw^Bi*to=W@ODo-^sYkN(Mh8fIxY`02|jqPn&jxCV$B9E1mv{_ zA50hGd9m&apJUflE8UP8hm8TuL)%qKu?B}#UM8z>s3z(h_<&w5J9`}c1Uan5$J|R@ z`g9I!!c5Pw?NBxBzJMIo!SAg_WhB=kEG^sz~vCG`;f1GB$ znUu8g#8-jdWuBLqIL%t=GGq1*{yQDBF7txO^eJ@O$M)cjhIMX?bheO^_KAA%zjbkg zPKE!i*U?tvY@9+c=8~lle8N-4 zaO>v=cRbeYPUQ6yDS1Lp!0Z2RIL3+oH4OI`&*BwcPxEDX;m7h9o>p^S z5WhoGsnAjtMeP-PunH|5r&j2bdbGmp*-#l?xOac(z>U~tr2I@u`Xs2wnC{)E$0~6R zAd#c#1n`G$ubA0ih1W~IGQ6Y@g`T4xDnwpeNlE{(xxM~ZUibmcNX@8WqY_`mbQ7x=I|nY{pC;}aXF^k3Q|aWr1%71LoW zyx!%?@WOtg+gk+=v~z{G-HJzzHTZuPU$f4m141R zi3$GhV>pH2R|dg(CIn}W85Ti;yGY4b2?&}!Rra3<8aI9l!G-QJEukbBDEIhBOOV%Y zd^uMrf#K?hSV0ZLHMYjaDTYgShhyWY;n+i~G~Q^T#_&nuwM2jRsARcVdI+?VD_PSF zM|3H_laeI~h8m?F|4?cc-@!&Rr3cMet=WAZB|?i+2;!@}V&jxc6uy#-#VG_=2g?`^ zJ?^KTJ1QfuJ*4CZZvw;Jt&0 zBd>j=necT74l9`sDVy~E4Udln`)zuw~Q|)D3(-dC2+oI)_>eo{AF%ngD<@L;%1g_g+fw}#k< zBd-IbWWQu?xKdN*Dz85o1*3(QT4q*xO_CVU;AIRD6kf;6w$PFj;px{-c#+pZQgSqb zquq2~Mi;2?`m?N~m7EKDM_v2_c^x7pXFTTiD&;_my|gzPP+L$tsE&4^Y(3&T4a?fA zx|_u*yuzL`^|<0T-?EM0Bq1-El!Rq-UfPrRjDx~UdlH|;sl4K!uTtuI{f=t=wU=?(Rd^*^wGIQg)G}9q z;~bw{Ot(NE#dk+0xVFcvfzV7;M>EzW41(%wD&h?%%4G=}J)}agVyKMamhW+Ue_1mD zc^w0;f|$T?@x7{Ap_6nc)_Iw97>pC+s$KyEAB$IbW%zZ&*{!8|TD<*yZASN8BzPRS zOzZz2f-$30gN1OzXcPB7hd@ZUTw<*l>)N59IeGHAKacWcD<%z|qO~UBz6kc`M zHI%-swN%Zn@CWbflZ3@iIR#vuusK0|IdE(y3c;B9UY(%!b`XkX1eqL*QwbU)OoiZW z;WCE1^oDi9@|J^<*Ji-iqiBe5kHC+64Lgi%)s1#lu$`006JP`P^>GCzm z>kM#B6I5uaic%{yzM>Le6W$6kn^7C8yo^De!t3F(eJ?s%0Qg?W>nv~&CF*-kx{y-W zRkZ{V)cUFBsAEEQgl8h!8MrTgBM^`v*(+J+;+Kk-GtA#+DFYoXffm6!vm z1mo|bDZ$voFq|=(R|t0F^)BQof2o#$qscq>)M|kQ&*AHcqTp`%YXsW$SH&v|SqQddrkl=ZIDRP3QTB^%rn`|7%m-7mYV+*0^_2c)cu|@w%;hC>eQ$ zfqTiHph6eM<(JrA|LfJ>7@{b=@O9YAvS{p_)(mdKkV8X}*9G8SP2gyyhD0i_c&=&} z5?{AUJ(e0esr6{wcq_by2g>jYTBQC9=Z!>O7l9j*pld62y;K`O{PmLZial68mX1?+ z;dgmhyuwQ`x{$G@Td34r*l+vcbtL#Va6&Lag=Tx1LYlEc(BxXhF!b-g62zCkGps`J zZG+&%V5u$y2|kzn=(kAl5^!(d2*G_GmI)-7{H*;AF4f$GvYt&^~)rYb1CX zxXIxguwdD_BbF)s`|lR~&BL$?!4D0BGfW8P!@SW*@CtAr-Uz|?Aqt zyah6=9meZ_e(6-qi)R#G--OF_Dmc0I;Qkr7;*jzWaPtG^9%sf&dvhJ-rt>OwAFp(F z_^E+d{%WVvOJZ>fuN9a*ZK_t_R`6<@4cbNgBHdyy(Z6%4e`!$r!2`EabadQ%2!)G) z`#u!UJsm&FDB_JUS*Yhld1B+o741qq{!tDZx5!r(w~#Lz_bryBj4Zk)dn+JSsOYm| zT+9=!G;TqttUk&N@O9=?jvf@Z&`;xf$w~zNhi{3Szd)dIeSC3oZj8fEDj9JI%@=e# z=A-%O+t`>Lsj<+Y(`906$CYP3pMrLb0JqU=ZpTtKQ|w5t>-p<;)ZRr)c`4=Lk!R^T z@KWxj>L5sQ7vuF=F;066F4LFTj)u()J7Pbe9l9N3o(NXrs2%YGQ3ej}_$X16B5&M|@qjP#`GEfXgt+R?MTuD zW=E2CWBubN-+nn1?N|aN=?418Qa5|59dXh^J8syHrRGyqJ9ZLc^(D5WVKc*yL&Hqg zXxD8`>}WjgtJo1|`n2mc$-c4vao&-fIvkgZb&+)g{bS6F3n_Qij$LHN{l@JWKY6Fx z@o6DeUt&8NHZ$yqH)Yyk*zr*lJ9dk)H`<_HNVj9XaH)1p8emH|y`#2o10Jj`U=g4(o@Z6GRo1uy~1#+`Qqp6lu@_#-4}*a z%x5;r(Y_?ZaH{vk&+Dn?GfZWeZ;njm=wX;|u5M-}4h=^?8l|Er4$ar?M!zoqeel>f zXuf11k0rP$YoE@c+34mg^}LMIc(sr5Fq~q(QqQHT=KEfz7gOBzJ6_YQB6=Y@F(SCf5+{yHFHM^Ht3kzci_u&oGr?zHL61hhe_$ zIv2xyzl983>95Pb*Fai_rbz+vda28T_Idc&J`A0gEP6Y@w%{*%M|}I1yK!nKH5JGk z;dsj?__}iK}adDUeh4wqL=Tq{U6kfA4oeB(!Lyj zD_EYzY645|5S~6#VhzwxUq7DgmF$*&obnxT8Iv$=5D)ab+;UAtZm^G>b%|gZ5~68C zd|-JECz4mYX=pgc4|kK_HY;-Y0SwD%2`r-|wXjyOyy@SOo;MStykL1lCP%u;RBVi! zoH@$__ZQEA+*#|wJrl2hWgH5Q0oT@ z$!R?P3IbQ#t7{w<9=`h1%V7D41IzRPSU&O6tnuS4mQMntWVFaMmVD$M|JeCmV400N zW(C1AC&YAEzVHs-Srb3dKF3GGZ{U{?la$QnddZ%vR&sTim1-9`n^{i+%TFG#tPYm?+WCSnD?AI9Z65lybFlnslxw@Y zXyqHfb4x2l>k6XeY**$X55+?Bur~1$<<0Ly5zKa8+qE;&a}V zX@VL_5`01A{`t3|R{VkpmW?8@r%Lbzk*e#4Ur@dvg0^GGks-WwQ@-98^R*94>+(~J zl`n{3-Dt=JUl1ALd2@vF1re-!4VmBzB0rqz)k67#2>KSwJ=eQC@{V{`c=3R0pD1 zic>8=&dOwI@#*kFzS8Q{4u!=f zZk=jp#BeGv^#VfUsBNN^1m+_pM|hpr&#-Yd^2z{?cVdUimOJ*>Ez0~2}&EusG$8~!>Y1Q_fkZ5Dgzw}3MqLsO^9nv-+ z!ECVDD&IqsJC#+N%J!nU!>`uUY7BxnV+lc{s=Mo#YR-ke5h5Jp3GdgFbgRLd7 zjWOgINi`fJ2;`nnm+?9Mke~}JPHO^!7g4Qx7zB+gDveVKPLX19lwj;ZaVkOMDoR^u z$@{tmFAdddmJuW+w&0BECF_u26|lHGH=Q7zE?|d2(741Z1aSsMX{s@s*-HGrd$xXk z5_wexOI3dYURQjvy49M!CdS68hEvDTG@f!uY&c`^snqNUcNt!|WBs{OF@X|iMjN!0Cq5pT~kymxF)Z!BG`oA|-W+3|4a5Td~6i53@WKGpl&sWNDxZU*C z355fZU=6U;xeV=?LA7N-(4E_e#TC(5=^ z?AkudUOfnT;XLLOQUYFvV;B``=%#V1;f#u6yeJ=b&^VQsF$aLW$fCD+{l~i<4a>M4moyZqXk_SC|N8h%L0&+%YNL7qYq4i zly)-KC|xM%9#pCpavI5e-Y45Iuey*@N!At$l(G`86{I{NDqfoEhm?E0Y{D$-wCA<; zkQ0d+h(JnL^-36z%v_f@dJj2MK5SSIQo5)uG9H=n+Q{N0$hjiNA|Lmgp{*AIaVi*a%oQzpL;o9 z@Xb^BDMK+9`B2ydnfChqB|kyVFTPmhgR%)SwRyKMeuJE?o>=4qAroZE;iZqtkWke0U|CuboiKh8?`1x=92e=dCKL&*6t9ILZ~Xo5@{I)DCA$k`Z(MYa!^AmOip z=juX^6qozGZWAP#d&1Qia@JX6b+$FjohumAy$j_05Tg^n8o_HiNlCu|Ye%VHT-x>$ zI=(({ea^ zaTDaU@x~(Sc}M?7-^qm!Q-taLbAkrh4 zATvkzt@SzNyw1lWTl-Cr8Lh|Xb%vZ_axAiy&je|$AsiS0IYY!)R0*O9ElCdMLf6HJiskhlf=*si`tTSi{;6mq(L2 z?bl)&yR{!pnN$lF(-+m?$XM%zj5RW(Kc(*!Nbf0hU)XmeWNh(4#^x}j`wXex za=VfC8j!I|#?ucO=dc@sjPnRQ7lw>0JPln5;pv0)J^?+m=Y^k}HbX#B08bv$2Zi;d z!Q%IUTKyoS1d~cI=_NzT@2TzodI4l6`5+U*keNa=%S^=(K3 z@$^FmcJ&CXA>rwT%vx3&s)cE_{E%7OLqoMuU2TCZDYR-t@TYWax_2RD)(ep(@8OB9 zQrlIr=&ENLCkr97S|cLpDU%)2>-GC9bSg8Rf~MPChp%obs?q-%gIp2_lpl#(}sni`YawYM_Fm;4G~W_WWK6s87n~MSd^V0(zJI_=L8ut z-wV;uWK5fkX&<<0=mU)Zzz>--!Zh@;h^HGeX9j6#mVhTB=Xh!83q0opAakA~H&4c2 zD7P>`(-sMMBJxX)hL(i!6iC5-ffcsk0M~8fl~*8hHAnK>@Q}GiQMs1>3PR>aD;1+g z*oZ2BR7h|3;xCLTP(nXps6d9aYF<_)X}q^-@0qP3^A|T{Zj&I@>ZeM!`$-6JIsb2( zK~`P}vSx)KEjyr#RTV1)N~S|ri!h!-sjW`C8n3MhS*_i4s}s4LB~Tr0af8qVFKVP^ z%eoIkc|J(1BkCIKi#4M~pFvi~0G>Rg)nauFX}RG(TkpOXvK~PmkN8UMkovp)1HB=u zvsKw4m3CWDSJ))@PI#RQvbyq+)g=gN4UIxK=0@Z#J^@)z`XH-Y>CICm{dcD07ARTS zJeAf=(iOJkp3Q6a9Axzp@U%i&Q=gu6mv#7?E}!92(sj(NR?6}HBzN#ReaZ=+q4AZw&g*^QNkx7%v# zg0v_6-hv4YA?r=dYZT`7NJvk5ObRtxh8{2m^BRMLJgb{2JZP0S4BV85+pU6hqgJWi zSkY?_VLlTiWj9vZlLq-7{AllR%;((zo;;*IZRF(>q8)@EAZv06Pwca+1{jU>>Yj&N zJ~I-srg|akeHs0WI(pV@57_W!C&$+DG&Cnj4t2!7xQ3{U)e=|nTh>F?SD4|K67Cua zQeZGlE|y3Axn3Pumun$+8v$8M{CIL?*}VbeX@f2_$ zmrQ}%f@E)=7t(JvG`hL9={=f47VeA7!o6zp=l})olSlz>4otsOud?)J(xyItc_8bc z53&w~q2l@w%dFxix8!{YOMM!3oRY}WPux_;pCJ-n7RJIT8vhEC^TSYMb3YSHzlZO# zTlyBVE~3~4i5%s0QskGQ;z~X1ifi15a@PRZXmUw_$Y8}^wj|sJHwPX6-4G2~ z{CIL?pFd1PX*}2l1R!mKf~?>JTlp|qeu!GjRtYaF&E4cM_QqPbec11t-u$-nV6%E5 zt4#>f)`}FE6~L3nN>o(3Byz4bW;aEzwzR3CdmHtA9&9}7uMsAPU$N>MU$Y*6%Q6dW zxu~bI3~3+e7Ek*~u0QkGNU+tlf~}574i8}kC5K0F-s1V^z}5ges~n_1gHeREnZc(Q zKGF_sw_-VO<;daJgL+=0f(_@*KMgiF@~w}}VwRhkIPG&GC><*RTT{#nA8|M=Foops zSl^&|e|`?OySylg4nBv|rRI9?X}fVW*qURx?#6PBGs-o=TD^A>O57uYEf32zH>67~ z;Hxh^oDVin5Kj*|{EktsNz&=Sp%k#)>qbd8q%9D2si6DTdt0Lou@AO&2$92+{aO+^ z{C@DWrRgt#?Lnl`4$Bn`>Qc+N$P0Id!S*oHco^&Q1B2moU(2qor-Kb=f^83kA#ItU zORWmc>{@d#*d9k3ol)U>+9+&K`0?b(a-4_4(33JbH{44O_fveO2c~)bkoJ?3)-wP$ zoHhAK1vu{ATENoBwfe<%wqs!8SEa@=qeer+6k+ z@Kk6_bk0z)P3KXW6)HdGW_eZa67YnA=*}N|!8SuC1$YarHO7MBfx`#o@nHMROY%QL zYpmc{QvQnIn)wIr1KS)Nh;;Xmg6RR4RPd3cYtk?L<(=iBF_o4r2H?$Yi&<| z0k&X>6uc>spUUeBf2zQb%R8_fY)eE^@Lm|}Tye4Gez5J9NwGfCA;o#Z_{P(6z_!Ls zA}cu(8KD~>@{aq}GpX48)(1#rDkk^wv793>3$~S4)`M-6n-n+mlE}k`R5xjh;|VO~ z7LUvDp$a^4WqlGq*a%SR26CtF6sxi zP>>Xl5lPW`-2g>J)}Natbq3o`l)57XDVLQd@H^J!b&(WXgG_yK)zIGaZyy8O0W4ug zm=s&QdXm*Ie{$hDu*vxF#%d3VoDZ?2$UkA>#P#7|J1U{cL=xGfOGS?Qzijg9Ca|6K zk>X4bDGmggRPi*v*Th@?2HP2lL?U=@FcfYLuDSBWR3qdm%CG@x4ji? z7X(uLVVFdw1(?FfXTdwiOxg^#OEQW4B7kkF(tfXDDX-$C{j$sw}8C{Qm7XM+Z7S}EPE{xPdAo1L__ri zus_0+Vw=dsik;%zyo2k&-q=kdE~HtcYb>@{H#MkR4eYlENMshCM}tf%az3y>?|Ce9 zQ<)UI{3Nn9tS9ZUZj@VW0DCi$6sKVFINcn@6T)wK-`oxMmK=$MF|Xx*U1~$%gUJ(5 zfxWetME;OTPZ8)$r)Iaw`UgE`(s|Cf~4r8%#w;O%kO%6zXbM|kiu;; z*zdQ}Qu@Pq3Rq@84Gjr_eU^wF4adZa+qhSB?}WZRQXr8^sI{D+C#4JTO#EOf*xwA1 z$mf`RQDRAvE8Mcej{U(t)U=(}KFi3WVtM~d#2 zSyIu-(8?G8s0{WWBofILz>Zf;)UnLu;O<2%enQx2K(O#{M!#H;SdEDS;5g- zBo}jaD_*SacQiS$2^=7k@G&2`c)MQci?@e*4Ee4Y94T&ceuRfy&`00+Q>e4|ehD1q zP$C!Sl)eqHLSOjKYAL>d3OLdO%2c++BO*+c|klqknx_NDTIuGyrO^20pPehj3;)3 z7iib-@L0hyP9XUm8Ia^Z?0#e7!S>*|UnY@iI806VFjD#H;rj3#v+W zg9E<+$BSN)9}1EDO@5Y?|0BP5$J8d^cv&I^`;f|2uby;`D;J*H7#y#JNkJ7iDX{2y z6{PqE?EU39IEL^fe`A0Y>HD}boH-TeZSP}1&+xWy)#G(`Wi{kTi?1k zM*_!GE9T0P&3B1RYI8H*zvIqD;P}u(3j6{o%#~SEVQt@W+kNA}@u^G-o)gIC`g+pl z2I8sy%Nv2?Gb`DghxxrNFsXtG+^H8kqtnmvpfZjW^wgyadIkIoFFpf~ul%SC?{jKe znN(rTK)C;PEag0r6pR-kV~(UHLB?F(T`#*TI2NKWFAzy#HIFV;O?r_p9|6Z=E9QfF z_2*eq!2s+0jzcoQvCKybc{kbIN@Pi!Tl2H(IqHF9CEj?t+@!FcpCuL6_uYG?_yjoc zl2g#nLpHl*mbCeH-`y|XiB+^7mE9we&9%aMQjWN{QS)oy*ob-6#X9e;=T-2mcgn`* z*jH{2pfW6S%K(!qyvO=%H`{V>6i{VW$oPiQfQ&`_fi*iDfa7PZ<)3Mt)9brqhZ~$W z1#!~*L zuD@&r$0Zq0KPh<4ka{iDX=f$uIU_tN?1Kuw_38@04gI`$LR)Y|QKGAd6l57mHcz{0 zUmXG`5lO*dOsXBwrRsP$HUI4ia3+UH!6Iyyf9Xm2VL{$s=L2x2aik#APYRz7F{#3y z!m0FiAA_?3=9L@78Aq8V6)X-Ft!d&1XC>@3&STOtBWZbHbbrT7;LHe-!X9BrxoE|T zb=vW~8`qMW_?V6*cL>k5DtaEAE-P8}8YbUDTfMWI2Y-dgzSc4g)d*o%%|V6LN+>r3 z&Ricj>ng~4JpKwo zO3(E8`A%@QN3*oYnbe`oB#{0(e^>CYHQ>BIh^GfCY&E2Q^;aL!uQNCw3gan2`Y0o> zF?_ujPHqS1BbZkw%xgQZ=e5(Wfm(q10}pTz^xln>++*qB9D)jmcp!a}t}s2o*BxIt1)RfC;cx*e9OLzJo#cy# z;Vr!rSJj*&B}ggu&?*v8{jMNXI2EL!w*q+bP~p!Y6H6(Uf4pK(2ImA!UM!+mfCAq` zC4cy#LfB73fiRw!+aN_468B&p!i)D__T0(s2zLAphkN>AhStrvQN zb1vrmnF#6SLX1>;x-eow2}*nu1Sek4(kr?3B&+~%aefqSl1J4BB9XSG<)oDLe?-T;(Im3$Qz3Y!WhW>mG8OfOa1)nZ;(feZK<}ZRX z97ajxbJ<9`VtsVo&aL3Qgh_u&;QWWzrTz&m$b5VQIIjg!QoyQ_^`z^8mZ!R)!eT#4 z3Xsi-EGe6K$t%xqz$iCLq6-XhqXn{)!g!*NJ=7lAsR2BB$Oa{?yce>|$=ED7npV*R z+36v0zO96;Zpf}IL3Wx*({Pz3I}_PpkAWeV0NHkw%k$FEEq**XaK0Txu!wg04xD{@WUkbQ>)*;NIKyvqmKc_>%iPeaX7?rs_LRFL<0AiE{X;Q}3|c~Gvk1le^J zxpq8cw+&*Rid+YjYcFG-irfPp$i5%t+=^UBlzRx}?(k48kMNM)3FYz>xyP)K{iuj} zDso*sko`EywN&J~q1+P^=Bda%g>v0duC1GD>4|briF*9YaEl`v05 z?s*=v`=VSw1=%0v`k~yTJk^3da`wvtru%8=6&X)I>Q&N)Ao4X4^;&7#a6E?vAp6At z4ZV(XuL~&WrlC4xk#l+biUPWM6f#|rXe34eJZ`#mp3&P3!)M9!9J=(7NxJY)}2 z_q|%eT%3?5@bsv4Q=q^FB!5o zNi_7MA5Z+dMM=xYH0+(SHwI{0p%1cu3Pbi*C2bp~ZN;=NgETGVf$U#HkiA3E@|z#B zf5X^aewv1TPBvbjvVT=Vf4Cugj|AB}Jv41UrtQPDgFYHMWW`@1A{CuS5P4X_bVcqs zB99?*tAad*$dibCouhJR@jMfN>~o6Fb7A}?p!Jo|1w@7sdB#hTm+<@>k^9^AEFF#~QWSUltsA3+nOOzZ+g80h=*+oH2bAg1X7hD#a=CTCw#I$otC`|-c znj2i@sTNm-0G_DjZz~N|LS!WmxU69s$`J8HWR^%nHZT5?!BsItL)ikJR&ZtdX{aiq zsz~6f7Nnu-KKvC%ElQ}S2V8szTsZC&%1Xo>|rrm*OlK|@V(-5vry6zOf)lAXSOvYb+a5W0jv=$PcUU0SY&`>Kxwi3XV zEYVP#5S~78-K*qxuY|u4*+I$Sz96{n^MI?PqUB*kbwt@m!c@+SNG~EEQ;?kz*%^`D z6y%eL?1so^6rIl?@)<-vE>O7_@YnM)xcY}^XducBu!8GVMQ*SUe}%y{L-p zURLBr_`x*-B}OV~Zy<6cA_uvt76Fk0BF9KHG!~I#@o)bC4UPAKYaAjch&1$$7k|m% zde=`wlRWq<1g^>6qkPYk{_e5rZ*Uz!slzDM&8H_lEsnUi*CcQq zN2%i|)yI(P=eg8#YcjY_qtq#s8emBIeNDT4_6oSpap3wh0M?;FUExR%|Kp%G;0g

^^Ex$*Kz;13aLC7y zSz!}o((De!QK+AbW2&%@%#=-#aP468H=%yb03CcXof(1&lDszY;(4fF9eJMiGh}76 z5^=qozHkES--0~l07F(XN`x;WA`8mVgw%yc_78{pZ6rGCX2^7-LgNe z&mBI7tYDPLKw9RFEG~rlEs^IhB14uB=_N9d$)2S@wT1f4k!PWfEGL;DlLnT*^8=`V z7xLU9Go5Kc6C|7+abG2-Oy4yAS&3FPQrhE7b`cq$Y_>XACl>`LI_8K>eRZdc((%5HLYn zrv2hCfcihV>0Kj362SzSJiG55cBsDvt@KThAvwPZGU=r)AKeS}e?*>hy&5v5#Akwp zm!=){Lj4VBr7zqJ8RblnWMY$FvEJ7sPtnSdr^6;lu4CR^$a4+yoEcJ)B>1hYAxZG* zAhT!k!nU?=a2K|S20;^Ky~ny^|LM5kp%bVKSzk0k)*bBM{~pfU;;j3HAVby*m>}zHEs${X z_YB_4o);Lhu3&@F%A#3|gkU877p4g0Y z*~s&l&J*uy)!rtyj%r!pYzQ0&kmq56>CEw%AZzx$xAW)V*c+hJ=L}iPZGz-4cWSf) z=kUFB4xS-v@+Qa{e+cc}xDde88GnZ4ttQCoQ@TAm0~{N|blHF*Yj7sWYJUyxdJr7z zB*3)?hO8DgLEe&FFTFOdYaq|{Zic)?HbGWh(xmQKTpU53KS&H&HDrRUlHa`TOmHkk zo-1{pRU{LnYvml*bZ~qx()Ado(-ky9X1D(2)+}5GLQ5^SGGw-Bf^=S~mHsTQC2@!r z8PXXrK|0Q@F1H07pNHtm6GJ)#6Qq6Iz)k3%vytZ<4@27hCP>@mb)8#+1IO>U2F8#! zp9wPSn^4h4a7@G8Kb090S0>8(XJ+eks~sHgW9}b%7&6mig3RdDyXjN7(&wd1dJLK2 zHbGijo~U~i*A=aFU63Jh{;zEAm4{Ey3iZUfMC zE?sNJlLU*Kyh;kLc<5dN4Y_QF8IoHwzrh5s{U*~52^v!7J&dP}t8Utex?*&39`<03 zur}QuhOD9cd<-)Eg9$aUPp=xu%PUo2=m>|iknV|!?56MqA z9I@Q3Rud%mY$(@?4~lr`t|bk5Do4-#)ND8NrPL%Z|2po!;^{^!hP=bebjBc4I?aG& zu;VNx?$%<+WHX(XuHunexD(7nw|r^HqZjqsjM15#v%CBNuI1B76WV%_8A$@MfGX|ORTrK;bxDn7#cl>F{)8~99 zNXuVUszq@(A(nlqtRYXX3z{I4D{L9M5$ua3y7!PF4|z?HNw$F}UAV82qZ=4m?jgYh z2_3e+jXU(_qCMyPHRP$6MH3`hab-U4Q~knAw^Fj)x9OITDLc1d>JRsU{YZfB$JCIA z8|%3rof6jV`EzzrL$C)zbi*e@*3|78gG^c5vF0k=OzH#NHL4*`4hk@yF-Xh)j)&1d z-wD&LrwqB+44K?0H@^mMYZU7HJu^G)5J$L8qoKS&*% zT>CWG`vvHxVGViggsw9NnNrpBES9}5Pj?hoFaBH-O?t#{j$1P?&lPd8w7lHjL5zAx}OPFwqHz_HMzww%w3t4+^ALnPZlq z36gAm@Lk;X{CJ3N>1H}xhE0&%=$;=viyntOyLcFq_c7%0b;fMrxaqBMvv*rB-FVKB z_*d)aV~{DUZg~d#rB*WCz0QzU-AXY?OO36^G3ORo_SRkvdE%^OqBFTZ+=8{)%ni7Y zUPH=vg-no1gE#UixJTblH{)x_!?*-pmM7d-sd`V`=#TbnDr?B&m(6sN!&g#wf&EsA zJ{F)MkL3kTbaFY1yA-3xarD^&hCJ(L$YU=A^!9%1x>gtQnFcI>4W1#NH$$eZsxoLU z*qtHz1O!9=s9Qcpr{%e8A7Jh_AAO8ML!PKCn&h7RQ*>|@uvf$q*+NX`McxFNv~1ot zd+<3AD}A7YA-4-ANNDaJeF^L-B7G`EL!NkCw^9sGa_7B2Ou;8ky!6o$rgOeoi8xoc z)%(HT9(g878uIAdhLzd{b$b>y-!T|$7p(LF7!7%%bx7xFAX9FUs-%ML96l~`L0~#} z7*;ZnmK96e|A%v(_!ahaRd2 z=`&6m@_342`7Fbpi-vXm1D~ey(8s1U_w%Mq2j-Vk|HZ((~y?NxxwqW}Zd49%pu6)R6l6&fVyT7W6 zk8xtzr}Io_S2LX{FD@On5T6Bg(}zAa0GfvG~t=FoNIv(R=>{E$6Ga>D_UDkkPumX$HQP78m7<4GGw=~36czb;jJV1 z{4FvV=F^bNO+AF0zjGYEw_uKkKCP=E4;bxz-vWKSl-3~B@>z1O4_e+UQzXHs(-(3q z_ZVdALo*%Y$hn>(ebiY)?teIFf=o$1(khLddrF{>QZwWOW;!h|z(c*rxo%jZdqjp@ zrPpRm?#Zv;Puh`lU3mH^xQ0C7G0Q#4`EA_{a;{T=K5DKZfBP^$$UY9QprvI}>=B%Kwkge9@idF6Uks_g?poNhPEjl8`jX z(jq1yNjXW1&{RsYG*ObR$(Cf9Y$;*vl4U9+NuwgNP1aJFkfo&m_j%4d&vVY)^W4AN zc>T`nHQf2mv%Jse`+dIWInOzBCdxSVKSgu#(Rc2#?k#i!KA0j=Qu0$YV@Lj#ZT%~z z0zOG2QBv|#G@B+mPJ{JN?7dqcQF2?JYSKFlI{88`{CR17{z0c$qNL=fXo8bJTn|@R zvCRh*Uec^h{H5&O4XnrB2?0JEB~cQemV?rl$F*>LUJkM0j2NH0ga79=_5eP4huQ8! zoAuMBD!ELq*#K{zK->C@czeWRY=zWiw>wtBD>?_=#Ad}Sj<|Aaff`Nm+Re^b!lvCA zTB~~)Dy>wQ?IgAWwVuZ7M(?Q1_7C;}HKGx~duoY|^l>3bY%SvChFn(eLX6nH^8((o zOEy~0OKi=tU(BhvA&Yj4cCB81a+|hhOWYV<@h-GgiSlv^)~)qSklbg^Y=1= zhkRj;*a-7ktO2$9W8L*`W;+uhHoON1zre_CeqtLGC12#^HaD>a!sH9B%=RaK3bn}j zXY~NL;a^bewJ_vfRJhGUY(sIR{^(;iGBbo)!~BrD%+GB>VjJ!wk2=t7iDx8~Kj9_M zNl4h}6Bew;dW0aiQ=%?=216S5`%p|Pr#*hdy8c)ca`RbT?MWUvwXokzPoh2T$se^w zqRr+`*kYg{OYUM1d0Gguku$u`Ui`oOE+2W+NW!K)qa^300F*xwPS~`kn7Af{q5SVr zV#EBcJsqV|wu<#5iE`SbRdOMOt7fe3(xw6Iqe2|(uJy2TSK_Bo@y00NgIyA~#1mmI zV7cjux`}7T)tFMly8!-VL2ZuH`a^KGm2sr zQdfJ1QuVDdz~?Aww#3t>%7+8wiBrUey3prQS&w~!wmu%s2KZnt{4D>UIFA32X2S+Y z@`o{}z2&11PqXsp-=7|+MDAI(2yfn~0ciz3@+37g80?-Jf0sdrF@xkt^COx(l0k4O&*%H9S9T22Zp`dp6 z2D4EG6ZdH_o95YD$XmJzK<$`Fj1+L5JK3|4wlK1=O`{XYbpxc$i3#>mvT zMAQqt9#mOfs@JFNw=^JaOE?Me^jcqyGu`GPAb#HhZ?ZJcCC0F(5ts7_>q7u0MIi-D z%0pVjbuj{dCjhtklK@wH18Xiin;>9qNc&C#n7A%Qh`54DiA__`2(2k-R9XXk+Q;^e zD!5Ys?oI|=KGCvf(rRottq!E^lmYfuSc4oeaR^}08lZNlU^WeKU`StA0~``yHVPOM zfCrLYycFEiN4B{Z0dIn|m;^9Mt<+uorcuEoK7P13nhdxg8eZPJ*VPDE7t)Tz|3@)6 z+Nj`h0r*ETz#r8|3hQ-2z?&iM`2PxUY|P-|KLy~qWI%NB{@}3{2v`r&@Lb1q6zdL< zA_m_Lu}+AM#^5E7;wb@Em&V`)0r+n+AP$mPwQ)qjTOjR%3~&Z(PmJP}2FaWlYmfli z%5Xs274f7rgMeSfL(d{$eJm&goEKmX3I(-^9IFMbOhNTv1KF! z;vl&<_h20aYydW=N8)1bt`OES9mPo_TT3&m-K=8*tpOTyqn2hqkFjzTFk1lTCIg~^ z8`s=d4FMa1Ek_2po~MP&qu^J(Jqnl?)DFQ4*eWOHF8BkA{Z^f9gQaUq6VpfZ zPn|H2oBd1H*GC2~*lvs){lk7_FR@(3+DQZg$M>s>Hgnuw@29LWp0~wltt%1eJz_saSU`SmXu}_riHsLd` z@Y$)C8kR+_JHd9F%xBuNCE3Tz6VFe_4SF>ZK5Hg?w!-5Zhg?nZ;leVXiFQiwvu8X8 zhUUU&cl&wV_`6N|0vVcttwoa0c-%baXYG`pp`V-8rT)3s&Euw(n~$l+Eq$Yqp*cQD zS(U_%wg64qx;*|7`$V}gEwWtPCc?G3@oF2%H!Z;CQ;b|(n^(BTrPpRK*OfA~^>c;? z6aK+56~Fj$17x@hY;D6v20o^=W&F#hjo2s3^^ovcC*iZ-{hzEtuDikZkj!VpB7})- zm&a!#f?8`9?YRDk@R=ImKKt^~Zr3B%Jz#rO<}=Yw>3udjYGCN%;XZr9!{hm5#|_Pq z;a;$H@k@L*#>d*>+T@7oamifT5-F)q%4x?gZ7G%5sL#5^I9Cthv&pYnY9Loju;Dl0 zlg~-CprmcO!66z@x^}92H7qT*IK@x zl*=FBdOe?rB^-MLJS}kopVkU&{)j}c&*B=@<>Jzo(@C3@>je>CFNye?=XmrmawN2u#O6Jlo*HMSh*9;{psEXzt3BApdyQ^k*)ee&0cnRYq|SA%LfRr5<(jB+uE}9O+J|or z3`DL6!8R!=TsW6@BLr#Z@^D?x!;f-J5!vy5F{&mX?Ry(?bpYEmNft`n%5nL)>KgT$ zj=B+<@XT?xkL%U2e%E`D>mjhsh)eu5b3>df?BQHvg8j$^q=&&aFDTJVyCLZEafwwM$`uZC zuB8^vb-3rwY~<<$wnZTc*F7rRzssZ7eIeE!&d-gT!Gx)#{9>veHm*CTzOktw4Jw)Gy#UO&po zOWtdno9-U|Blh|+53|v(ztVTTxp}Q$vFpdcwn^6Y;}KT9^j!;V+VvKZOSUFraPFMw z(x)cjf_7RLuzjl-cb^>-@MnVb4BD~kiJ0DI76_lCXzb=1QdUUPC(7zluI~eUY-|_D zgx43HpNm|NgYEmIVB}mTXFm8VCamp}_3Fur>9}4}nUQks5XY)rBFF9A(C>ES>I$|U zNs-FAOwN2#;_8n|Y8&O+9pie%#4%w&!|vn^_6e}t_*P2Stv1 zFOEN$Xi4h^w*9jBGCA`}iR;z4f$OmFmGnqKt}ayN%S7q&79o8_-}eXQ-$=Lzi=byA;l zoeyxX3nIR@O^uU#LY@ZOc}aZX@2Ke+wD?MeYkGjSN4YNY__CK(xgTvCJ9k8`o?yEu zi!ZbMl?vA^t~KGZmy0mH>~@87J#fzrWM#b<*st(O{AiZHQsJ88*6U?=2(F9>=bGTo z-GW@b!S1w3xXkibDqPxEH3%EkE6dOI$_aC>Zf&ZPYwgc~Ju7U^WtzWI;hGmQ=#{H* zu1X@lwhycG9&-7?o*Odf!r$g&?TGx93YYeE8PX=zD_?LGiuh{XaRjEhv}eJdFN-g; z{FMrq_JtqLRTSfTxkdi^ruVjVjkjal5v^juavkp81<^{=6cmtIG1hrUu3@eBG_vOBzl?T zuavm(94E0+Ki(YUT=k=z>#B-xT!~zL!Cud6&SjRrQsVl?XW(iW;#{|h_;NLh+=g5) zf&EsCIhR@fN{K5PHgMf8;;V_^+8?bx0J-{s{dQS=ndGmOxPCC|)l_h`@Nqw$IK84b za=i@prZO(G{I!_JNXhx@N6tXXY58jplS|9@ce}YCTPmC@zxp{Woz@@hcLyYXG|OM9 zaK%&xM&tgz80YduIaj5PJ^LWn0I;|6O1RAOS1McwA_lHDKF-xn#MiaHdA}gnK(Iex zk#L#iuT;2>81;Hk9Jf0Pu2-9knuT10!2X~tzRdDhDqKg6dUX<9oqgPo(GCx8LatZ9 z-bun`n!i%vI_@_3QH^pxc2zi6#9L)Cas|NtSU}>(yvW`hd*m7n_NOfpE|dI~ zd(M!T)~LAerVF7L71BT1c>YQ}(ty~gUeBnU>p8(y_u!pQ0r^$n_f7`^dOV@>fcFIRZp0vQe&nZm!n=g>zlsypIjJUI%->fW(g` z`70%^Y?VSWu7NSm6^L@K+o$?EBiB%{5AsU5O!8MsT)9yL*WeK6dR@fV-_;)>>tAnx zeTc=J%PfDT#FghYaJ`{&uHk}9nY`ybat#Cf8?yK^$zLgPRSp}tMhY(W#X-EYWN3TK z+sO4M*hk8^O!8MsTvgl#uD9J>uW=&oCv=m`Ws<*A;;I`na7`2OHC=FZ${TeHa*YD}G+BI^W2(mGX>WNKAykkH?8#? za*YQ2Oc|F+{z{1p&!ZC?jjs>g+>akCoa>OK_iM=Y7T7-wnETNzf2G8wJ*$8*+^W`zjfiS^i3etAjCredgwRty4JHi!Uv#k6h!x{#iicN3;Bu3Rfp4mlj{^ zW1MSalyhY_T~-OX#)Ex>SHfkMzf$4q%wvH1aZ`wM?Gaqo3F%~gVglH|wn(_l@>eQc zkGq**lxv^h+Ap}upUkO?Tw@6=H^}|?-I<@x zBG(kK|0Clv%U`K*X%A9mTn?+s^m3H-ajxaVmJ_b2;IKv{el*Kpsc;Qf2@~0fUXCl= zoJ)7T_U@O<%tx+i_<6vb%QSzb!ZpeeUk*o%b7hG53M^{20J%coaC*(TO!HSNTyJ}+ zSWK_15a-GfTusLwT-d;sE4V6&xPNiO#@CT+IyfrGxXkj` zL=S7bN(U;Tm*Nh0W(fG<&xn6}L?tPYHw~RJcBH8@OC<&UK~2 z$78=$kCFSVW`e^NkZ_shuT;1`@*B9S#yHnCBEDv{@81r&W`W}>uY}7if2G1jPVlvj z`te#3Uo{0+uO-XxLay21sBV#PndPrkxE2NtT(tz(jY00mQNub^My?OQalM4gG=HVS zwIpWXs;zQA*7b3&`-7oY$TbHXwIdR}_NuHMGWO_wLlsitTH#~uQ9ssmbFKyo=h|^} z1fB<^eF%LYO6>XmSr<*&6~)^^GHYrR`v zZZ*DPkH{x>s@Lry&ecS4Z7tYI=7n>?;g!Uf+4_BjRJgXd2@}~US5v{&BFOzX=Hkp8 z=>A#{*t-F4O##3fFG8 zf$KpZ=jtf9?yNiJYvc-pqk}BI_NuHMk-t*m+GmWfP9p9*2e}{L3Vd<_xfX)s5gC_R z{z`@G0NYJ%JU)hrfZ!G=HVS^@rQQ)kARg3UMy)#(T(hm?hxo5tDG4 z<*yXDbk8E9dOd?0Cj6+oUhC^Je;{%#1qVKV)qH+$mcLTr>f~SlcDX*I$ah9F4EUPx25u)$64==jxw`FZ|xg6%!x8b)U55;OJMH z`1=33J`ZBQi3ipa1|Ii`9~I(U14EoEkccnj8dQJZ5ae0`j)A3#uT;3~Dq$iU)oZZG ztFQaCxJRzN8){BMu1~-*xHR#V3RgNRscn?&4dhG2{csUqM|v#Sk6bIk@rG9tUuMV0 z|DS73Sms9_a++QvM0|}F@l|I2%TFWMr{EYVi7(URjlJ#c#Y z3glV^j-V{Q_NuHM61&>`J{7Ktyglm2@glw^iuhW0a=|~ywHh4bW$|Tpd`yKa-xyyQ z-YmYRSa{qoZCt)4a;*W!Bw2i!Pf-e_euJaz9?rurxm|6!Enrti?TYZEw?voOgTyj)ju=GRt2nae2H9 zjOw*a<$8URh%e-t*|6GDE_S1+)A`<16v7e!?NeQcc)x67qw)2lh_9bSeAPL#o2;*X4UQir@nxF7QsL5mD3_p7uH7QO_KEm< z>Zh|uk!v$JcFW?+EPtiKg$ojijdJ}g;_INo<9=kGs{pya0msj>_%h31sc>~R#@C?; zkNaOmd^vOTF!0j0fa9=568C2L>v6WrG%uAde|6_|sa{7#d>s?dF6iJ!dYTxY%9kAHbN*P>P) zvM#q39A^U(KbqyQRJfjr5GJxwz5Whyu8VQbRdx2T>B#jRI4*c3TxR(z6|U#_v6XN+ zEu70)CdRpXwb^h0xxUBGW9D3@`70H!7u{5>gkH{aL8h0}CgN*BhYsZX3O|6eT-2P) z?D}@aRJi*23|!=b29ZetXNHKco@W-5`?j}%(;KfyvPFE|eX`q& z$h94uS+e*t%U`eX7$Ng2dH#CcP;NExUB%H616O$wUll_eQcb3z8Lx+1=A5%JaHXr1H8wHKT>%i_x{e|^kjr1be~5wA;+ zj}1h8H4^!2<>Ub*-|quw14(?D=C4$^mZ}E5@IlYak9Uap`uk|lW5^W)XXDc3uT;2J z1Pxqw3a;iB9{2Osxk!Bd49+`c@nx33QsMeEV&G~K=6c;D;_J`Rl`A6GesJP%6PjPQ zGRt47aIM7=sBJX9T821RYY|_EUYvOZxekD{r6j&g^H(ZdpQ{G0`$hh0E8^?V|=w2@%501uMV-*ossJhINQtO%PfDT!nHAC(5s_}uSdc> z?pGXqYYB2424_cEe3|91uj8!klJnPhJeEi~ZT_{3Ri)=0&dw^2uP!3Kc07moCtK2v zfU|Q*68C27_o;C0^)WCS_gzJNJ*kVY3m<1A*Dv7gDvK|(`By4jKL-q4c>2xqYEKbg zYX;k&LatxI*u7hC%S1%D?ei2`bWeQczqk!t&x!bY zLFI9uHho;S3y%^zsd|AX-aMINZa{Ug@zCKCZo8_-mxQ+)5 zdJPcq^@@nEl`D3u0M?N6%g??M8sG7i!WfpO*;d^iaa-9U{SXq3T<*!t@Yz#{~K28+z^`3~Y0W06$fLy1*IZ+Z{rui!sE~hcR zril0oiTL_Z-8KoiPJ?rbEWXV0S1MeY#`t<)#MjISkNehFcWH`Te}Z$mEWXV0S1MfD z`uMUg-o*66KLytlt*)JjTxY;JJ1mKNll+zQm5&8UiTNw>L*t|@pMU9oycYA9b#WaQ zU)IGp1-M=h9o%^@a-9X|T$x@b^RHC6D&;F|pD5Su!9HcYHp)lui;&zhccf#SqrbcInE6cR+r*@!6FBo8$`xD;CRB1T>pUc3rWVTRNKwk zA-k$^)n%rVui#Z`5Mc#{OpRmAM!CN9^CPk@Gd(%D{+q~k5u6((8K6=V4{PW0a5Y0A zw2g9Y7F^%@c|@=1c=vkb`WKv=B^k5QU18SF<>9(F&f23~Tisl*A5_kD>*g9iS}Zn< z_^qn>NUPM^!`it#TwRP@+eLis6!CTC#Ey8TW3z&DyDYxCU1qNCezu=fub(1ZuRV#3 ziFYamHcf4VTma5pviRy5X6;-ay`C}ZwNJ#?ei2{49j$pCa+Lw+K3RM{&-d^0aJ|U) zlj?O)#McowkFV!{zZF*=Y-PcDP!?bP`2JlUu7Mt=Kjr!*tjpvhd+)>>8Exgj`Aggw z?mUwR3^J82b-orfbp5-&>n?K+x5cin0O#+ruEl|XC{enuhq0cu`FGr}+v`O`>ye;M z17|!bIQU+V;-Zzl>tLMqO$9&g(RF=s)%XV3wGEu7{Sv{&@uu`$kMkM2J|}X?Ux_h` zKWMco^wMl(u!HlQPr@LMEiwje=J1Zn`Xl95;~S_nIm&Ew_b-U?`EMf6BEu__PFFw% z2RJXtTp*4krDq5!dWLi>*CD-(&|yf;(HY3#gmkM+2XV|OJ;Mx-fuS7NA>Ee92dKkg zZ?}5LkPhkPWIBlBL+Kf28{#3|9%VT^Jw2f1BxE=>;6D7;ye$LL9f~9##Id0C3?GIK zI%Ik{gA(HmQ`gMhfee|Dp6Qn`h`e8VhL58LhMXX0s33HBXV_08kRc1wbD|Okk>g9x zupn+=s3dgA7dmX4|H+lepg?*hnGPbKm!4s4C1VpGFU5CBAE@f!w=M1;GIm7QA7v7Bwd63>PEMeI0 z{_hMY`M9PGjr9|@9-E&26T8lb^u{t5?2P??U4I@VQv|cK{yjccmv-GG%6Gq6fbagS zM~|IBh5|^xQ<3a`413VFl2a4T&voT!YRYNGOmxzi-onEfItmy31tX>*LuE*B;g>M{ z>Sqm=njw3&QHO_x4vz{Q+SWSu3NjQzdMB9<$2|X?VS~!*QeUV-hb}Shi=ACg{)P-i zkgm#fh{stwrPpCwjMb$KU4z^gPYNC0ZSTh$QEVKTWjdS-vvx|YLyps^LocC&-^+bbGZU^w1~;VllIei+@WfVnhB7LvOMUTd zocp3rh%>AYygC>eu7vdGJm$X0vv^oLrDn+f*XWDBF-sZJHO`d!yETTnw+8W##%}A{ zYXVh2Z(a>yszUlpZX*n?6K;=U63R>C4HB5`0b+Cb^ngF$8_3J>>TRn7#HM)kw(p5e zw_Zg>8WiDxgG~Ti?jPhwz^fqrmEsEl8en<1z7q{FAG^{v0<8fSVnf2F0aj7l<|fDbhMV;}-v4e3K=fK?4@X@J*w^&M+~)lC4eGXWGUkrZ&G01TP{Hrmj9 z1_E9K>7!(TH<$p{HUX^b(L-wr-eLkMmS!m6SONHs3E*8*YxhRLYLGro2H4O9@HP`b zuL@GDZzZ z|4;__s0rX>jF~R`YlEb#3E-0^fVH`e0)_?P;$nbV@wbOfI)Q*SAw4Vu>|p|EJlEC$ zpNlbSl6vSMsra73Mq@Bfq#g>mTmY^#0W81d&{71v4$_xP0CU#+^c`z1e$fD}0rq3X zw9BLcOv*X87;GA#Naz%BjR5>ClsrhX;=_6$%R|6gkiJF&m}jP7|2XSeBJ^XbPBn z+^sLC0g7})0XGZ4Z<7HJybye}?CeSicmt$wmI1yI(|4k|c(?&t0}O`snJ0@*wFSu#fxNih#8t{bw2A zv|w>SvlyK2*O#M!xkn>c>k^wOW*AJi6 z2?1|`jI5vp@Oy(hHNfq>6VkD!pz)AM6|6YRP*+n>Oy4MAg*XSyPX=6@70>yoTVDjM z4;d9DfH`j(+@t~S^e`0!;BEsn1+0YfBsQU7J1?_Qz(N7&E(X{?P}M)PE&?`yj3NnO z-adsjNIIc_Id~qE*aYBy12hFJe0n~3oL2(jB0c#4t8-huL1YP{*(Kojt;H{8h^3^qZUTo^( zUks{hG58zjAuyVYv!l!?0L2+01+3%YF?e$^!2W@&8h06ifQ=xdPE;~Tj>Ywz$X)!0 z0a|nMKW=?p&BeE<%tiql2*5_g0JGwAC%t+n0^SB04J3f33Z7guR0yc&WuLLmfe2g_n+@!_eg`nO>U2J-&JEH2#X$p#E z6AFk6yA1H2WI#NU*xQGHM!?%4qlFCc->|+D&BZ21l6;8h%TWb$zcQ$*0gCf%3V2_P z1KuA^8YFlm8M7?scLel8Mk@(me!1d+c_uUJd|N0Pki94Wi$Nkz@F`$BKL_lP42TN$ z-+yCG1iS+>+AHRRB;RRpr*x3yXBu`y8zj3T3{n7!)dmXKNdR^>0qovoeOm-<0vV6U z0JB5-PAFi0u1{~HF_@nh(AzW@xAo|4nv2D183lY?0CqD0bnRH!0RiuXjII*EoX=zW zPBaCLhd&LlvH^wyR=nuf*VO>U(j5itApm=s0Ny*i9dU6}$aq=;nD0{coyc8$rB`20 zb8$GXw`qW4&5!~IpC0Dfc*ngMs7cjfGr>+AOXy;Z%{$*;#(t(kc?Q(#nZ!jo2H;x@uGl3 zg@VIP0DJwu_Fn|N3o_o20X8rht>Z9B`UH2{7_g@O<_B#}V*e$e1iy zS;+TA^qo+^B(*dbKTupj-Q#M-kwl!}Q@|Mt2b`S*2pJzlpPV@J3j}Nl88gD>qd4if zO98XD8I+-b6=oQAgu1xG4Icf@X$p#k01Eh#IIEi%H7ZB|C(ZUZM8NwXW3D$vz>oZT z7!9zlVMjE;&wQ*L1;mFhvgy{6q_r6W_;bw}7Z9)&WGs>ll1o-_zCkSwuzrZa2p5a_ zB?Vj&;ee|=Mg^@4epIh4c%IA$TSLYts=0#s52^Z2v>1FWqPI~O>+Ue%c`zvysDiny zCa!ysrH{2y4){ef;PM;QWxq_mh=4xGi1`0EfaQPXN>jiM0&r6@ASyV+;ckh5_d~{) z62P3+A-2y%nUodOJ#>-+ej@<4CIg~^UD|wh00G-T#+LsPV1-jLw!;+g2LZSv8F20F z@Yb30_8{N`kg-h$Xq@|L3O>b0B2ksbU?r!YbLEYDi{UaB>?yNlLiSM zNh+n6AB})*A!D};aCCrm#9f>-Hm0{xz=|)r^)@<+D}Ju(Z5rTfab}}{2Yh^x91au* zT$s5F#jv%5j017WAn6@u4RXMI<55Ec{0$q@Hl`p{Ebr0VG{C5r*(l&qG2J>AOahGD z5w8rbCL>^b$oS1-4rq3zDc?9b)c_wdz-S6i=P<&>4f&2xz(4$4!PB86Ky>k<#>3(W z_#kAQRLlW$F4e{Tc*jD)^+BeV0Nm|nHVSwyzybdbCjp{@nUk*Xf`A<$a*(f01rII+*WtOod0iuHEnv{(o;6spU@kjvssH{QKF&)Kb zYt%VY0{U{)#koHiRMh~*(j5WJyduT{9ZGS)I=%mR8UZ^(W?H};@RD6Tpg3T~jS)Sx z1}K*4C}2jM17^FE0MW&+s*}HVRmi=fTYDlk*_P;BO7f&qu({ka?XX4__!9_{st|;2&H;-9je? ztncH1w-y7O=DF#wn)49wG03bRm$>*+k0j5<8IVwLtw(RuVsJX&ISP1tkOMY}Bn=XD zv9Ef$sR-BwGQA#iz@&+RHj3B#^c_iYX*}`iMc*&E6_68UYa2h8RF3t?If)wyR0eF9MqQ)iQ{913mi-27rvsKU>Fn^%R z8YG=)3U)ErsDk-{fWDjtcoj406wKr0C}7(NSFl4eAiB88P;wK7?Fq7>^`7>YiSYfZZUoQ^*|9)Wyab zjs`f!;7(1!y*@p(26&~H*(l)S3J2`wEe^QaeK?AMPeSJ7VROJsK9Y22{qi&e6&D$x zHNczWtRMyK;pTw7g2e%=R$KZw0zL(qJtF3Sm#pCE2F-UIZ(UyOh~AhVy_T*3Sye%7EE#Zv-$n>LDvs(KrVLH))R%oBIcQNUMx9B^02+@j+92s^C`Sj0?y*N4FkdVcQ^27?4)~_Yk>v9M=k_6B zPsn^jawJK*{7+q+bac@G?W%r9C}4TBLtQ^sj4mE!jtX(WVr$f>;F7jg?m)m^kU82b zaj`L_Xnk8Af?|z2b7FF$Ufw=*b)#u-Az*LFl&(>m zxY%R~m~~42XMo8z4N$BjQo#2jT)}B3fOU@l{tf~@1DTUmiGm}&tRo(SDFLqIgN_1D z7l5-(0I&a{+ph@dhs+r=z_)mVmk03GDBEEQ_@MxtX98HM=|D1ypM}hiWPoE-*5Ks< zoEBv5QNXYOT%sl&NiYU`4n4620iT1+g)+eLKGxvn0em;Y+M|HWW7?N)Vpe_tIWXFC zsLtz4kn4HKToI6j-b62JhjZl&4C!q&yHpy+OeK#E-J^Xd*BX_Njn5**h3nVt+D{O$ z4`i;jNB~WaaJe|SOl$<20O~hlBiTj25gQ%6`PE{~P60Otl7)lJuL7SOynixsy#SdT z+!8LcHU0N^)G#i{J`-jAF)q-3Ym0N~E@DuwZ*e&$NiSR}FC5gjEONaFnVX{$uJ>7Y z)KOYCp3V1}b0s;MW@9t13Ws^%Qm$>0VqDA4$LjZNJR70``NEYXiy;NP;NgJ(CIJ!`XZ4)=Cjt(H%nOpFa>*`ksOq8VASvI^t+#12C~>Pb z0n94nXMkB1V@WPX1-s@p>4boTAgin*QP5-#TJaY{$E8wGcmEj$EC_JGq9j0~;E0iL zlNr=2kX2chW~K!6D#!;(T}59`Q}7v&-li$|Z$$bK1vPXus~eAs+?6afQ} zRaKQJn93mOV*)5{$fSVRg^L|GFh6G%&GJTy58lFPyC)FvHOOl0DTRU%(|26D z!7FY%qkv6S?&20nfJDJZrZ;GffUiSVGmAOkB`fGu_0Zbjy)~}4X>(94uh0#w4V1F?Y7!)tA;Ov!Uw<6#u$QmF?DwhmcFU&e7FdEc%ouxWs&SuwgGn)POk1G0ze^QjcN zc*4r>&LH4hkTpDzqKmKR9Sgu$i@R8N<&`QJjB~(oYHNW>*L3W#e;EG@t1FAn(2=T9y{z_%f5Vk||#RUSQz7K44FdYcA# z6EkTpp626#(*wl;-&^q-9(-(LAZuD&0(hyTxLSbqEEMc-P*npQsz*UUxsuM=H7;>qIptbV{J61vqGjhtTOUELaga4H zB;hiyp3sQP{>z{PP0L9P)S)?5B1{{?s&PHWZIo+SvDF{QS|0mj2l+vA+jz)YqDZ(f zR1+I%n{riptvHv-@+VXZ`1N%uSEahlqy^f_Fb}lV$%hL(yLhr^cd~9g0kS^znFA)> z+(iL%qhZzyfhNM~H|Ee}8wJeU?q+rr&bnxdk~|TBto5F$2e0glT<<{E=N1W9?I>%9 z3zu{+gXYl2A$>XGQ2j>gQm*{Pe4nU8w|J7dtf$xdUa<5yid+*RYojF4a^4NG_BmJ5 zq($ROQ}yMvKr@-3=)TiMxweYb_CxaF6|a`r@eu+D_%399=aC3^O(f4?*4uL$wK6s0$IO@&GpJR9(6*Gy~5=p`=dj;BiB^OIu$hM%08~@`_ufmEvB~-F8xL{ zlyd3LkSN!AaY(!GB zbD0KO3Wq4&g&)dQj-N0nj!4p6XwCUpl}YPAL%{bz!5apXe@tpS#t>w0P?^_w=BTjA z5M4ClDhvqd%gH^vKEldTz;r$zSF)3jp$J%~U~GE?oDND>pcH`ZysQ&GR4bI>9hV$> zUj|B5CZtpm zUcB1Giwk#bu7ZHGK&e_9FLnsBUIbv8qPNKbqXAZqDtN8%;&sA{JLA4J$Tb_38Zs}6 zc7zwj&X?>(U&O#rOL)-}C_WaCO=uNIm=8d?!Ci__-{xhV2$67vDjF6(RTqh zBG-qYG*XRRd@PD~2!l2j#m*C(d@PRl85nLCUcA%9i$Ct~h~Z)T2$VZY0cIr7a=^V2R*nL; z6kfdF&oipC!;fu|>tj$_%e*Ms5ndEKU$PfRdJPQs$9br?_ZAmvOpoI=5M~}I55$Zi z#U7Pono)PVStr7aqoR77T%`S8R*s6)LFIstn0T?{ss{%m;CxUXwv+;}n@O1E@s3OO z;-QEEu(R-DSK-Ck%4-{tYXK--WL^~Q2ruq8Fv!Q^TYdvWSK-C(CSEMpX8p$q69%PQ zX}oxV^`Q&(abadGS)^b2j!-W?ExhPgi-*}gLvQJgfD1wCT^cX;;wp$RtKz;iz+H|!?cxTe04qlU-*)pbo8T@E_}CBD=Miu@C}Sg~2s7NN z#3leM8$wSG_&2Lcyl7oCx%kO9-i6=0<1vSAa4(YR;wmJy621Jz&8nlIJU|an66XlA8les|oTuF1gPa@Z9 zP}T&@M?%sPou-%BYD)2CJJSXKe6fs1x$te`(wDL^k!STMNYR$_Y9rtpP&PRI zz})NoZ0Gq#vE>Xd}Ss_-A0v=H~;O|Otz&FR8EQ^3&fbwhj z(iN<*&;X+WHe&tKiMmul-SZGB;BgNJJmo14*vwb{DFj>xN<3N$z$Gz8!(*_*?LNIt z3Yhl|FGm6Y^l`wye8mCF{xYI601VkfEWA>Fxwg~ z4mkX~ZmSS*11SG0r2t&PRS*g`iLj1Kj=?u#tQ-N%F2@zjwj~2DKjI!a`aHR<@=Giz zQ*gBbhK}N-Q+}eLek&1BcT+0`O!p)o;jCM3QS(OzzecW&kex0GJ>3svlm4{qGK^y| z;kW_+D3MEd@rZI&2o&SO>npbxTw4pdzJl!XK8ZswvtGK};V4(3w-{HXVc?aIuXzQz zHbHiN?0>}7I>fZ4TvdzBRIGTx%v-hDe&qTZvfbYQ4VP{POS!5Qk1ve-sjXVviCmi@ zyP71va>}S||9E`qetxOc@uj=HgL2gh7W3nQLy>Mj^nMSyzJcuPJ?46u#=UXYOEX&1 z?3iRUNSZ}!GxSw%wx5*iCWU9Tdj6!0w&0NEbf5H52)G5ZZwi|OCSA3r12gG~Zxk>| zObVDJItA2SQKf(l;>p56c4MV!!zb@Yu5Tf`VbGi_i9t&k#_6vnobl>5wZ;^m@$;Fn-F_ zySQE$U(s40e}!B>Kz7eSDY!%yFEy9$sS%Xx1rxpYD$kzj_%Cv8gY4%^p;s#LrF-lU zy-dc7=vTUnRc(zw(Rxg5%5RI z4!AEJFey2dY5?edDu)6N6@YJ=0KVlLi(=SzK=vClz-CM=I`^U(%?vPUBx{F)G?i5* zN^2=s+|Ww_M+v~731HjCnHLdoCuEN<1>mI))qHVpCI_sD2dBhF0mlizV$XEIT(TlG zt_uSG1li;NSAdT*KDu6>{a%E}V6kU9U{YxtYkwR8cR}`KRT6_HF22+xY^vZZMg^w} zz+%sIKm~Wr{B#Kd?uP6c|0}?c6(%8d@rU9Ju-K9-D!6`Fv;YD3K(_3e4rcR`OHF^K z3Vv-=Ff0^Y;!aL8(eOsEog8QPLbmLg4woJ9bWEq9b>3@ki&f*AH#BfdkM)^|vk$UY zc#RQf)sg_KO8!R&Sm(VF_-tT0q@lRp~9$R95sy)X&;`WXtG5vV#UhCrV}WlI#fl(YB>C{Xy6 z8{ZW^6~mVwDyJv*RDsG_?rYVmo1t)kA75DHl^`h?@Zw)lsGR24_6Z9*{0CkS4 zb3@^fFjR&B|EOH#+i~rBLE$hT6uuF&{x&T_+87nYm)p90Vu-wZD{5U_PqEI;R>`~Z zQR|Q6z1C%2JmlTvAe8CphwKp)@_i4ujzq2Dvy@~Gw)sH}D*sG&r*N(Ug&&2iOH|s! zJUGq$ zA2>bxZ75tB$5#LDiO!2! z|F};!Ie9-6uE+k?1tGggVYM>%xzBBBaTOG9^g-d5F(|a~T7_lZcYA;61BG8l@uh;j zEAPv$x?xA=S5UYGYv4nX3)4g`r+en;uk)esI~5AI#=&+j#QL(Gu;h*2bsh@wDaD1` z5G7O8%29*Wo}UbbJ3UaiBZ3dL=7hE$@#f>4IZ(LU3x&H7rJ{v3SeUQ+>-9PVh5I7- z@-eC5C`1N}q_!tWJV~zcYQY31*)h7o3^E?zD_CevH7})Lzum)|7q95k1$b!OO zL-_JQW-g6^V{RzKJ8m7X`ia9%1n{LmR)6~0DSUTIv3|Z>A)lSWi&R@J)?Hma_^znX z4Xz$|cfss9dFNK4s7f3%LLu_5Y8+ny$XGyHDY_xD-=knx>LKC2bOm)|zCGEEiSmS?2pxk2KM!BV)SeuFWL(%mIy+zvuxf zN?UBPEw*@y`yjnypk4LvCP2}H0em6j!+zFTQ78BC>Gz#M6L!%t2T_EGv(k^Gh4 zAAq7qWBBqz#x7nf^Wi|%9))9|=m{SbJr;(Hwqb(U9p9aaKzi8-Ys2|>WdFw-&OuS{ z5Wdj+$M|k$7Ai+R`nw?%J?Dm^XTwl#dX&{FH^VpmWZ&vu5p=b!z?X?ILz2*aD4Chd)hwH3ZjXU;60AJX}2oGz%Xhdv&yFkTmD4Jw;0uLM4+14R=oP=pWO zDtebUSTsrPY5CzpGAT3LJJRj1Ax0!1Me zituY!8Mkw4Q=LtqM0FldB8c@#~O4#9{N0Y-c3;SK@4B$^!Ip!na_IC;ll_N z&Bc%A`oW1$R-lJd1)Mdbb9&D|4Mmuqi{^(R^Kg)Tls+eT<(NuMp=dFFv7Kv6`6qP20zxy+501Al zvB3>tunmv1k8DFMf3C>Jk2Yb0U&SG_Ht#E|z&qjh$5ukoH`w4e*x&}0)p9%^JKVME zGAN41@P!&?ddTSb0aI5s4>*Q$D95Yu4v*T)K+(=HzP#X2!@P|i-i`IE9)hCXaeM{9 zaWk)#^}Et4eRwMEC*A)TGlz7mq z&7m?!#?O3S{X=ls{rIv#xo<hB*_?~LuN+*qlz&E36lT$Xrg2MlKaWI>#V$M3B7e z8Uxo5{QpV5pRT6@@6`PGS~RKFok#qTK8ZH+wA*_0XDsOFZg)K!TvO|sXVK(`8fCrU z>Jws+t`~fRTn+w3lLuVg;^2Bo#%ff#{b#e{2t~SHf5`fXp%e(QCoATk5}zCO_81kKPFJkB0g3>Tbja-|%2fFW9d1 zl6@YI%?}Si=IRi6H!6ZJ9~9uh3Ezzi;>&H_Qj31}jvHJZBT(>@NdlNpxw&2__ojusn~lBC4nVYppx|tTe74+=FAEf$qVHCs<&z*3 z{2d^lt%~AHh05C$@@}mPt~GJ%l1Y?vz1tdJ8n?#E($Bv1TKD1<#Pt>J;VUn=FtA-; zM@hZS0emS?_^^e%`&I?lmN>Y!dda)3ju;`Vq%~!Xv73 zcIZOej0)iTDU2_#^~*N2$-O>s?TLYFUyL*o3*yTSu7kp{ht%4US(pe z57)2$vEe^%K$90-C$QDuBi!f5yiIpBIfEu2RLR0d<3aw>AIeiBKf_@fcSDu(L8!dT z&pygJ5V~!0yaBjQ#jVHRb6b~&DAbtinQ8MefkV(mMU#vU7 z9%?N0lTcu7Zt|LxEc;I2mxrOoB6MBcohX@owOPqB%|cDygBoEJT_FVtr-J#0eCx}& zM=-PCw&_q~UW~|=D4AW;tfX~r?DdvV11F}aVxnZ)`M61&mc^g9z7}d=x+Yv?`7?$w@k+H$C70Otj&MT+AI^Df6Zss4L9MwTf>QxOMYehuIGfq z^Ot$78}i5?i6u&|sLEnVU()(~s~Kmln;aM+7nDTF<%ObmV{Yi}7^Q0iE z1j@xAQ$A~SjO#f&@3)aqqhf#@N)jcr*7{9KUh$Bx`(CI~9^2IJ7Q;5Pn=2+I%N=SH z-wHLdRdP^cZ3ZGHCCj#{U-Kl?$c&RCBjX&&lm{JWnVWi^X$v*dk+Ux^8TXmA2~UmN z*9vMl6mpDCw0XtbW_x7qA1ZthYNR2j-_JPrFg^9XTW;txbtg^*!es7{C}}AQC3?61 zImplT{4L&X5V&r|k}VZd5-iq#SD2N&qTR5m-{J%pdvD}sB_9r$v{~-aHJt~7tA2z` zhgr#3+@xgLUOjH?g;QN5VO(KvRWTRq3A5DtDURXniVGJtE?Pd z-Kq5<+PeD^w#1@TWwf;nBy8GZSFO20TtM?{w#4FC&B0!>Kt^n+skYEoYo4Dhw()Xq z{LFQ42#RXPhz-kWOMc~lSIM#;v7vs6MZxhZSs2u8Y}I$No2>k5w!{K*(T!-EmtaXO zKi8Orb>H`pa@Yr5ptgScP=qW}C+ccT*wuf)a^s^3o3_ZEa|f1tD`CU4;dcVsVHzJ2 zJtblpS>_O~((i|Y8XmGzPim44BMa{u!%Aeao^7}*+LcCJvS&8HBF-!MT`|sUBHG0Y ze!p{USiojGv==)$fSp8)ov?4_;6guZkaR*Xh2nC*-u45&A?y5l+v)(Z4fE-3-&5PD zAhS_G93=EiE@qwgYUuQ`j&~r=K`6K`Zp6WFEon_^a*-wo&Ki|;qshu`VPezdXSUV& z2Xhm#k*>*Q0MWG)t$r1DeFzG!k4n0ptB|T>E4gbeLRdMi>jj3rCYKTtUrEPH37T7Q z_kH8~bwh^3P;g^d!m!9q+9g}b3`;|_P@?Wd!x1RB z1J7#oVaHvt#?RU*J;P@KR+li4%N$IHeQwS$e$~x~k>M98xHBMOSkIdI4?1l0vC32j zOnwOlylBE1p3Z!A0W$mw1o8-(+0;1_gJ!B@8<~|D9pCm(`{5Fet(p@bZvg z$Q^YQ8GeU?do2=%eG%49>2=u8{YZUrM}RTl#U{>BGdK&+k8Q`Gpk++LaM<_X8Ga41 zx`csT#}cV&e_7>v?D{wqw2DZ&KIUfCOWu96tau{8>XNR>1vSz2l)XPyz^+d~fiEQK zdd?;8wOQ8!n{-XC!ilc0sdd-$*fkyp+5{wBpW@_VueHNC*&}KTW4npNJ+skdh}Zha zd$N(P$wfZV^-GPfS&3c$0R?SU^RDsYpjKI*hcC73YXYn;?K%|Fb=|T;{~x7R-nE9{P4pN4{t z7D?Aaw9p!93;h3cBTCqzLT$_E8vIJ2JvpP{$mkN&Q zT68^ri+>h&eFh31iAcJ>S!LBr-fOe21vcrLTyhp&pKWv9DD3(y6m$+rx~}i}?_CRQ z(sgL-s2k#*lR~!WpWb`-UW$zo6g=uY}=lFKee{9kf#>QdTf% zC$Ypv7|7N8#K9e}-gAb=Id`Tb!{1Qwq+7z!HbUAUTgeP&Iy@Ml74aYHi|Q(8xJu~o z@XV)XBEtnJ=x&iPkhN}YD?P)bF;Rtf=fj1}hZ25R@>y79nkrtz=)A zjf*z|v?BgPbyywd3?t&4L22^s{m1}N(AO_v7#?Bml%8R9h}ESG>*AbYvxPHsDmeNz zGL(UWem)7q7&mLD^b8YNRV_#1rM^T=;(aKb;kT9R??Z;NP|)8aVR+Ze8Y(@*6gR6& zbRc)NBpC3n7S2%Nmsf`)LpdlIs7M$>Dr=`?2DAJyGsNmrhWB_p;5{;&;pUSW4Uypr zC>Ru%FnkbW?UbHjF0V@%$Q?KAcrho)8Rk{oJqQ`npdb*HFp#_Dw5{|Ei+NqDLxlii z!25SN!!wgQK7b51C>R`;Ff0ot5dNRBY37ShVyrIJp}B`K;N3u+p-S&DW0AoQ1+N7q z46EI&ozm+NQCVH8!%#0{zL+&pI|fiwqf1FjA2)?8Kv@ww0dYZZE4#8QO(8 z!<%u=(6rIcJY>j(f>Ch^!|ph1r}PZsm`fS((8P2oa&v~=XL61qLlzXg6_qf=JpY~H zfS=VR4CI!x1Owi3#u>WjhH&A|j(&JMEMYjDf-jD8eyT$`l{0)L#>Mq#`gK5tY$zBT zlrS9Q`%-#e#5q4^k^2JNyG(X?a+?Q#w)r;Q6Srl>E5 zMmfW)A||_D{qU#AP#y~2@ktmiL`b`2D?Ni4QYxDZR~69#h6CyPl(i0km!mNWa2p%N5KjhZvK?3c)37L#I3 zQHB|D#$bQj!Wj;RU%d?(@}M9THfO+FQ2)CQ=}}gfFp&E@6FS)E2_4?-lfD)i@}XdQ z(3}Bp5M}L@91kYPEWCr1)g=t%uH6I!-nGkh*t@1P-U4GUfP$HR2}4CUYo}xeGhc`t zOMNjg%oy+%Sk4gi&pe0>m7!p^Pr{I|{$~cAhLl0&I@qfU9g1@9-;4}}P%y_MVJM9K zcLukc)ujxcDAOV9UJ(xmetN7mG893?2mxidQvlXzz~XZSzXz68F8tNs5zPZDb|NP@&N zX>vni>7}Y#%0;Qx(xIw~a;vRc>rz!)+iR(6EuAW=T6(K3EfOOnNGxN`Qp+Wl#Cq*2 z!C0!ess5jHbI(jL>HqETee?N*ob!C2=lPyz&YU@Owi(^>t9!(u4n`(=O5pJLf7U*j z#i3X`&p5Cp-~kS_1YGCvhHJ?a#DT`cRw*TLX!4)652hT9cAjxyi@Z69M2pU$LihbH z;t-9ItK||nJo$gW7PO3ue=(Fz)ex=3v%Vx7K6GIIs-{0S>gmK<8k&F)EulSTS;gPy&ax zQc+3%Km91vI%zy}83(qzAi#lk7w8=3o*b}^IK*LOnpOgb_VWLogVD}24s06(=di=8 za~QmG9Jwf47b9sgwdoisEzSSGb1>R@e;j_(IaGL4&^esFe>0jm)WgWlN(mf{Yx>Xi z!K@!uwD69VfpK747>!w*Xu{f^i0sZy^#b>+j0vu@9 zozB5C|Ep2Np&>?Q3MFtTS_#VR|8pG7I2Z$%EDmfBUw{Mc;nO*Uc6}~~I5fh@EUg3% zqiw~@x7k6)0&DAZUJB&})*DLIEJ7M;WFf-lz-hbJ&HM=F6s(dzL3TO5o5OvZujPBNON4?@52lIx}z zdB|3RYvLUE`RC%BwTiP;uIn#;w7r!v|o$+`R7u9Ef&Z58v)wS#ee*+Pl?4`e=_m#5_0_% z?F)01;JRoXG&AwfuD^fa!W6kSX!~8CEAIM@uI1T#VsnfElIXOc-FMo*ptJhFh)#;|0G*pE zr$Y;NJAZWZZKBf>BX3zAq{A1>(vCo`{?Cyxs}pHl)&DqTTMUhxu=dPed&u>(7c*cBBKczEkO5zgL`MvdM&h6uLBsH*ly2p;yZM&+b_0T{u*9&ma~LH)TH}fW=aspAJ#Y{0 z9r5#esMRhCoa2F@7xCKd;`aQ!;^m?mXYd00r0E~S+T9~w?J=tEqc!fo<;DH8|Mhqk zi@%>&1MkDUFsiY|+H?Hx#H#~FHFTA59Ur`AMc2`|%Z%&zpH?&@{(fFhD0)SEN+`NR zjSJdbiu`WcG9vgqMm3ep3DWj-9svD<(%Cu1^Gdp)9K-(C16S)=C(rj2Y+>B0t=x|i zoVIq&UqrAYMzwJN3xdWArXE3)8pnw|NN}uPI6uL*c0GdaO$a{I;McZ9@CA%&>-`r5 zmwA~}_Ve!vPF4Mi^pBq)&DaM%GZEFev;bY>}38N&{Ja7-S8~%Iap{rO_^&V_DSX)y3N3VKxW&zLl2ksU9RKlp7tARYe24KgQ1H}CK6;(0}l@DH})c_kA(_u#$JSJtoW8=eR6 z4Mwnc=jmS)!7dop-}WyEp79hX^X~{=vU6R31mDzjf`hb22`)GzULt~BF>0XvF9;sj zo#<6Bu!ix794aar;mw28*@Ea3?jpMejCW5bE)ck)#@V|MU_2K-A9=P8b1lujGtleol5$uUki%KFmOXU_>wEWKvI*hG&p1)b9;|q)Dl^DTd6H)#M{%8t@9IHuIV>Pk_o}T)u~g7U@wfK4fzj@ zy6ZQISp-$bqXg&ktp88*XH04O39dE>rkD_niCC)=!PhV<`QH%S;N@)en}kkUES^_# z1dTa(Kf(0|!Hp&aPfvXHJtEi}qu7~HUq3Zd*am}qmB#aylSqJi~B3;&Og|7XEm<)593-guLsvcv16kBql*vn zYWd5IfyB#!QD>ayylUT8xlcV_fulTFK93j2@VpXv(Mi=D>yKByORs2r4Tk<6M1i|L zGxsAR_y$H5h$Rrb%kBBe6b0_V!!!O>;OIj)kMzHOCc7RyffmpLrNd!wv+~EI)V4jWJ^An7)=n<@8Lh!Y* zZF>^Icj&})xA{#HIQ@+o{ofEgr9MEgmO)T7A^79OiC+-GL3B8KNdznCCH~(Kyr5qZ ze*_ zLIj6k%?9=o2xjUf{@)M`dyt?_)d@DUJW4S2@JDP|V<^^q!chXjtuAiye?ze7JVj>H ze~FumI>BefM+t87j(m{_(q|K%QA;40ru}aTMtQkCKS8_6xJys>R`<0Y#EU*-(WZne z`%quW{|KgYez|QH%zyO=rY{6=T|ciEv`6|b^yR{wIn^f;uXnNL^CiUVp+SKEnirjj z`v9*l&PRCBmocATDA2q@*%4T?Ye{{*|7_lc`(ppI7;bVE&ne0)nx`@*4E^zX+4C?j ztl3NY@yye4#LI~_dz8@E3mi4a{T0RQTiq$Yj_eF)p2>N!bBv4U74cdk@I2!6(061m zrA3SfAH?pGBqK9=oNS z|6?(*wv8?D#~+TOKelDj4M|^?fmJ;NBIPMpQBG`gtl_RA$^vA)Yx}u*VJOzwOvk5gc0#7l%SD-m z?r}>m?u7%TWXKxkhOEJc)(~e@a85KFDC;5(3uOKsSR_IQEsliP%~Rk&s03MqNb7Bt z8_XK$d`XFJ0S79Hka^z&SyqoOij&%X6te~nRMsHtEiYsZu<4=!%3k^2D{vs(0$H&d zWWQ?RqU={)ORCHq2?wf?u{R{x_@SMPww-qjUHoP;9Efy7c25!ZozzA9PT3~xYTX1p zX-`x`%ZFgWDj}5EMRAFC%Uaoyt94`$M>A2qmGHjgafPI=%*Sani zPHSEl>l{>I-w6`k(#h}pLu@wl*T1pOVGsEcVBZ}>bjN#P=IghyPOb*~@=5H|bc2QN z=PWaJVx428aZQGOMtD6IM{nHp3)VT|gnc)u$POw2yV?TmE@gjj&iiGs&MDHM@a}z= zsyXbvA!D_#yr5PQskYTQV}l)A?6Cd^YBI3SISU;4K!CNccnNu+js&}w+F{!SJEhXU zcfe|g6Sl<>5)Ra&s^OMk>s^`YU6Nt{-)`92l00CY%NE#wkdRc-D3!0k{*Sz{J=Voi zS47w!C&TvoUY5ElgJ+Ki)ZbN>qVlFUR$=R_e%W;@kWT>hnBV425j^j^U~PXJL*Am8 zf8Z(l7!mBsvKM`fXqrOIc1jBDTPnfYHyn(08ijwp1u{Cjcvv#J*yPMb>tJJV34FCA z$OyITqEPLL&%NVeL!N}Nh)P&Z;-bvzmd!UlC<|-e5TWoJ4KlWPxhO+*1UHy91y)xl z(RVUr+>~|EE&IrROIt(IYaS^4#Q~Y1a8V|@Yuq~i7o@M#pm2o^GM-YoD5JTv?2UP8 zpnk7F#tr&yYtcn*m70yFMWaafV5Y?b8T)mE83!D%MGpNA#WJMP%nO;vU0f^kgk@=y zSKKI;BaJq6vqcHIC`$ct$MG#FRahs4{RT$Hgx{M-F`Hxw&Lka^4v8GlN;=#J%? zwe5aDu`&fI(gv9!I*rUyj);i4>rt#KL&i2@c}V73nTG}F_}Q;0hAWV9+X)%7y zguWYO1y~EaI6h#>_-*&;ycwMwJ!CmvttZWn?(_m*a6*6hu zEO0kvPPbrETt13cfs9!ov!AY&*Pl%=%kkN?-!w=CGw*#jMI%T0+u zv5N?q6I952Rn)b538i=Ko{Hj2cF6qP2AS_0qW3+AdmJr|VmCKrzeQR}lCG8HxwoxU z4-{Xf?4G1q)ewc*S6o=r9mQ9?kU5$}R$14IlSY==ItayH7RXGb+u@on%FtYs?l?!I z*jt3mDo)6L-NUuA`?+$P9PNN&Ul(M?QVdsnxhON)GtNzOdSXAiS+9~P#So>+uia9s zq3EC(_SGOI(ayC}6#IAMxBQ9X0556KVdbmcx@fJIcBawykd{MF;8$cwNi{?p+~4dy z)*sT2lEKz4NJ-a=oU&Oyu@Oc=+G)~ir9g^m_}Xs2|M{9-kakIhtUhFLho<|=&}J^M z&x5pUr1hK#DOrZE-R@?`=Q<$mHfg<}Ldssl*M6x&^$JZO?Jv40?d_0~W6=#>aJ@UQ z^l?ZJCass;kaEeUi}Gz%r$u&!^zv@V>g9x#0$CSb^QJeNIvdifP`TPVAmzFtx+Nb= zeYP&7*C4Gn5~SQV%5~TN2d?=Y(nS|!^&^A#G~M8RTeV^DE`jvAq}4%#l)t@Pl#1S_ zcSgPm>5WLMvjZVjqf=$XJ#P*$s4q*$u_r-);W{#VK~kSZRZd zOETBW_}%{H{MVjD@e>DRmZvKEm!^yEyMrS$N1^zs3$p9Wkg-73Mc*h_=2w0K#m|V{ zMWWG1aovpu@#3w3|rXU?Jc4HJyn3RDy&$pmup3$G(RnAIV6pxUT&TYD^?kzWXt7e z-h363K2{*>L+a|RF$|_EqZ&={K;j-Um_b_W9lEb{$HtjsUVx;}$Y6>DD>ezbNR^&z zyZkXoLJ_iLqOr~JwbSzH#2ObN@g9}wM;ENvW%$ZcN{Q}Sko2_^vhvB-Z-%eEjv!&; zFi2W#gRJ|cHIii4_7BiFL`-DyoAX$3L-)DA213}45UoT&$U zLsGH=S=pp@((rZKu`2kd(~z`*MC(a(*6?*fsMP9(mXM@6A!{KSylD8ktp0R6YCa@2 zq(ChdVMT#cr*TaQ+dX?3Bt2QGJG}mvRzBg<88%aQroM6-5>r&jT18sT4PPysp;fM|hs0$b$hspw^r;yb^uk+^ zc-Cd|shPiT2pv&8B$|C{=G?6NC?2t!d}`)ca%~00Jh#cGW*nWnwL$TiYVxU>-)?ty zp-A8AdGu2=yRX*RjiQ%cT}nOtshJkLc9uo)jL1GU1BoZSJQ|6oJ&fWx7k!+@ z4v8yNU6d%zm}6~CXnMoh06mQ4AD--+IfB#Lfawzi~xxX9lF*+@7mDD{bAPxVz+~S z=jvBEah^0aIOToV^)>nX#s%v)>7o@)J>z#wxeL1%6NRrdSij!R4X$6W?%ep^udsuj zU+XuLC|S`(YiyNo|KNlj10-0#&H+i!d3DiM*PLFX+QE+Z=sk9|2iEU0L>ZnN$^E*) zj-Co>SYYh{$zp*WG6T`a0cx>V#BA!ctxu$H(!L>3Dt(+6vb(t;wXDcwFS#Inl>(_B zIGL<7^-a4tU@i3*DYeXr)jpLW^^}VtpLD^RXKdubLaANv6Y>e_Jv_%`SrZgUPZl9H zT4XYrZq4@{keaAbD*Z!}kMe>t%S)*ZpE)4QNwRpRx8?vnAX^HMI@HdP)9sKkmi)ag zQ!1^E8`gYjhqbRWE4$iwfR%c!gYn#zN629UEWhbt$W8P?m?IWgA*hsEbA-ZrR| zVONHoeYv;jt5=Zpywm^HtJEHTn>ij>eN~0jdj8aKFYH?3fz*aJ=0PBvRb7zcW~|p= zp~@So7JZZf(tlUzLk+f~?*>Eqe5e1r!Ks~?mGtW}Tp1_9%0!W+?$X=HNe}6<)XqF7 zY#gVN2Z71j+hJEZFQg8XD7EIG7j_=jKzTu?*Z=4`r1z~0)^<~vY_kJ)4r4F;EVZ+f z4bn$b>Scc_(hD0#*deuojbuBniICo!N_5dhsq~&M*!L$PU$HZrRu}Bp=!CRP8?RSs zncmGiw)_A)exR|35DMfG(kdESNz0YT*1Y#QM%Rs-aKeV6 z3Z$Jkv@Xc=9m2C1-M|BB84{%Bdv&cV%DBw8cVl!Tjr@p^cGJ+hB{x&%pTg+I0{O8+ z+Fe7-=d7@@^z#_~gpK@A;Xv0)NBNI(P3~g!ljNs>N?TgjN-yhNH22pCjDAWXKcrPr z~>t(t~bZRzJZu^lnGl>q7VWJ!-P zw5-nVyVg;l>~vWwdLcc*&}tyDddN=nj2cr zNbQ`LzQpJb9!QV1KuSxIYprc4j}1+qh0z@~*x26!Huplx3wAC_-r)$n^%+I}C5mAj)%GrKU9`?|s$;vQ7~RbYX$?rL zyP=it5;qt8fzdC^kk&+ol%Bd);#=B_1>sp3{fYu<&B(vz^X)y?&pNG zm+X)-OxH^O!`^0XYCJ|eWJv4ofRvFU*GeuF-f32PI7Sc9Ang_M^}c>PBq!Ow?f7Ld zM!zjUS}!l8jPr7>RbP0vkO=rr#~^htb15kTyYtlo=8it!W?}Zq!D`=n)#EO|d|V zY~i9+UPsfGDPu8ulmKbel}VZF=Az_G^^;~j3Nd=L4btY2uWxj%iVDJsS?j7BeUrH?oAxL8cWphYr57`Zig-JM~t4Yz?xR{yG!@AVx1y4 z@r}diZv;rAHe$_eg=;Ct+(VX11*4F~bMyr-w z%lYZm(L`&x0Bd@PkSw}%t!StH>c$xuz0wBB0-3L;7bZDgsC~FvZH!JLwozV4ZXoJf z4LtWFUk=A;MS*zTjNYU`a$EA%)1hmY_eEXphNHWAs)HlDm*E z>H-CxlgR^=$V=aSiqShHNPdNUQDx_%fzA(;KOtYcoRIt)`5JEcdRHotUpf^-|~%!S=7qxat4qq+nFING%lCl3{iEmA_kBv-c(I& zhHgFjcwNLz9u_B#Y;89|mT&dq?2(9TTrAie*;g__mP;Ocp)cYuD!XEwCrx^?6?6}l zz3gA3L#`q&rBJ2I9C_Yjf-G~lX`@4kixgIOIPz;Vo~5gld2%)4Jb_g}jx02@S!!vn z_cY=hiPho&GO?;?;yq-8J@X9WXH@oN4@XAZOpw8k#qFGjIE{EJN&va4jv3FOPLa=6 zM4aMgHzl{(Of|8I18QdOMRbwPl{Sv-V#X7;E$*5K+V@V@JOq#{XxHAOk++D+4Ti#% z_T+t;7C=(7X0c$ERyNkKaqV>q;V%%QXkDi-{3pqWxX_(hxA-)XlHpxDV8sK0(sOcV|m93*TojdqwW~X zJ0-CW8P8ki&pWNMo*2(dcQM>KviCAsp}#FaJujAbf$Y7a1oEiM#qxe9d%c7}9`&_Y zUOw6DZPW9dEbpp~b+ZC_)VpGN8rkbdQu^Bh)U9H9H_4tu=6Qtz%lm`u4IqsoT#_Gm zhwQygQhy%xpcw9+oAsdrdDL}cd4G|;!BQZP`b;eEZ?ZSk$@8w+DK7!Z-f&MKk9tWg zFPQ9&&;ohXJz{yK1=c|doDzY~Yj_m9(u~~j^^7(L#pX6k(EgacNGC`KxK32=c_>V2@ z-G?Jzw3{HyE?-`89>z~3o=oGy%tjK09Fn*|=y(4pE8>a~p4qDdE#`w1#>_uBg zN+w84XqZRCczSE0xAg$Bgm3=ljk$Qj|IJ?-b7M%lb4&Q^s6ChgROWjQ^?@u&f)?Q>oh#dL1na!Xhh566>zxhk!kO5=~ z-~64|eDX5?H-Bj`Gk`4No4?X*HSv@>+F)UGH6DR@X`hhkRWQDSmlRm_-B`ol>*3hE;E~DOLgy;h+&J#rfd%&*Vi{gmJv>#`VGV85zpBoM|N_UcrV@I z^CQPg^f+L1&}F&%pxC>o!fLDhD{=ypSn1*znS-7 zb^emW81|9Gru+iPbz9vgJcGoDSIFiBkCN$X=QW5~^Qa zdl|z>cQt4L9Ds2oYH zUZAH|4B2Q=+^=1*T6qhbK<7xC#RS>#&EPiT6J-50^Q*VTYCt@DF`yqwE$O2+6DpqEkdG1fiRUX`j;ybmAmhhqbv9wd zJ%z1I;K&5U1X=H!)J@|t;<}wJZ{Wyyw+XWDpGiyCVZ>E|t&!l!da?;JE-!i8bc~?Z zffibDWL=jD(t2##!WS{(BJrg6RQh32igTJEW8d!QTZ|Fsh-XJHM_L^w$QZ5q=f^SP zH1X`9a%8Mzf_yAormvSo(7X*TKHfJG7tHu`OaAX~e39{C~IbA98^e#cG zdN{I{W`eAF@ww><7?Dmqo7y-XA^-VqdX?a$hKi8(lKH!@qAq4 z$Vk-$StHzg>^F>9?Pe>TII@Off~@{+(+aaOf=&ddsOBnI9g{`^b z$ZE0)GQtzluN+4F;9(25I5NUzf()F_RHU$Fk*q7Eg|E` zFoy}Usw$0m8YAYCcTwiZs*(w^N}2AKY8de)d9Ur{$SQUdWaSa}Ph7=_*%Dh3$B~t7 zCP?AbFIM6?QDDpJI8qQzkd>sQL7!s8ST9?f$B~r;6J*6_zq7W*i1!t?z>gy@Vp-sQE=#eAKswT*?zjdBZ^>Yv*$rAmrcBzbFf-KW&R2L6M^mnl3mmFEzZGtRa zKDpv&7}3|p)^Ktp{q<-&UuwnC$nzM{OJxf|IWoj$f(&VQKV&j3Nwu)mq#POSG(iSO z+Jaw#%m^o2lFE@m4ijY1=#7s}g^cpF>bJVcktmrUF?is)G|1@WhBa?+}e-Ggk{Bx6jwhUd(22^yE*cWBkhs zThYyVZZq>9RJD7p>KNb6&X$F9o6nmeas9B9-(!4N;(0(0AU7^C^A2my-S%R9XX5Fx z1(2Jv1(On48aTJmd+`ejTjd@=77d*?_>NWn-*r0kK&p+f2=_&NK_OrnA{Dblh?x`52J-v^`>^xA z4Wk!HfxM!5i}=MNo4eq7Y-R&?jwOCGxR0W_kA~G`HvbX870r&sA0ofg{eIavQ$LxN zBV1u~C;^;*z6Bd3I@z2{Ag^df29J{N6h|P>KW771Hn`Zl4bSW6W%jCiFxutfd4mp<_kCVOM6_!W*iYEJaO_te&Kg%PWe>ng)EJc&`f{o>|a@5mE)-c!syM}vt zUJrjBx?oq1Cy=*xA!%T3nh>Ie2OKwm@*w>+6=-P!$2mxf4epa}9?$bHg1`oaZnh92 zfJ=GKMYwQHVGAw-dH%&2SfzoJEzn?jtZZ!g23Bd|VoNvzc`GVAD6if@;+$n?c~p*~ zy#@^{)4hF54&)W>MrfGe!8$j^K;G6@y`&r8--&gu`|Xi#(T;|AH{F>UgE4zWdmb9r z)7ZWTo)_yP-G+4qwlgA-SF~@UVJzYDl|Ww6&WeUHguBeLn7yLC7Y(CrZ2v_dZ(AI> z!-jQeSwbx*&zoRpd9|Eu4@V%cX!l3_8>D;27RdAO55f3@{yicr56O-4XJF!w<|e1P zLI&l&NV|tdW1WE>wu6ZO5SyYi5_tyupQ$H)YN&taK05J*L8SgZ*4ZfkC+b))+iR&> zw5@6DEV<~9GmY#;f1FzEDEi~pa(B@mdn-vrfBbzl@Q1!D>fCQ)k?8CWZ_yv;cdJEz zoGBf!e6fwCDCczlfav1h0Qk~$rAXwh9KiUuS+U(!aVlZ_yQ_Y)oY;LUi3&IdqYo>A z-Bqh0|1T$>^xB(h>5|(0GmJ^MLDFCc`GqnRRB>_9?!E4( z+E)7+V^kaD-*7;IF-cia%hjw=n~oT>jWjB|p`e~VcUll{8?^J~7CfOF zENE((e)&`a#$?!_psoiBN*h{bY}1DQ@h-+>i%`&n489P!fC3b}DB!(i8piClLqRFh zYNc|91+DE9Z%1^-n7s}t=%_$`mdHio_q^qJY?)yFXSK54d&;%Ze1Q*fH4Os zdnXn9jLtCstfj$o(S6{6W`os{+QB zr-e)x+;Dlbg^Mn4c1;L7@*c)kBz80@dU>s?i&E^RlQQ>U%s~wjj=}lUHyWsFK1@cDe zzVhDlirz^hF&0$F8)ApN2^L*zf`Tm<9l_XO3uy?DH_Z@DQ@-Aq(E(#}osc(PguK~0 z!@SvQ&NDNA!I-1uXOaea^Srvj`Leyq-ydVl2^-|iqTfY|E?VqP3Lcw^F{hlQLH<^# zx@e`daf4;cG3K-adEa>;Z>>icrN}c_+KVx5B*@#N zLEb4t%PXG_TX_m&+R2c2*a>-;46WZS(<9g3!kG3R$UCXQwsitEY%v`rtg^qTakL=X zJQy-ahTY{z1_hD|cha1ocGoC%a+?K?(2_IO4$@yeH8}db1CE@OIjtk7?732@IT+K; zO}{oca!U`~k=s(Gws&n9(?f(KClokRNG6KbpB?#2o=)E}$C#dE?3NdDgIrvc8zOvN zs*Z{=z1*ZBLT)+TU~Z_CyJHB2yEoHtLvFZD*Q#b&IjH&_jCtKb8YHf%=%U*8!D{c} z7}K9=NRS(&=_0G^%cO-@G3E`X;elLwHxDq(ZD_%WT6F#15+OHMfZQgA!KU`-ZIhZ} z%s>Ze$dLQAVX%d&?A}N>)gUt1gbdQO05{mqlF<3hFpL>W2A?5=9Swso+B-LIO6}ut zGDtH~xspxS>ZW!&)37VXjBt|%8GO|+_?qSP)SUYmGm?Bs66E$X3_4tA+HN?AF{8=g zt1=vobaR78qokwq^{E&$h79)ez|m;0E_zH}6Fgup#*FpC(Hbfot!Ieh<)GjfLosH8 zNE#M6+Q2Z_P@3H1SQf_6%Auq6EO54~$_;u2+uE*;He<}kUN~A`g%cNKE;@11bxW;H zZOHR7hP^4li4~eITIv2QVVV=}9CBgRR;2ZZLl@l^*59Ae7Vf+tW7Y0%I9bcWMJH=1 zKOVL;f)lq$tFIGI9P)6{iNmhYF+G-G$WAX-9Vozwje;)PsBRqAY&(YR_F&aP3Y?@) zVBmV46uj$F%Phl?92Kih(BMRti;GTV+lCGbT8JS>HLUuH9YdCIUl{VE^yID11sHOM zTGbDo7_!D4(87=u+mQv;pTdyM3Y^GvV@R5;i#Cd-)Z}=If`B1EXc)4U`@)dzQrV3g zc4Ejnm5kAErlM0I8NT-0f)0FL6+;x6jFB&TxhpCchU7XfOo_+YG;`^p?AAIiQ~3+`QzOtuUy zS$up3!c^Lj)0=+h>kMhj&<`C}_MwZanQR%FFQo>%JyQ8zL9RVC>U2 zlPyDCB7XQ8V_QfjTZXznSLG7MK1-qfm_l4mH%MECKGN#FkFl*i{w+f{zVL8gH4qB%b%QsyI4-w*J{W^OAki-h+(|<0ZJUiL^YbZt#eGj>p~wgFdvujSZx=(a_3q_U?VL9R|5b>yQdJ)@Zuc zC3{wbktZ=|3Tf@v;KnIQ7oBsCT)y~E44NjujSHmpyF(XU^E&Q@y@)~6N#l$MZk(5O zQGqaFGPcE_8I*n10XL3&bkTi5U0V4d2F)g|%QD=!W79?V9P2xb+loPRY;fam58Omu zbhDJO;&wRpN41+(&HJoKiV^BTP`oam< z#<_G~!`EGrVBTO1dWE!B(eF2g z)*^TR?6k8O^g3yMOX~q2Sc$oC*902|z2z`jiMgTnnu-|vzQ<%GX85#I`!Mzc&15BJ z!{&3QW9)drWF_Y2*t63xcB0K>CFb2-XFtZ+k7SdTm>ZWbqgM!*VzLtR+g}dX#MsFm zla-jO#@3A(CFZLOI`qKUFFYnIF~{s)M_ONMCMz+adF8nnJ6H0r#LU0z;B_Pap4engT2G9f z??gOD51?yKU35*`v7}=p!dLW$M$fZ?iV7DMRI)9My?zXFg$MGl%1}^UuNwt5oJW`R zdJ&P@-GU1AdKv50wXBXq0}c*Gn4yvey@@`q`zo;6T4c@%Mcht)Vl7Y*WM~CDCLBL9 z3URj$3L25G_Ns2MgMCoF`aKa3lAj<8cyBB8@6EhmGNNhAqvST1$VAV7+lH;e^hmm zEa=rx8uC}L+{XQ2Vog|B0sbUZX>i9usC1^Pffo*ZZt(PTc#YZ`rBK#RD zQ0fXTS_=BbUbI*oV^_=m#p1WSkO#Q3RKcJ{9yoQK^#x+riikcD4*p605N~^d3o125!6`H`a~*I%QR@$n|e2) z#1rn^q{}Z!+b*;uiJ37f!*ZL z4o`g992vLl&-AM6#n?Tv$)O#&S69bj>^{Zh(2i4WkCJG=$K=qC zZE2McW9&idI#@`&pDuIJiyR%=F?ZspuVd_C$>h+E*R)1UFqYoYGulvJBud~~nNjMv z=1u9gJSv+U+A*!5>$eztoH`uG+z%bvQKoQPD#o4?Ob+evE}fc(v8Qb&hjuKi*U^Kq zXNlo$qB7gdY0#k^N~4vlG4{OEqn2R!q@_0 z=yW}FXveD`Eoh3dS8XPTb_C6~4aZnbGC8#4h2CclVC)SV0H)UA!9zRB%?S<1Sh^`5 zJ+$N2FW(Cods{O(wBxH$UBAKDyTq^`85|<=Xwab@dy+#}Vyw?*a%jht20h#u`xkW( zYmm5}lWWnT9ep~?Ou*Q`6_Z0ds`YGf6|F!FpH&|^w4*Yv{ur%6n#rLZQ#WsIg4R-k z$)O$nY*$C3wT#W=(2iXZgTFy*IoagUjx{}EuA?scxXrcU)qzwaH?p1XeZ{|x)vSUQF*S|4XxFP zA-$|TcxcDDb3d0sD|MtEJ+$N9%gGDS8bu7>BCRAzr;+4Yz4k_RwALaDJ?#%2+EKcB zs1L28WO8W7M{R4*M(blvlS4Z?UjCv3T4QCCLpwYb_xys^IK|}94ry$o)@ZHgF*&qj zWAz9bt@UmGLpuruz1=8`@_d~;Vgyr*c9`Bd<2 zH4N@ne7!1cMC;RD@SV4VZ-rqn)izS|K8x0tWNe-WzPU0t==)wt-r+rt)>dxt?IkMB zEnHOC#y02RTjS8$#sa>I7AP$1(nX=J1Gg4dKx;eF`oRUh8!8w1Zd*oE4 zX#sqz41>w`)JxArqxB^f3g?io1%}qQ>hsgOZbfT%(#ocXN0?jpRn5Ido?I5KJzU_c z;Q-$~n=T3w>U$gJptYwLe2Zl8omIHVcU2y%bjwBSYj!BC;RK&C#_Y=#&h{Kl_ZQtI zg{A2C6P;nn({&TkH6wLt42dTdWrz;|EdT7@9yd&)nL z)*+-dUj*MpJsQ5t?#NL;4MXcN6$=nc-zIncOK1N^>uBA?cI2^e&`0@92@xFG7OGz zDPwz1N2|+Dm0AK{7elL;de-tXm1{C-&9FcrO$7(~0EI5klg;0ri`Gxb&q&g$D{_&q ziDhu_TUNAw>HuGF8GM4S<%@DHEa*>nF{GAE71BC#juML zeBBJK9-dbApr_F~k2I!up>UioDx9dk+-nF0YJm%U!$t7b7j%P-gp1A3*G20>((363 zUzn_ms>vr7)VPDzMRq9sh{mgK=rO$ahc{tbPF1un@qq7f@)d38T7{3f#x7Vy<@(tM zzLjKjgvv#}@$NP)2AB)zN zGWaG^-RL56t-|-+pLs4&wMo*z_cr}T8NTAYwZ4npgx1v(_+E0t-FA93e1h2i{+ram zuF;^7MriImZsP{;T~%J2`0i1(rji!D))#hgb5Y^*-gzy*nS|E$3iy7Z-}e+Q@{LiF z>kXxrEnR?nnhSid>jr%e+xHE$L1^7X#9ts$Geh*W^W6nErlD08z&DmIXE%u(EF9_S zEx)oKt=pX78(;xn9mCgS%8`}L>!Wpt2YfB;aBrN@j*9A%Fz;USuhaQiV<$WGSb?p(L55-jyK#Z7-bbZ)d4t zHn`_h;oN4C-eRmr$!}*3ypsrt)?@UnUu_{P5=)&R6Ac!QaT zD-mwEbIuMoVl^J58&+ql9b>n_ou5hd91YDi7IaZ#PrP!FSYDCg>UcX`UqU8|-fXTf zaaC!1jGkTB6f!2jbqXIBtrA*0R#TIFordLXHn^Vb(nV`*yX}d0(Rx#Z>pzHaeTzpI zsnU zO4;D_aNXBwr{hIWo0b??mL^Ktc;NIXywg8)vdq$pUZrrnY0>@9(&IH;0hoG8N8u@*S^o5oVn zG~jqe0k1%MaJ0D!N1I7BZK5(+j2A;z*hx=eDXRoG$~j>71_w*kCA;q{kkivfde^SH z;8Zs^9QZ?IvUmxObf6oixnD0qg=^bgaBz;3$r{+;T9gA0ek+sSsc)%4_?noZhsheL z;E5zI!2(NBbv`+PWR?9k8`B9wi$plI&&Fg=2ypdn8jNXWAuCt=dExSH1r8ndGMmq9 zaFr%=57t+ijQY&iXwax=C0ZOc8|-X=-4~q9N^_e2n&*V$XUQhyU9*9viEzxRF0 z!-mR`6CttGvowgaoUZ?17fZDwndAijK`a>8+T%ZnC1<09$=Y~93-!XGrXH4RCqV&q z9S*6C%c&O~kiUsVSEN*atO|v%+u%^Dogq88;7VHw4o&p?>quq)fQFD36R@Ze$Z;#% zI!DD~+zT{Y>hVC%5{EAOS-3K!Hr3KjBs$`RoE3&BNoaoIClTW$4NlT6mUGmjYn^b- zNWOd!<6agZue=61r);|DwD-4}pMHyRuh0yB6$x^T{$|c)ckj2ZOu@KUWyq^(gB(rM zwQjoa^#1utjC)Oiyygzb`9so0cPx!I$6Uj>J|4(xO=sSoadXju^X^93mo{PC>l&nQ zQ{ccwUF*PQX*xdmKG(|UfQ;F}HtWN6DIB>_kOc~t{;|9_afID6|aL+LKm(-)zZ>KSC5KR?Y$k*SxCB4 zxS=-4E$xOJHnbSHD5aE_Dt_`J#tkQi73q4F(S7BVcZ6oQ9EWiuoRC{XhMWq5t|dr6 z&Tdc3okq%#D|#TOis38V;$84KF?>&f+%+mU+m z^WQOUtPOITk-<8u?kh(4X8Ee-7&l&m+*T6g#2TV{7F+GiAs9z}vfTD$Fy8RhK*@>g zcM0P@k|DP<8Ej7eyF~)u7gj^>X>?!G5uSr8% zb~=u6Gdz&{0f~AW2RYWXbfSg$hlPO+EQ;6p0c9u$(Ag_}OyN~#7u2JF0Q5&d|ADJRU z-s2uPaMHz)>!>Ym?|?lt0ZFO#)PRtzKcHc6CkNj~ zStnk8ClnLj@Un0)C|Y1kx@Ll`?YksCiwU&clwuk{?)}7Nf~+;+m$_Rpp|8p=3`f!u z+DEBVPSU_vM2IZNZ-zp|Jh!%xY!1DNmv@tolaAU8KM^By*@%5Di0I>@XQ z2q1T-+e~a$?SkJ&V?sOP`KiQt9&nf-tNh-e@%Na}hIoEr3m|`c-ED%bJhA6nH!z_! z@th(Akb7QtnjnRY0Y6{DgqFn9rE#0zxJ;0he!IWD6DB+(vgVZ|m&hi_io0T;{{<7C zBAyeS0p#vOW;`qO3Z1$I6P_fV<0X!i&Egr_*_L-76Pgguv9ip(gQsOXl8(NG3?!Ik0s+Ce$FF z1DxFEL5B$v?q8jmi3!z+r$gd4e|MT7E$4;otC&FV9n{kbAa}>fI+B`GSW%VONk@ejs$Wm42{~}_-7zgX~apaRm*$tZ^kKgV`QKI!!)Nu?T_tr5(22ZYi zViqQhbg|wiM^-jUWY`Sy_1$;@6GjNE3mZVH!$lLGIJ_fmH%S<5WBuR&^0&j92@;-* zICu>c2CA$x&Apc~vuUYt?uB4XcuQhE@&NKgDZNC;=&2cqXPL|1@20|)w-ol07C;_b zX@)G_aB}0H;7UI?d(#Ubk9Scx&tf)9sZCFIhbw(3O4WGTkH27MGi2wGDP`bFF9&<) z0t_rsM}ME2s!OJq0m9<sG^8ut+v~!!@Do!5x3YB(G zc49;WvRT@X1RCL~V>3a9u9^O2K1Re7&k&W_WXRg039@|u`$-otB2Hjq6C7DfFhQ2< z>e=%=Mm$E|!NHL=^%521S$5FCIlp6-cO*7S!jX}Bl!_tCENM1|ws+SM*-#5d*6^Bm zFMX_F7HxG6*VuRsM^^WkAWK!*Tb80!MP-9N99c~@L56JJm=lZG@ zQDP(H-1{EU#Jgox?<;N$KO?hY_5ku+z8gY?6VN^AGG#bbDsosHFVUd(35yap$8Fg(n`W(@+!3zhT|6+;F;;mv;&A~f3C#v_QdmNZu9iVhW91OGmZP6tRA54*7qT9US)Oi}nQuEdi?u+3AMK&?S zy*DyLhHP26b2Ww!P}uBJ0C{?(ag7X{!LRR-dt!KhJDZ{kAkT-G@eF!ye4Y0(ybtl5 z$8BEhBb)HVtbsinVt6m&`4zW$E<`aw!j!&aRSfUpVKZ(4?-yHYCP+)W-J^SB_*~*S zTMZ!38&BK_=ErOYLV1nXPIFATQ*rCdlA3mpdK8 z@a8tQG$MfXKBdRAnD?NU7j&aGq^XmwuLvMdUpFfeN>vUK&&Cw_*SO8|f0)?>Ew)o3 zhBs8%N{@iebIlYJo|Xo|4O|#L+`^WN1dyj2xj6Fd7n&Z=6N?Kdp0bCnIpN4?n+dX1 zz3EMFVE8NtTeuQHUi^QweR+5j)%SkRZ7CE=Nuf~I5*BF+Z3qa62oexkWHBO}A{bHm zprS-XL_`dWhzJ-EL69W^B5O%owk|?|?kt@aL}W7{0*psp&+Z(^&!yA-Wt?zlYDfGYGD*)Xf>h-1 z*X$Bb-I*nC7+K8>D=#Zjk-vTB-%DqoD!G1seK<3gMgNJMPima!Ix8Ay05a^xyvPw8Z=O?ZHf<>S6@lq=d>F`L9A;!9A z_OR&Rkh6`Svynl9WFMZX-I+!Iik$peGwsi_MyCV`rk&rPXVE8|ymCxM{`RkI+jZSM z5%^h*Ei$nDOhuMqzBTXm+davA3v1XkWGlV4_CWKkF8#j!nasDa5KcqlBI@}WfJ|(h zP(6~&x3HQ{Lzdiy3qU5Ed5~~~%(t*4PebC2OLMk3oOdyY%(t+vPeUg7w>^Nfc^Es; zhs?LII8a04L%Y(P@o}>*pjFj9+)E978nU@tf;6@p_v6cCzJ+Cq8ZzD`LB_4ljK4wV zTUhI;Axn;Cz&x9Uw!f7`=37`WsUhPW63$0-FD?9o%(ot?Y1fd=>=IpwMQQ>z5o*s^Wy8)UwPMWh|`p(w6{0dRrIv$RYDBtP|Fdc&?P@th>Jb z$wp+pg~i4ivaUyhtYd!7yNS%Vuwq$5;#pOiv-T3lPX;pI!m?)#S=%K+##DAKPbc#& ztew`7c>a~mRK5RO!1(|PQJ-LRgVU-}O58n2uk<7QSC|yHVw@8ptcU=*a$b1Vc+cjjA zS%TDm()hBC%(t*SUPI~)5@a>z9EtDu2`EPR-L4_4=_SZW=uy}@^DUGNXvivF39`zp!}ES7^DWdJXvoTn1X($2Vn%y1 z-)efysUgEX5@h(;>BI3|JPMUgN9`K2l3RkT+BE4n1eivMgGiboU8 zw@{0rA;X*!WZ2Bcj}GSMTU^kgAxnN62ArQ2Jm!pVxcL@Wg=ol7yM#0J%<9Jnaq}&P z(h?0BVv`_4TJGua5}9wIK1D+^s|3kLPmX_$%(qbFq9V&M-|Bw!l8el@P${D#%P`-n znbixwu^jSpxs8e}!&1Nhd@TH7#kfBg5E7qSmSL$sp#F5!Tjk@?oaf`5h%CcW|HarR zGRRUNwQ4G|3`_mDlFr^BOZ}#E+#0g8McYdO*8f!w%s0oAr9P_WG~`SE{TYC)>`JiI zCQE&k-l@oqHW@Pf%ckZ}$xVlFhT?7aN~`g)H@( zPE|DIeHmw%$K3lAS?Z%4QAL(vsjs`U5)J)7cW_OkhHPY(%ro@UHfC&lFvHD-kt(tb zOZ}6r|4boEeNtJt+|--?xC>eH4g*65LKxH&Obo~y_*%!w=C{`GM( zC$4bBr>)%~;|yQ8Bd;x)6Qc%RMV4VsJX+s)BsVAKLV69^+a{T3#i|Pvx{x_Bs_`}C zAlcf(-su+UBy(bv^sC4+%!vzY-I&18oS6FpXvmjkYY*+*XJaoiCq{Dt6Lmd*%3OO?$ z`yY#K@8Rwh8q(|EqJXuB=1#ALYY#(9kKeU^Bz}8>Qo>Eh_SOh(Uscm^J;UurTm(=NCUx#;J>M9fOtLi(K43Mn#HJrGz4U zLYQvgIlNMeVy1*$ZeGx&=0v$u^N;Wu?slHydsq}iB@~)jv#rF3%Ziezgx&ZYG1bFy zm=i@+%|F)h!YZD_WkuOlb1XW>(km@D&x2#l9k`CoW-a$M4Fk(cVKIV$9ZD{s1ZF)z>Y{a4G%=l7?9m;0(XQ4E|g+s+Gu)f|-zvl`d1 zEbbc350@1M#R=Ceyr@{km6%^**)h){j*605!WA1YOy)V<&s4DB9A+wtEMi~%a z!|helOTq>4Z-@#GZ2Yz!-uAxwKq|9KodZP9RfBOlX&~+qW>nGH9s5znh zNXWqZ3BS739BD&XLb{&oL)4tmlEg&e{m|oX4Y$*PbE$FP%@rqF?qv(l9k+5#ikcI8 z{e;B^uGv>}Lf@Zo1J(J%w7CdBfP`cCDRfy|2e}Ebgris{?td@%%~HANfXYXX1T5i* zkGm46Ir1!E35ON#TcGBIt3m8;2X{75bL4`+5{j|({b_@mBcB8~Rn5x15_k^JKjEuT z1AjB4w}OiE@(HknZF=r^q~^#ei6v}D8^5~_4HsfZT=S_G?x&>Y$Zd($-$af}YEBrt z)&JVY&D}%}f67cZh!R&XH^00ayTpm+jvuflk2tx(BS-Onct#8JONLHxBE_ezV@m+MdpcnR&Te_G&SLHAp3<|}cb#MAZ(7IVE81|_!eYm{{7b!W z-5jEOvs;KlGus=ZmIFEIz`4WO#v{R5J9j{@zO8_bfgVmw3;^VjTwm zQVu1v^{!Pc9^YQEAK;SsK_QCdS?qM@50mSRWAQZ<7K@*Dv7fp8Lo=-t>MvWv;%j00 z6AO#vKPg#!ZKR*3V^Mk!e^uAbVrO_*%xRsrSj=fh;|Dc&vH1FS7W*3Z4*tWxvY3m` z#18jQvUs$xi0!Aam@EF3#ay+%e=4U5i*Mv)FsSe5TKfh*x z#oX3k8_;4pi*JVOxs21eYw$01SJ^%J^K&fT=w&fJ2aCC1GL3(notsZFviKHO7IV|V zYU}*ds14SkC+ZGn@g`i)9Se)u>K}^PZXaylXJ_$dT-SXZ-sL~cF&;;ruF01yzO|Rd z{Oe&cd!7DiWLd@x(GO+uZE;;&aVXd7AIj4`xA2YoEdDV}@AR>leR}`Uetfr8&1dl) za9w-cET*tzsL&I?eC;PJz9X(H2ZxIl|5Aq>aUH+QVDX);ET+KBVvacdLqA)(H+`lf zi|>N#I%vjo+rW=rHv|>=SlE3Ff7Kmd{p7}1bewzmSj;bWC_H6RNucmo^Y)4F;eqJo zW-$&O6khQ8hyHN&sGax%d349j_CO(CU{x7L;T7BC(}ynOM&FP*$p(c!yMO3U!>t|0 zU$|R16rRMPn`ZyeEyHJq?d`ecIIc$zg?CDZ?s!vn=Jesdm&hxJt zTO}WhSc`9@5k5()_k`Bt`MQW0F?M`l+oN^X6reR>|Xr_xz1_K$Eme?!0p#?v2G3Nvq_e zTd?gs_F|(}$$md|>I3U{sK&<2sHo7s(vtSV{{@XJ+gQ{W^q%K8p=)bz5Z17c?$y@YaOZEpOWzF#YZz_!FBR>Z zSGm>#7Qe~M8rHM3hWO#4UV}8LC=!)fJ-dH?jTagTl{$ek<{X>7)Kgt;q!s55%W%inz#h&&0hkm!MowW$}Rl1eM zUh=Xkcl6p&m3yAVK@s&>e5QxhcX?Qq`~DeL`PX)3z<};7ewP`^bSwh=OGSiuUVrVa z?JRybUXGU9SVRT?QW2F5Y{>g~1`r7mHbEVG%JUOV#n-el7nc7LT95F&k~H z3SLR@=qX6Q*}>o* zEakl%C2h9t2|hdpPIx74wug**br0|CC~333H>X`I7Ju3!X|sJKy7L^~=TXvT`zeO)sLl4bmos{?<`KBAC7y@cY&RbNUU%MJlG<$h8zxXIZT}qt5@rR9Fdc_C zp)eyFuWx%E7GXYibIBU6F_4Hbmsv->$b||L5#}}}WDr+7NJN-zm*B@cN+u*COk>ku z$8z0;M1;Av=h=6;ctaw>{3J=~$Q2+G5oT7u52tY%iA01s!91jZYf&U3%-^Q#QE-)r z>oTIAt(IH8KER};Rt)9(46l;6iILgDNH4+i#z}WC;uFsK(Fq>BT z;A<}Ok%%xSy}0@mR|-i)m`!uGJj>-q5)o$S>3d?hW=SH#tiSg5KrVceh%mE9_kW73 zrz9dwOX!vPT!JMLVYb?Gp)c2QNko{%EExqny>VTWtq+MXcg$S)JXe%SM3~JlnA5o| zO(Md)RzGqy*S1MSm|do}A%UDkgt;pvX#-c;Nko{-W<@JpswWX)Zr{24E3WsGh%jfr z)}}5O4N63qBkjovTzN<$%wX~Mg;C$%=5i5BGs6{>U1iA21;^qKbfPI&{>;D-$l ziMIz2I)S>lF?xx3yII%It8fX8M7*7~{La%{ha(Yhcd&mP$HhDn@%G$rULV30K|;Ju zGEKa1Nit3Uok)^*3R!6L$tdqUt*Ek4y!%M9Q1W7?`v=^MSy&A4J4k3Tz$&a%w2$Bl ztE`*6A8+M1sU^PE?`2(kjo;~(_*SP(esny)UCt_ehqt14JN$%ut;-{=;o_cqs^=l! z>Ym-P_aff7m-tpkEj_$Z-2LB;vpiBV!=tv(FHDG}M+g=2l!tt)KRr6OKRt)2Q0Ng- zf4WD>rT$*+4jvgB1baWlhTg5m3qK{^PanLN{w%K^m3Tk3DmaLx@dwc8rnCMb@27>! zhg9WttP=01!8t=a@nTqs_mj2%{C2#eR^t71^tDr$cv-H*`{}Q_GrIHIUg7=J-KAX< z@AvS&Ao1LJW2QZuizFnT zI~kcb#&V^F#B=9DahC;Lt|9TXH+0B@w9q*=AxOr%3k7W^=Kl;`r6NM<$=W0>Z1$8u=IE&u4^(rX1(s!CXb$04I6L!hHD^% zr&V;xc^KpB@W9)X7XnK>qx~ffewc-L1iC5S1 z*!8$KLh$|dm-`{FuHk3uZ|71KiC5R=c5`3gdKZaT*Wk)O;M=?^uIqK*Ltb5LRu^MU zbhJ(4)m5QxHlFh_cw&EGf5@xr^I4WUTmvM$y7VRIVLfF{DmbS0jQ`6eg6kAAaCJQ?TtInm>y!%^T zwk+{vTx0ur5U+g}o{SBw{^Qlo@yh;gpK!s1#0ziARnKOwx{!F`z0$5#Q!d?*c;P); zX$RI3?7^kJ&^_dZcl6C$8@Y%?;)VA`>yx;n@*NT{yo|BYJGq=i;)U11^~w~ki6Jk% zU{B%QFLlGcfrUD9bKqXiA7yGdA%l}@^_1Mn! zybwioTctgPdyfBKN9w$If2|Gjz<=80G1q6u^u4Hkb~2kHTt zd)*DWU3LDUuI}@$S*v+1o5WLi?2b3)@`5;tr*QB7hkU%MPU0!NBxT7MfT#_x3F<%$wd;f?pNOy)I@5>MfjQCAQ@CHVwD)*L zs>DMfl z_Tfdm>R;k1oWAG8A}$?}cna5c^)Yh&fy7hz*oX#~xQIdGDIA{EeJoc(NIZoV^Omh# zP9gCWe%&^vI@e^7r?CI|GIy>{;9 zZt{c-Nq>gdNlE(2tm*&LWL|6~=_fN{cze{Td}NUHletx}_Z%<#lJt{#w|{TcJ7Vov z>3%YAOwa1h3(zF}WV&=;{w1$slk}6RP|3cXO5t)B;dX5=x!!Jf|6|*=kJr;l`pNX| zR||^+R_Z1FWU5?`OQy=c(*0x}y;ySAX-;(og0+)7$a9+L8Lnkc}QyERc;}Nu5JGw8U%bXGC{L+u`vuNlsqc-7#)#MXao5 zSmiO%@{qe@pRmvIM6ckHxjT;RfB30WPMRP1nrzu?|qxBExG$_uz8y}#yP93021z$CrDW?fDn&P&N8y}yR{IdX&7 zqe*&ybu%qXrJ}YPBe760)BjFCndzyWHSauC#+B~<)o=8g_o%$CbnmZTjrYDqHG0_l zOZ3H{dJooiMrrTM>vccqPUan@y)U~)4#lBR#%p*rX2mvoR)-=obprGfnmN4Aw0OG_H!R6AUVl{^l& zq#@3$%($4BZA%*Bys|La&TGFV4RH!1)?-2b=h&_%4*x6pdYaPSKJHkz_jwh%q#;hP z^(p;$DZ8X0&fQs0Wbt}w?CT1v|0Q-RZe#Tiwbi|`@=_!eRK_Qpcbt&(w9Y@&-PyD2 zz9%53Ha_tD+XXq+k|C>m>ExJaA?Hy$i+x~+oWUhSgWd1nj(P!!@EI>yAZN1OPw21= z&Dw=T&*D%h&TwkU&~)A2wFCP>&LA8L^Fq!_gMX=2zQ13)(h-TAtYNqhwz{ye0GGvA z5qLzG>G4(e1AL5n+sYDpS=7ZabUV+@AH(XTVz=u#3QOqi@elQ}A3Cx330B=`gS7Xt z7ObDcKh)3H=xFgx7E{{=srbA!;W=dIMNN7P?bT{MbC^|c=Y-T&xUT0*mU`Z&@A_sT ztKP~6sZYA0q<3^`2jm!R_HzvOHp+_KO0CYLt9n?%3qJqQi?+mBtrj!vj1H;)b3p1` zw>kvw#ag)ui zm5ZmbTF;u{=smmef#%hQ+y|P4{l!nXn1*QBiiY=LH!b)o;SH=w`3;L#uJf^kx11~{ z&4sUQ{MFlb7PAn`T(0BZX9;6)>~Sj#`_sc;y^CYfPJCtOuimq=T16gwW#F&gH?vxw zI#`%b;jcc>vs#^z^DpB3(9CMy<*$hIBUGnf)U&YL#5u{wYG%3dm7Om)Sz$GoA@VkH zI^3-0cpD45MVzPTR-f9z32PmB2PYP>gfADn0{b_f4ygMZPrLYEl^sFjA`^Q(`~SaSgH6Tz1n1_u29=XAPaA(&O%1pS;dVGMAlzwfTTKj2HH3h zo4m97Tg{>eB~?XI4@#$wbMpGiJ7&>?QdCx6G6)ZIUZ)!1VUCro0UqX9>q;Kx{t9xk z!}QmLlZ~b@_{7TU0E17gy$&$=#Omw-gHNpACWB9Z)i+ss`s=;P?$ck1PS%|Mns#o# zDSB>EwJGnp<*!jK>8r)7SgEg;zxI_nX_ZvR@@`qe_!w)t$@sXW3Yx5(Ct3ME`^_V4 zkO$$Or>f) zx6x=lzB1seM4byVKlH)20Y3hUIUxC}2eujM75*^I*`UC-L^B@?vp`M_2fottSCtHq zQ`rmKMq2r+%6Kc~2+pOKlfS~B0NLLYCB!j)I>ee|+}k5yA3y{->mnfa?I zH)MXGz!qL-&Jt^2VcTgNz9N>I_;I=#mm6;3V=+$1z$@dXr-`MG9n$yuVAE(TAFF4D zl+g~@^ARB%*df_whds@Fe5@hVu-m zUfA1|))0qd&782eg_n;RvG(nN0-ocvTyq7K_Ys9#r~6*5nN7@e^14}(R4Zo_cY1jB zteO+`vxy!%ub~w=wTd=zkYxv<~n)NuZj$tC)*-$`wn$viP>geIjkb9owP_e zb=xWx=d;AUdR~64B1H{v;$d7*ZEfzNk~i@PR!G&=a20EtHO7ijC96fUpiY3U<=SF{|XNiBhd6}_>4EOlAy#yK3 z)nnbq60cc#t+Ix!{M*Ybnf*B@$Nghv@jZMZ2YddutMh@qj~e{f zls`hx)@G)vxb#pCV<^pY*owR$u09!q@S;YHvoa@%eAM-rHfNL7S(oDYCW5o+TzjuR%6`qtW$!Fe7oDx zHq7*>o)-pdocIGt6+#AZRvlD;Pr*%QAFnRfkbUhEWW@8`7JtD^CWV(MYsfw}39?GH zNxK^{Q-Yn>Icvz?{yiM9_R4cQK01w=np=6Xw1#}fBH;}GJ?!}%%w#n4ifawo%Pc`w z`X~KhWoBxo=VjX(vZq0UtoWERc_cG6@$uSk4cS94L57`sFtiUdHFEL-at+yCCqY&? z++o<8%+%1%tI#!MH=hIc>&cRLjjP^ZiJx1gYy^Y5N^!!XJZJ-mf8_*W<>U9<#Fe zsY)?%Za*jQzsXfOR6|8Q~0v@;|nE#BB$1vo1XKs`0s5z$8>6?x#@Yt zt?{V2Y7O1$3|SO@pVZ8sH!t1QkC~qJ@cL~P89Bx#L54XK!bUMuUmGt1*Eq+zB*+RM zKlshgOua3gA{7!}^S1{9S23|L=afbNU9)Jvs4vl(=nO^bm;&TnjoD$9oODl)uGtFVMTY%rlOWle`%jsf=>-cf$k&h$ zbP^=YyK^^;nFe`zRlkP(!@oZRwq5tPvCG%YG{D8B0V-0|*PBM-dK7=|vKv=q`k$Rw z+N(Ick;8NUI{B>}kyGpMO`~wlhk1%iAGZuPaNL_#R{aTwn%lb0#&e@}46E}+j>R?i zXxq{-)u+8LVs{MqVgNHOv-8qw4H@r0;sMA8Jsz}sp0%vw=69Vmq|qhetp85d`RlA@ zEpH$)&VR%MIP2{_SYse-i2}L+&Snk?XWg2Orx&u8RdH{Wto;%H5f9+3v-I-92-dQ) zBM{ltCgH68)XbZ6SW6U82XHpAN{})AvK;GK%g~@LYHX1pYdxAUVjpYC^nsj>%o1eH z`C)~RG1IqL>>8mSUlwODNRZJZ7YyyrOpDFDXkOd%4fPUajs8vD_^oM?o>$&$$XJ~O zS>2v+w=pvTPIP>MpXsyBT+*N+Yr7@Ls$S)frYuF^nN^_&%Ud~LDeOz#&A*)*@$ck51?(V`&lN7F6(U4IV2{KF>^~pMB zn&{@z7Y(U5OOO?u6Waa5OcR`3KcgY5$>te)?CP0i%rxH4MK~HVQno)s&KLKt&rIX= zT*;#%n`}`eYiGwj*cs6Du8+%sRAl`YvON!dEe9?z(-?(oid1B?qizYOZeN)59y7h| zX;4&QIDXpCjFuP((OvlZ164Jr)#EXvkUy^;iaOdo7r;I)j<;J{Br5w6%YwlOStus&oQ>p($1_ z=TMPlwB-40$b#d{bkNK-Au6(rmOOFuU#-nd`}JH{q9P9#+aznRZciC^h?(#{7OGQJ zWEm}aTIrs^?_PKx3neZpvW%8I751+i$xPXHu9ML?7ds?tuQtWf*uhMDtz2xQAy;be z%)tE_$(FeBSHW&GSL~?B{Xe-RoK;g!=*BS9PKC>UG^EofK}H-Ow;#VJWxBa`NJW;> zk|!?3^(r%^JGlT!L%!~ja8?ejlyQZbcG$U!Nkfj6ZF~5Q*Vf^cZkv@$p)}-d**q(C zDyX=YnNodRPo*KBldZjC!!4crGgGp{MOhm16N_Y?VH-#6&t#^}Zm#T7k!7^xsh9UC zA~!j?JWNHF(URwtg-;D-rVVzkG1Jz*Rwr3|$il+V$K{$@@;5=wqWcqhJh zgqi+AVcT$rRH%V^0n_*~LFX1eU=>LwLgMoXTm`mgb> z%0(-eNU6w!l?;+C(rxRIki|?F%v@)sB8AD4=`OD4BZrz3k|onUFGB+*E%&ttcarHo zu4OVt7^5N3Jj(rpnm&g^@L7ypRGP4ShnxcE(@F3#endBsC;FKk%mSvX{93@$#2#Z5N^FV0~75e3(%KIY(y z6TDdCiDnkAZ$@?%U}{yQZ;C?`IRa;6eMnP|I=&wIls zv$)Uo|D6(_wg*vu;R>L<#h!Q_DL-LxUwF$w`Ia+?^2@*tUvC|WA1C1s7WZX2HXNV( z2T{&91kAEv{VaZTr?9yBwsOpJOzDW|fyuN~!}d^dQO-`D~uV;lDy zij>n>+&8XrP`+mk+VF230hCR1KAwV<(^=fNo^nvWZw{hd?hT;4IN;aoNcky?TVW^% z`1Nxq;B-(U-%ted&+F11Oj6=(GhXzhH4GhH_9&4W8u|wP=X0mt*=Dd69B9i`!}`2j%qOS#A%! zxV?F1DWByW7PrGz4$2wMpbgImJmNdsynGHR=d!pAM>!~G+Jh)}x&rp)(Ym9iBjuMY zZl|{#l(Vcsl)D3ucuv!mSxD(*aeH*-pqw2%%PeoeEN@s{{0%ve#bq1*J0%+X1Z{k7P$f_lP8WjiIiWnxFT;kD8IG^Q6BaLP}XbOnSb^yWN|;~%0am( zc$PnV11N_bzEKS+7qPgbhH_9YHU!P`xGsQldisQUNcjzmJ8t>!l(7qgPoh({0Lm5j z6KWylVitGGRSwGCj-Xkdb_7t)-rs2(QZ8X}r# zfU?beJO4Oa&f=~*%0c;k@GSofJmT&8-P?hbD_Gp0u5wWR5IoD9fk(VXO8g3>bg{Ub zo^nvG3!dd)u7C|+a^3SjQhvwc{_>WCa)UEyU*7WsP(D%pbAEAK$>RRjm4kAVJ&5vw zA%Jq;{entJxr)U-u>EIBUS=6cX#`6E<%qFQeSnm!nGqcSnUdFP22qCE0w^Cp`|(1g z{GJ&@UH_dD3qFG=D>?!wr;S^bj+ASdv7+a{Q=*rq_K*KMGgfv5P})~lYKfFTVA}iN zDY5i4h%!sP`FI%MlU`5JJ%ouAZ2jy;O5M`slBfj$9h{{Nr#EgwB<)GXfJjDYNmmZaedZuavp0a!nPt3%l&Q?v%1{o<1HrRwqYI$yv#?+t zQf^_!HkNWw76#AqF+%|5n%PPfq}oz@D7#$$`K=CS|Q~&X6)c72W7D@ zh_aI_fbwXEvHZN;&WxSB<)A#Q1W{T%0hDovBOFM%gBiQ%%0YP~c$QCj11PKIj88<$ zG-m8(CydSbkurxF?e20=-t=mmG|Ts#0kb@tGhsba<}%}ZN;xS1(ip`o z$GZb4w+*;C2PyNIalEe_ly`z>`Joa(dG(0xccjc`#)#ba0F3KGXzlXDd?&o<$h+I=Kk-L zs5uIvoM8!|yuGyP2BbW|j5CySP_p1zer^k(TsOYOb4YoR89(=xgA#v^ssHHk%nm%_ z)!X&0g_MQNI7eR&$}me1rPCZR%b$%oA0ws0j97;i^yKdU_3R9FSV5Fu1s?J3?PoVc z$|7d`%2^J|%E7Z-Xb+fWm6^}*eOb(m3*F_Qj0m3PVrKy5oQ?H5A>|>|uPgtVlFQ11 zHhif&fO6XHm%Ah7VP;(F`_GhIn-)ar(g#q^8FY9*QvSq@E^9d`qufE1tIPqE;dRG0 zK*}S`xXNA*N+Igvv~*ssu?A4~9ly(tls_}$8fQ5uYX;A9ojrhZ&4kf5y&0yZqDY8%mF%<73O< z9i(I|-N?m~{_1U#LzZsja%RS_ACRW9bR(CS&ZLhfVP@$@E(2ez--pzlr5m~Qnl*SB zNkmIGaykEGtbR(C8)tfCO zZDZ+1F0I>tx_|_dr5m}7J##gIRF~xj45q`;J7T zC5>FT5VE9^3ztBaG;-nM$I^{lT1+i^k92%7cxei5*MP){V;&C5>D{a-#m_+D1tumtn3;SX>$Ik~DH@bEjJpS6NCLx$GFXbugD|1~hU( z`5}}vazWu?KqD8F97-Cw)OFv*y5nf4q>;=2E?mG;wOVMk|DhKftf-#{*ahHMcfulS(Ab^UE$G5g#D zuEmoyav9QZUo|f1lQeSKVLx-8s{$pBTz;wj29{nL&5}kg1tY$j!}W=hMlNGq2b*(| zqok2b>g)qpv2Ri&ja<^q7e3{3OGzV_sH^X;=9*1OBbQ|-5?oyP8PLcD#diUXTu^ow z(8vV^cL9xDP-;hwT!Ndmyj8gZ9!v|`oS#%4YSvQowJ-2Y@9K~=Yguu28rHLR^Gcev zG`L_C;8>9v`!HrzLuJskx>7!z+bR(BZ_g|hsvaiyOT-xlpyp*(Kr5m{txH!7=tC7Y<3< zx;(eE3M%eCb4%K~Jh^RsbuM9&v~`&n`dCx0gOap$d3(WC{AfX6+w`|Auyv~cI+&8; z>UJ};Ay;Hc+PbjANAO&k@07H4F)rwA*t;^F9 zu_L(DP14pS>db;ETz?qQ)&&bHzk?$x?X;1%M+($)n!V$(sCdr8{596j|vyuzMVByC+%XKq3f;cq@kTbKOI z{;j!sP14roH|y)2xa2_6)@AHVkLtK?LDJS`_0E1Xx%ffS)}`7vzx>J-6q2?s*DGyT zxC}$m)+H(F$nRXsA!+L}V!v|_7lcUKx?EZ~(7{zD0c~ASDG|`t1vL@@ZCy|u0YBru z^XnpbTNiGmr(PadOP#G_ht@Z_7@K?Qp}g1O9||##eCHR`1~W9AMkj!l6|DZDFx};s z`o?qFuo%L7tg6Le%Sy`FXRa*f+G8zL zv{Dj1YZ>jklNXD^WAwhFX~dLFBgV5R`a~VB#}-3%OQuoRIlWT&yIj=GhaPemtXA8Y z$6D4$9W`Do-G4c?Y2c0-d@nYcwQPiY0xK}^pWnZVzhLNLC6dCwO5}EW)ExO&iJZqS za>Bn#tL7e@++QH`-5Szom2k4o<8I-NYtEVLRFP%)R}H@3qDUaK z%__1C|EjJd3wjFVUcHKp{DRo|dJb#rA^$3YJHr3qfn;U)SJip*&@O>Ir)bC+gSI^Z zu2f+)$G-ESKnmS3=d7idAS={%MPhY5pV1ks##s|z;ytN~=tNlJo)tQ$+vcqT={0M} z8a@d!bcl|k^PJzb(U8?-TcoQU+c86M z{%qHf_y*RtsKghl;?Sm7zZ1wi{&_}}Y*7g^?5ciJRe`+apJ$blEh<4)h`VZz7swlm zw)V=3WbL6d=WhE^Apdl0$Z(GY8Im+HPkp~#vuemnZV8fYxED4-a9%NM$ck185^fy6 zY7@vydJS1YwsxK3soGf}FZeX1-oPW=sd(##|Hbo*{HsLnZ?8Y+d4F9TA zH$Q1EkZskY3uX9MweW@gCXkQnHO_txZNCLLNLAh3f6HWnH2XAUU%Lbu@uO?lL4hWEA)m2GIKv0uZ(B(qjb;tm%Pc`w z`glRzdIH%@uOWLHB*=>OI^M~O?(=%hhBmVyLqD8MuBYP)R5hALAn|TZczpH z%qQwO)6lLVyZI!@(5v?PUkT0zRt@=-SAq=Lb2VqRK-M#BNURDdy>@2z#cUGDI(iM+ z#V$d@w%aURAZxk(NUH>?%bol6MS+ZVYRKn_o$tBFtZI(@s|4}%kZUIaJYV$Kz8%0$f)MBc|zRk z>Td{SSBHu$!Ybn*ZX1QBmN^Ez*(n-^4E!nkxgw9&f2M4Ub+4-vWZoK zjOmcM>&=IejV%&nt=YagJs(EmQ-1X<3Ycfj18+WNAtc_|qrZ5J3X!yA}Lv z704;#FCOeR-8xGkCn*{-$|6CAMI4;{h(JzsYe>CW zf~@da!SQH;oZ!@u)nxMwo!&H|mq3oUYsg61{tR*7i&-O(rbg7LYB ziY&vws^_+S>Nm+Tii#}5zsfY>o6p4B-*yV5@UIfN6WQ{s*s~P=m|@s~&ST97G7bm8fxk>QMK4pp#Go)6p&J_ubQqhWtf4mVwCn`DF};vNg%^R*0;y;SSvu_MHF7H>IgYsat3>i69MKd_pc#{Z^%t}9j* zS%!br-1)=b6>C3eR*_}+SN;9u+3o_lU#}v|@UL2R@u`~vneSGSW%yTZ_7=Y?khxA3 zS%!briAk-73uLxk<6P{JYGVmE5$|ltPZh{aw~8#ozpCZ(w)7>DKWWjBuX`k%m8*HWJt{bN*fr!> z*|vx8(*5+jKyI^Y$l0=aR*G>fR)0gJ`ZVNovb9(IVas^+XeKKf@)L_>o?(CI4QwIS zzS*rJ%kZyyarP`!Z0PWPw8^O=%kZyCT{^HpAUD`mZFrn}ieMFOKgZkgFAq^AEcOse9I2Ax0qaT*H6(E-u5rYStjv0)hO? zk8I)cbAsRLDy&-l{<#8q%dBy>E!p-GWQBC!$3+5pL$4ys@UN;r@uFBOK0MKoQ6*bc z!WoisD&Yyic~w!7W%yT__PpyA$jfdOS%!ZV%)7iX{Ik zvGiSSJ>*{{a`(I{?$FmB9^sC{r!@3e^{*1Se>@_`|E~*$O{*Lfg~O%{5k7^P zu<4kEq8hO2f|sIb*mT)NQBBzNr-7ncu<5pgqS~o8US7&2K++^9MJ7m^CZd**G(+&U zf}}Yj*BX+XBKIgHEils(Z6WDfJw=Z}(sv3)k3-U05j_D(o1K*F1WDUO)ESa~v{J4M zBxUI->IzA@c8Z>YqyjfZPeW3XlcFAwbW}vWAn8{h<$6QXIf3g7NmoSl93+Y3qw5bz z|Jn)nJZxrSbO3Cw;G^6?*c@)AXb^0U5Tiq2b1ggNUVzPYoD{tXo9nwMdI>f+6VWi( z+}uLB;jmed=w61+_%7oAY_Pe#m!el-b0-5uBVe<|M$xOV`6(AgufgW-UW#6a&Hbzt zjfBnpMf3)^uUaVg7PxOZC>o7VzZ8nzhU5r=dk2zhIw|)qB*(ca8VkwI9Tbg&1r0VF?ZrDy^qcQsS=AtXPoP&5&ed$}q42$K5>+$2bT&Q7_HA$g#l zqREgv#7EI5ko=O9qA8I4hJ&K1ko>lRqG^y!UkY@(>5x3mMY&HQd4hwY8IU~5M$u=G z?66QY6OyNSDf%3eKl4yD3zEOEQS=2Qf9arTHY9&#plA*x(^nLoZZ0G*aZ&C|NM7!s z$O*|SZ4}LeO3m`e!M$y-hywyR`LP$;A$v@jDS^>$&9Td4B`Bwu)-$C+e zH$^KU`K*PaRgip6p=dQE|KXzOdq}=)rsxMq{!{GxwUAO#JMM;p- z#6?juq_m_!w*^umJmZJLuQd7j} zMMzc8p-YgOMhob!K&nTf@J~qHFL2i(wa`Ji+mL!pPtjkHdQyzufz;D>%H4(3->nqg zgVa9+?qAp;zLx^Pj(s*h3JiAq=AbA9cAV#d4nkqaAH)Y0A&tJK>Yx&&RrJzmIHXk} zKBx?7ksiubfwbyoiXtGbrkA3skXA?FA|b85hjP^*t)XDXy4ofJ7X@i?0#_Z`2qGfABT3dmu1!?pHSO+nX*2hevwIS_UFGY1A?RkN#3u%LSN(c2I?L~pB z4{5`^lxqNKuLxW$q`l^$Tti43C2)-)ZLFPgO(AW9z&!$KQ+P@T%^+=>lcG3Co1st? z4{39Fpo8X+MuR$N0cnfGXab~t>!r~|Nc%xVCP>@tp*4ruC1R0wQ6k$Wn zJUvC*Aw#@tAq_H)cqo?v8K+zn{RkQ7EEMg6jH?EUc0vL ze3Z+9%rJ$bT*wR;xID;=uuv`^GOLLt3LrB|EU^zVqa8H5A2MTX6di!fI%4!7WHt~> z6hdZ07mX^A+1N}`5oA6hMvEacPK+Lc%oYY3Jq($NZi;?_OtXceBaqozq3CDGY-^+F zC}g%5e7`_u2M^_rLFSWU^f+X8u~6;=WOnmVbP_Uq2ZRy9WX^O@bOSQKFi><8GUtf(-h#{pB6k}y7ujg^FUVZt zr05Q0E^|?I7cyO92KOLym6dXTL*^PYMfV}Awwp2hQ!XRsoi=s-9wM679L)Iz_<*Go|4_1mIAZwGGqNY*qSvc%<#MM2gc zk*f|_*#cJsvcxrqMMKtpJ1tQYvI+&R7Gxb#C>H}+M@3W{vQC*PR|m2#h+JLBy3A8( zl?z!nMbrSY?l~wI3t8fq4R-F$W_HS9v&9M?iW)(7xQn93kR9Qms0n0OH&E0RvSY;B znn8A~jdDiFZl<&(f%#hthM6DpZn}c$#A-ji*?NjPL$;F#I)-f}zw%Mk z1+o{pD0&LAzZ1A_kiFVUxu+p}t(l_kkiEf9Q4h%8EJk}mc8Wr|UXZ=bP0=%uo#vyc zH)Q`PM*BeaZawAtLUy*9qJEH_XQ${{$lh_cL70A!yqP;L-ppRrLi z7_xsiQ#1s!FX$0qbmEy(F4Sl)pg@hQZ{Ku&KLjlK&x&+|aX-h-SMMKlg_h8ZaLKIGV3 z6pe?RSG^Q{068N)6itAfH+i6A6Cvkav9?K&Gr>VQ2jqxveQXNkd@e?(Le6YAjZTLg z@s4LRAm>{%%bAt%X2(HzLxu2A$P^M2-sJUqu6M45#${AQnVOy z&I-OIkfZM1Zz1QBk4Be5&NacZ403LW+;YgdEtXgTId}Dhb3xAEV)Q%6d7w~kCFE8R zqpKjdl7VvHLvA$@t%2O?Hp=|~x#AlhTMM~$#OON6tuIE`L$3JLU>hK}v6q(E2)WI~ z=qAXGcTp}0aud81ZH8R4fg(5LK5C;V1#&yMC`yIgPF{+(LT)z^ZG+sNHp=aQ+-F6U z2D$w$luL))fd+~)Aa{t1q8}l5gxJcRko&rua=RdRl#`;}kUPdl(H_VhD{y-u_kDrO zhTM;=G@1jslg$+6LhckjMR|}r%|}r_H>?q`>d1>@GLZ3{&akT=aiQFX{$Y^SIOh19|J5l&c4MJ3JKC zhrA3IMGYWtr-Pzc$lEP)4IwXEF3sWuwRj`RmLSy$bm$dWuFueul`s0r^=XHyZK}h};;+ zKVl`^SjboR)%%ctLF6Vt{-0hN{Rr~^wox=03PMG03KT>dC^r=fqC_+s3fc?iZ=m1> zH;pcZf>8=Z%b?(GJw?l*z%E8tK*2Z%rPPTrw0k=YzVC6ew)zp(qs!AGJ`l1qyrEDB22zeGL?CgF>swZHK}E7Rv2_ z!WTu928F{MluL)gS8NnzK;i!k6lFr;nytKXlI2S zfWkvA${mElBMyoRq3{#C|#`-T?FN6g`!KK^b)hW3`!p{ zdIgkcoiutCl>P#D4U|D*)CbB7V)Rc?UJ|3%L9yv+i5sB2DsVSJ87W3@f%2A!Zi6yL z;O>Aj$xKV!1?3YrMfX6N>Z9myP-ckH`=ESor`$iFi0>OA4?uB>(SJetibg|qpe%HA zTquCD*hdiqWf|c@LqKtf(NIuUiO~w6{2)fdKv{36B`SilN#H7hk}O8ULD?ckD}%CK zj8*|9-A7AAfU;BIs)Djtp<^Q4}aYdnl?7%5e)tH9$G#peP!Y(;kXy zg7P~LbfL9CxnQ9v29!%4ifV&$jR(5WI-p#)P*fL`TMmlqfpW(~QGHPETPSJ(%D)bZ zVxfq6C~62rVLZ@<8lWiLLQx|qittd>7{p1e3vB{LHNSmz`8@TuI zP}B;Fdh&)CP(McqnQMMMEqUwS%Ie4vHRwqTwEj+C$L@9_T_J zhoaXl6m@{2Q67q(fTGbn(1mt{qA?bVIziDm7e!A((Fb0NEKu~RlcFwAG*h9dD-_Mv zQ}h%ReQBkr8x+kKqfbN8LNVGMiWZB}9#FK@Nw}U+v_jx|LD4EP`V17U5u?4KXq_1C z14Wzkv_xMhN_9}w4~n+)Ko|Nf6s21zvO>|14vLfg*9ACiDd;I_;(0i%|5t7##{l7i^S!35qVcC>jPu*9;U5 zhob8?ie84ITP})hP;|#j(P$`cB(~^nD1Jn2(K}GwLX3`q;+6)&*`c_tkD_;>_;EW$ zW1-k$q3As*e#$}7I4JHeSl)-?7hIGZ55+b&MIS)%tAb?$6u;@A+=o#7j^LXJ#qaWz zF7zWP9_OHFG89kpQsjUmXT-8o;K(_##8fzPnGfnhr@@hHp8sp<&f}A=|2Tm2dhc-F z?9f4NNM@&%q-D3FWv3-}|2oO;n=-R93(K-Hi!$xfA}zDDM9tD^h32u%N~~-^jbWvg z8}J272ix)ouebZ_`FOqFpATQ#;0yE}qo$(?KI$1X4Ruq`qUmG@H3Lnj+NtNzbcUIl zg{I3@J~X}TVfHGT-ttki(ezFMrsCJo^j?UXgQoZ6)Lb+z))lX#X^EHF8)#Z)rrt!; z3ODr@npPRq+h|%-fT?&Mn%4QK`DpsuPrZYtZ*;$R(X`pi%#Wr<-S0g#eXF}HMAHt< z-bYiDuHAz0Z7!~8K=@v5w<7$I>N|uV3$fjX@H8K_9pUFxKO%hap0Wesxh}R%2+ucD z5rh|ds9zBNShHq?1DdrUyv45a(ks=kQauPR4m zGHe+RO^@INL^7HUL1e#^ZT{Ckb1v#cME-M7LlK3x!w@a8 zGdl^I_XCpewV0I3o z=Y*(p5xpQlormbfdK>2>dTAjtm0W=6ZFcHHMDNhKix9on$80R39)r3V(TSQ}g6Jb= zX5$cjOxy8@dbPb2(Pvz2FGKWsKXo~xvvlqXL|-*CyAshks;dxvLswjl=-aCQA^J{` zbJrlcumDrZwTLeAQ`aH-ae%rW(N9%3AiA;;nM!U%^m9MuMs!V_x(U%Qozw(Gzt-$# zL^pVu-Gb;QA9X9D^$zMbM7Nr$+Y$ZVPThfMC`jFj=#OsdE<}I!P*(5~&bx{u^x?kre zBbwE@M-c7M>{0xpkIyBKp?SK8E2g0Nxghlfn&-!IcR1!1I-(Q)bnWmK2FU+@R(oc9f5L2eIRH z#k+_(%*_0Vo#dk4L+muw0>sYrGh2w5Gf2IU*q8!LB_AMmzRoQ|Y^;yjhlq`fQ;QM1 z+)aIi*i`{)31T+{silbB=b=79?7;#|C7&YpkU=d+>;VTC9c0R1!r?jf46HEd#vNuV@+Qp_be--i4OYW@fw5a_}cCjh1^g z%b?`}2iv`9nG&M@LCZ7`^)FhURqaE|^M%M%vL7uoeN;PIUUE}ewD=4vhnCr1ssk-^ z%~U5^-tbcgu&2gZP${tIIPP3pggswyztU3d*~(o?yJJrzz_}jSqmOWu4Nm0HnyqGpGn^<*>KL(w`yWkc)5 zssU&n7i4Qk>*cD$(0a9MAX=|?vppQG6MWPWXuZox9gWt9RmY(95ihf2(Knm7a`NziK#I7upLf zJq4{FYIZ7GKMFEC4XsOc?dfP;=4W;WT32|eGtv6Fwj-VzlmaFms{JWTq}dTMs{VCEEJgssEvEfbM)9+6HTT zBie?0*t*enx@rR2Mg^GNing(F>JGHMrrDindn3f`F0{?l>~6IA{mkw`+xuSXUbHRN z_CB;N^)b62Z2@gPX#32~>;bf`c2N(aZJmdjh_;}%520a^lK#2c4k2&{xPWaNVKaqAkkHb zOr_r-3Drg?b5gx~LsUjxNAd+JxkJJ}Qjlg}NewK7z0)3zDOYwgThkeuMAenavu)$d5&V=(&z$@{(3E+ijxQM-|xH-`J|g_Me->- z)rRC8CzU|*4b4(WzVBgXAi1~zQ)wE>C2lH%pWE5~i{u(FwGYWJ z&D4G*gSuZklKMVPX%@*XezrLzw>qc}B)|7jok;#*r@D~b;idjVGUB2RAo**cpl&84 z<4y`l{_dv)$v?SYw<09>gqRg0nRHVnNT!2SDU$zkzi!=-Y}ftDknHrb?T%EDnd*sD znUCs?R9^?x2dPRIWk%|7&B~EF%Fe6;slnP-A~nR!tO}`N1(>?^L+TV=(I2TZ?98f> z8mY1%b+)dsB6V(v?IB2A7^DtG>Jl$igVdz~surm$J(LZpYuwZTq;9ZNcBCfgeup7- zn`$6ZcNI!gx5JUTH%JXa>H*#F2&5+Ien%qpsGsdoNKJK9Mnwr3(0b+A1Psb+0QAhpxXY$Q^@$0;XL zyR{vK)ZcDqqmgPgsB@4q;?x+V^chdLbCKHTW_BJ@SwD3?Qk`+?0&r#i-*F)fJ%4n& z2u5j;?Ike!#;I{IDtwd+Mn4BN9!9mcm%=zibr}qslkMd&>|W{$7z5+fl`xL*P*=e? zx&TwRt6>})qW%Zt_yBb+jG=MrIv6Jhsq0}}V5e?`afyR+!x*o+3C88xPJnSkknPPd zZqog3fpM#u*{v|{&=q&Un5eo7#v{7+Q5Y*Vn*w8Xh$|k4u{KUkh4Ga^Jpp4w0j6$G z!q{Y{yfEtB)HE1dJ=9Y$zV}j3!`SYlro;HjPdx*pN%wmehCW;BHUmbpwliVuQN08s zqibJ*kqdC`t1u4Oso6+Nka`X2(hxNV=^m=NNcT~_j&y~teFN$Ky5dcw5A|}zTSyPE zQ*R?ZFi6cq`bgD$q)*qi?;t(W%=TTR&vsCLq|b9v?;(AWhgyL2I3KkT>B|i2eWb7Q zQy(CGt*%&v^o_dWL!@uEvt5kz?Oy65r0@1qA0vH#kXnND#5lDS=|>7Mb^8SA$KBMY zNPErHGNhmOQ30f%^;64{e%?u~K>9^HwG!!<9n@z?zv`q`Aw9=MeU9`SZt4r9=M`Y; zwi@Yo{8Sy%A2_MCNH5kE>yTbjh)mtSMtW6{3L<^*r)fRX>k5&n+eW0fs5T+pXlAw< z>F=CW1JYqtBhtU<&Rda=dD(uCv_4Plwhifn_v8>V`uw@ukI3|KbM7Z(%01K$WUBmB z6EcSesW37&2Ngl)Femj3G6(OMzaleOkE$7&n%1A#OjV;Z6`8MY1W0zvu4iyhs<+c>Hsn`nU$H4c}WFid~C}E znc1$wTv-t^b5+I2yb)wpg3Q~Rl_K+wsv9!z6-rZC88QofRCi<+*{NR0EH_iVk@+k@ z^+DzfZ7Y$97|g1WY1XyFsnhPJx(2ly**vjK^2djJ=2Je#c?&5q@UJ zWA9Nu>IAf(;-H42-Q}iELi=rI>SVOv6Q_ow-Q%WCMZ10n%T7c4<1S{Wqus0foq_hb z0cK~S{Y~BFEVR$pxe;hz;AiVZ`(g(*3hhf(qtU)H!0c?af9|C&MEhOo zWKVLjy#?7*4C+>7&j?YsA?qx_RCYVEXKQ;0vU+bUyA#=q{A}++cAV~VH?o)N+Ix__ zGQjp;WUp~h_aS?|ow^@cw}{OkbgzR%>u6P*P7gdvy zec5342(q(Pk0Seeh}mPv&eORm$iA!X~ z_j?N2Iv4dcvR~@lbY$0?nLUH-#sKv!vRi!A3}p3nK-qK1ey?-SBl}~aG?mRnw%JX+ zi0n?)EM#{%nZ1PU-*)O{WD^CL%6!Nge(Duu|M60L9akk<(`YW#1w9Rh-%P$gS6Q8*&>p3n8~f zv+c+=I!*bnvLBH9PLJIv3ihbJ6*MpSlR0`uNg)EIPl?{VqnQKE8B!p>u=IjYsDu z7gt=4&hLEG73kcq*_G(j?`8LE(fON`?RDtfU4W_k_2~S|OS#dRHd8mDb6i#0S zCI+cl=z7>o`Ox)*&b@-JX-;OZq3ih|H3wa@ywqHD`3f*~e;ZxzIH-B(TEVTm&qvp1 z1~WgpzI0OWq3dhS7NBc`o7qBiZPfOCbZxdX`v6__1?2ypH@X__)Q9N$wt&K4&&HFw z%TmGHc4nUn78X$Wv&i_+O$7u?UDR^H+Bo%@V5^&2mA^<%cllfpaWGpg_|r$#3DVlG z6LhM+6oJltB~oJMTu`K^lUgs*J3ws`IYPBrR{F4VEJPiRf{>6m4}e zJ3)%JIjA90^h1bpNYT#*b)pnSH5)2LF%Pq0QuLdPI!TIl`>2zpXpgqTr6{TGsZzAp z!S)O(?h&HSl;YlT>MSWXYc@iPE6vPCN^!NFa!TH;Yq9%puu6rZUYE5%Mbvx}wpY!7vb6rWds zsfSC7FLqMnrPyVsE|ua-^;j;G;wys8u9V{I^r)_q;v03BtEKp6&Hg9FxBEDEjTGPQ zrmmIZ`&HLT@kG`2Qastq_68}QqAPBcVy~H*TZ*3!P&Z5QEEjc)6u)ApZk6KMLFz6k zUf`kbmf}TD>K-Zn$WA>V#b1P|2c>wepPDGeUpc8qr1)EddQ^%-LFzFn{?ScMmEvFR z)Du!1^HWbs@lGe@mEzys)HErXpn6J5ZYxBl9?we2B;9$2lsxKS_Pmr#jZ-tFWV)Yv zQA(b7QnRGwWf%35l*|rLFH6Z>ALWyhw^Xl4$$V|!my%t6wjW5z9@Qc#NqU%lC?y#) zwOC62HK-+0(&eH)mGX6QYMGR8(l#LFJ3P#mOL;=G6;i&>&1|KV=j_yHQhq?SN-Ffc z)#G!iDAg5TNJUTGWwlfcaB;;NsTinPom3p*Wwt>o&hb&-NX0k@wNWarG^kBdahZRhYINJuPxYtj8D-~09?RQe~w3*rWQt@1z+9nk*X%>=-*&b%wrQ%Ip@q<*% z*Y-!LSm0y(lT<9$xgApRiMBsW#Y!*RuvDxIP!XxvpmR~F*cfN_i&WIBewB*vg3MZ^ z@(eHayHt*~Q-4V1I5)LhDzDJ}{*=nA4Q78!<&9=)k5o=@Q3si7aoJuOl-%ugL6Rj27(jZ`_E%xqG1Ziuo=)x{2Kpj2HJqz;#=s|qmn zJW{G|uv14#l{-isBUQJ0D2G%{4^Ssb)f;hYoK)>s{ZIN0)SYjZeit~|-Yfk)UTU)R zdp$@^lYU=?sF~7lSDcz9{SqGPW$Cw9^``W%aWQ*W`s=x|r(gOHcQSiV`k&^dmPr2z zK5C`(e^RqArT-E?v(3_ft7akT|Bvqci&WQy*fvYGo{4+Lr241;vlgiy?4a_02I&MB zwNt7c+Wsch!+gyCkm?a0YL`@x3R1hJdQ1VPo_|X9`A+IDslLcd{Vmm(1gSkzJwdZp zslHXSHmSa|P?~xsr1~Bwm6YoHy;Mr7Cl+ApX-M_MPAVLt~`#;N{dDe_X)V(Dh5EMn;%r>tV>6`~FiOWz=Ms8}laFYi?&mVR+&wPL9$ zz|_ko7Mnp05X)h4$}W~cy31i=Il2&;dJPoIu{w9SSdNb~8zdHo&K)6^VL@g`ieSQh*-w^xxyiq z%XRKVv0SBdL&b89&J7dG^*VQwSlm9YI9V(=>)dd$+@^D$wEHP7K#qvpz zx>zj1ICY6wHWpy&HBKy>0#y(>oG3s0kID8QxA%DsE?W`)|0)| zLt;HuS40*6c+h@e;)%IDjKCSHx zu|A{ib7Fli&K1v#b!LcqL98$9+)S~)qH`~b^);QFCDzwWFlCf3!O%@OO`0Nc4@{n}2wF4hfh>J71Oa#3%JwZTliCDw0!)Z1bW zX*N%+KWa8#tWBD|BUXL2)9YQa##~(C7wgUt^`2OFX|_PDe`&T*tZhEF?~BziQy+-+ z;Lq+NvF_LGL$T&`?jt$Gq}j(}KKNgEiPYTT;o7BA^LPQKUY|(KlOgI;sd+j;Et8rV zaVj7+v+UF=srfKYeJ(X0`>EAZv&>Gdk(%YYcCFOZd6}(~ny(5l_4-n3)|;uXq-LX! z`dVtX#HpavY;{xXrRIANwLxmO8`L*av!ei0uZ>a@4pN(>=9dt)S!!Bb)E24v%}v!y z&8|4rAT@tGs79%23s767CS|6+m72Y(ZBnbxjCzHncA%H-cBwr=+aIL%C_l5Gq*mYS z>-DqL4s|nYlG>9DDlE091gWUho>hRU*RN7L#zi$t?ZLB4Txu`ZY^T&-rMK~$)L!G` z-0xC*gNOP(7F=BHYvcB*Cxsh#d(mXz8VW-2AM zFKA{+?Mn`3X{miBKxL$Mj*r?awQq!|f24Mvw*N})y9Tp;Qv1Gx+Ap;qdZ>1(U82fL z?WX}|IjLQt>X6#c9n3nVc8$(;N$omq|C8FU4YmiQ_8ZRiHc9Pfw!I;>damd#Qv02@ zMN%8`6jt;uk=lrpDwWz_y;L`;Z3$9kQu})WrrzD9cDIx2A+>)8sh(1sD8SUam(&_g zs<+hc^-_JMw$nwKrS^c2Di@oCs7kSQFTm8hpV<29Tz|1uc$ig-tzUq$h|OY9R|)bL^xlVyZA6gSAhC^#Q%8txjGa1C zZ0EbFW5hOIv%zA!G0tqb*k15br-*Hqi#k zS>&Wdi>V^=LfbPDRkr zJxE2-5b;sJpkb=c{fdSynl+fBB={HNJ(X!zC5_IEVQ za8iGup;5D4XjrY|wxy{7zEpCYW?8lZ}?_6LJ1!P+Q~rB5l={$^&@4WDdu zQf2t$O)r)IblKUuq6b#5Q}x8^FWhWWkH%_?el}INnQ@ zW9_m4Re{Ef-Bdp`4pUX5VUo&%h6m$pt!VIQb_nXvG&4ICTORRIwb&dlz|>~|HaDs4 zSeb?k2w_xq%1(^Cg zk5BJ(Q!k*k(?`ujYeusd(N^wfHVbWs#;KRkc2tOZ8Er%Tln-sCn!SRy^Yu1fMcY|% zwzJW?$xOY5)}OVVgVvpXW^-ZeR=ti^J#Y1S1AD%-vwahLR>rBfV8p#t{M9F{T8XCS0QDJ~{)tno(4?=F`h1RXk)PQY2=~x-HNyQ|%+?@W z6Qb%69%QE0B0ShftwVUIwqGKAy0%{-JWAWI5k6nrAj0~Zq|bVUuhMn{!Z&F94WiZ{ z_uGi*VFj4_Y(n&C)n-Ho2bncViJm|Dgr($wu8l~Eo-6t^OUX2yi=ox(=L$oLBW5ap zmDEzr@>fYM4lv7KCH19d`KzSXXqLZ9YDbW5{wgVbM%yQUmDFy{@>fayrnj5FN-F8* zT>dJlJw7UbmDItb%3mebp>ti>+fDT!_7(*=cL2uI{(}0NVBGDb0AmJs=_@ewF|ltE zjG11x#V}s>P$e)Hx~Wna_iNS-#)rDL48{^K+wL%4*Q^JOWjfar#@pJ~i2P9)Gxe=S zYrAIo-}y=EiUDZr9b#)oo5f5WhPFWknEDPx+X*^%INFLd8-%uV;%twQ;%hwAky3oM zgE~rzFAP#gBlozAI#!BHbuRyZoC1Z&)OVOjhn+eJdx8cvTx4>bI#uLa2X(rL{xtPH zL&UDxnb@-}#P%$aYF#lxq??b~NF?sn%qjRPz-&2k`UpCNa% zY87&`bj9cB5HH*O6&e?+RwLEJV73OWx5lab)flJvsrASnp=&pw_2nS5jmUO)P@B-I zkKTPZqxD7IWeeK>)fEkB|HH|-ok)1J{R2iq+rMB;Qnev7-w(LePUU|q;7ZN9 z(DtJ0KeT@7DzxVO@51jlQ$I_|5+~IJW2%P=qq*KsbwV?BQ2Ng$bikaGp^d;iOXNoam+u zbPV!RX>{}{z+}!}1@fMk+KbM!3ox1gL1!NqmA^7%zaGmzbnG-U+mFsy3ox1USBo_I zs4O}@(>8}(N1Ry)IxqH6o#=R4vo3VpYiE|fs^m&PbpV}D1PUrQp<_s#%3o<>byI?l z`MiztB6M20UwJV)OLeXU9fr=8q9dYNH*~%bH08g_%h2(So9d2^rE#hUa{KkxdZKfX zomnq*Jgz(EuUxs+VAcm6<237w&dI9$)htH`*p{QCT<0p#Rp(?@iOyO-RfWz9&HACE zBgia&)ypo;s?j+|*ILl=y_aqNN|@CJn92`9$EyzNP;{Q^qViYA%q+lEUW<+gG_#@O z8XvR#6*EuyDLXobg{Z^OQLA$U1@k@34oByk1~mws^L$kP%9_P)>PU1haZpELOC~_& zKab25qK?6q;c@C%Y#C*z24hP|+v8CGi=Wx?s6Rw^IRRTX_?QjBmVF+|f%;-yaU$w} z3NRarEiV;dDj$Y=kC!?L^|z`{#-`yxX2a2_kILowPs%)|5ShwPMg6mW>NM2fP=KlY zbTsPYWce9rv^tobiTXR8RQ@wF&k9l_(0G)O%711i$4uq<&&(WXW;P1-H|gAHG@cP) zb~YOOxv6u|=r*V^sDDLuF1B17XLcUyrv$0P7ew*09puEmxvGu!L1<@GpqJ?gJ2H_CL&X+a}OcY!_91x*yh`*hmkz^@0|?8(CiVZy4l6{QK=jeq#l#XOa0Uosk}~a z<8i6H&CG17RNfJwo{-8Z2KA&=KI5dkQu&;VnkJQ_Lex`I)i+K(Emep6sOeI5Optm; zsvLIeS*bdB51k=ZXE~TXCsk($spqBY0)u)%s$3pwrc_;5fT{dNti0S#&B6+Eka`I# z4vkYUW5obJ<->}jywodLG1y7HiWNiS)NHIccm%Iu#RxC6Iao2;NzKKI3+>eFSaETj zdIKx2@KSGL#s8etTUc?Eoq8K9Zi!R*PuG4XM9s(Am;BT_Si8bby^Fd&amtUC!_Cxt zQuDH#S|GM|AGJ_y9e(P4vHju#;LO1B!L+hce*c*=4Z|=x(t6NCxx@QXh+L zpNm?8m9s+BQmmO&fT{cwtZZ;lpJL^SI=2ifM+BG!u<~?mmrGUeAhQ)%dApZdiIrFS zs4t}d*aA%DtI;~qL#>hO-*uNdsb1q}wpOa=8q_+eKFvjaDb-az>MQBLJxF~m{h#qt zLFqrzPpy}JS=9#Vx5v!v8|k+(Ky8$M^R?Y1{T_BR+bsRY*{Lnk?`U07FI8QBW(`vH zbDV0Fs?Q5Bm2Z`*yY*PUMdDExv+s}?scXNNDywGOq;h_UZAdDIyQ%F`d9G$Zi0zsn zvmarsGN_-h`D+ig1ABHBU@HGvY0NgH--~@3CeoBDNzPRQ?_CA9d~*soke@ z`S-%_h_lVV7w#;;R34L}dpuMNKDGL&xYVq2P&=`y-Anx@CGDF1jy|Dkz~hwT9*KK2zNQjTpq-CSFNweJV1N~|5{psG;!jjrg2 z758|V^~Z9zhsu9`tlvdhu>F9avSRyB+8%=KPsEuWitR`GsQf1jeix$hpDZ}ZOW6<- zZ3m!fj+2>PDz+D3syGbWzIRdi&zJhsLme*16g#Q>d+@gzRQ^49mZpmQd+-y3%#IS< zWG{8J*rurR@5?{wWOl6Bo-V*tk$;E&*&ubC*ly9=I9_a*gqWQm)p0vDM5_NTz*OOo z>b4+tqEs7RYN%BIqZ%gF?M`MVNp)udrizoL`aqBxF4e14r%1J)#Vbyg>J0(5r%Cl@ zJ%ZDvy3xVx45|KJb*5BrH<+Cz)jI;z2&s;EsF70bEx=UalS9jHg*tr{s2GpBwnAj8xD<7J?Nt6RW8?}jRa}m>uv1rH zZCL@PiYu{J&w~|LVQqiSuEyG$INSeWtv-LQxCU#F)$CfVJu%2O|1Kx=sIEuo5Ixcx z5N-~!y-{p?y_8!99O|KNk^$9jYJv=?^iemKS}?vv_|oXqZ*>ZNYVBh|~i z)B{qz!cRRY)wAQ&M5&&k$M+CcZt^mlgmpLisfSUw)l5ys+Ee4yBd9ylOFfFZ<1~8= zbwhQRDX2SD+s9EiLNyh2V}hpqSH%;k8*8VYMBO+iRHrHu~Rcpckmwi9O_>1GkYF&uX?E$Q1@1lnu)si4C+PHedMNQ zp)R0D{}R?7yl=gXaN5q+hseR>dj(BtKePNNDYnO{*$A8L)N2TL^HXyWKKN5N7hye1 zR=kd|J;e46g!P$1#hVBZ(c66s;o;i8jqnH;=jI_iM%(!akJa`agfG|jU4*aI){pSb zX0CV-;X8G10m2?_7a}}a^*+K+xH$I#!q2D{A^f75*@p{HE$7g#8X?A0xa- zvn2?BqS;b}SNhm~f^egU`V`@i&MiZ@NwWZAKiSzXN2I4gtw5y0MXf}n#zB3C$f<5> z6(S=GFjahx$QU>E1tJ#(snuxO8KUy