From 5679fe5f19032bf77cf97b741dbe09b106f999f6 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Thu, 7 Jan 2016 16:52:46 +0100 Subject: [PATCH] adding logicbox files --- .gitignore | 35 ++++++++ .kateproject | 4 + default/compile.pl | 1 + default/config_compile_frankfurt.pl | 25 ++++++ default/diamond/LogicBox.ldf | 14 +++ default/logicbox.prj | 77 +++++++++++++++++ default/logicbox.vhd | 129 ++++++++++++++++++++++++++++ default/par.p2t | 21 +++++ pinout/logicbox.lpf | 50 +++++++++++ 9 files changed, 356 insertions(+) create mode 100644 .gitignore create mode 100644 .kateproject create mode 120000 default/compile.pl create mode 100644 default/config_compile_frankfurt.pl create mode 100644 default/diamond/LogicBox.ldf create mode 100644 default/logicbox.prj create mode 100644 default/logicbox.vhd create mode 100644 default/par.p2t create mode 100644 pinout/logicbox.lpf diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..b23dea2 --- /dev/null +++ b/.gitignore @@ -0,0 +1,35 @@ +*~ +*.tcl +*.log +*.rpt +netlists +version.vhd +*.jhd +*.naf +*.sort +*.srp +*.sym +*tmpl.vhd +*.log +workdir +workdir_* +*.bit +*.kate-swp* +*.kate-swap* +.run_manager.ini +reportview.xml +.kateproject.d +*/project/ +*/project2/ +modelsim.ini +*.mti +*.bak +work +*.wlf +*stacktrace.txt +*edn +licbug.txt +old +config_compile.pl +._Real_._Math_.vhd +diamond diff --git a/.kateproject b/.kateproject new file mode 100644 index 0000000..cf53349 --- /dev/null +++ b/.kateproject @@ -0,0 +1,4 @@ +{ + "name": "LogicBox" +, "files": [ { "git": 1 } ] +} diff --git a/default/compile.pl b/default/compile.pl new file mode 120000 index 0000000..8a19aa6 --- /dev/null +++ b/default/compile.pl @@ -0,0 +1 @@ +../../trb3sc/scripts/compile.pl \ No newline at end of file diff --git a/default/config_compile_frankfurt.pl b/default/config_compile_frankfurt.pl new file mode 100644 index 0000000..5ad340b --- /dev/null +++ b/default/config_compile_frankfurt.pl @@ -0,0 +1,25 @@ +Familyname => 'MachXO3LF', +Devicename => 'LCMXO3LF-2100E', +Package => 'WLCSP49', +Speedgrade => '5', + +TOPNAME => "logicbox", +lm_license_file_for_synplify => "1702\@hadeb05.gsi.de", #"27000\@lxcad01.gsi.de"; +lm_license_file_for_par => "1702\@hadeb05.gsi.de", +lattice_path => '/d/jspc29/lattice/diamond/3.6_x64', +synplify_path => '/d/jspc29/lattice/synplify/K-2015.09/', +synplify_command => "/d/jspc29/lattice/diamond/3.6_x64/bin/lin64/synpwrap -fg -options", +# synplify_command => "/d/jspc29/lattice/synplify/J-2014.09-SP2/bin/synplify_premier_dp", +# synplify_command => "ssh -p 59222 jmichel\@cerberus \"cd /home/jmichel/git/trb3sc/template/workdir; LM_LICENSE_FILE=27000\@lxcad01.gsi.de /opt/synplicity/K-2015.09/bin/synplify_premier_dp -batch ../trb3sc_basic.prj\" #", +nodelist_file => 'nodelist_frankfurt.txt', + + +#Include only necessary lpf files +#pinout_file => '', #name of pin-out file, if not equal TOPNAME +include_TDC => 0, +include_GBE => 0, + +#Report settings +firefox_open => 0, +twr_number_of_errors => 20, +no_ltxt2ptxt => 1, #if there is no serdes being used diff --git a/default/diamond/LogicBox.ldf b/default/diamond/LogicBox.ldf new file mode 100644 index 0000000..57ecf0b --- /dev/null +++ b/default/diamond/LogicBox.ldf @@ -0,0 +1,14 @@ + + + + + + + + + + + + + + diff --git a/default/logicbox.prj b/default/logicbox.prj new file mode 100644 index 0000000..39502e3 --- /dev/null +++ b/default/logicbox.prj @@ -0,0 +1,77 @@ +#-- Synopsys, Inc. +#-- Version J-2015.03L-SP1 +#-- Project file /d/jspc22/trb/git/LogicBox/diamond/LogicBox/run_options.txt + +#project files + +add_file -vhdl -lib work "/d/jspc29/lattice/diamond/3.6_x64/cae_library/synthesis/vhdl/machxo3lf.vhd" + +#add_file -vhdl -lib work "../../trbnet/lattice/machxo3/fifo_9x2k_oreg.vhd" +add_file -vhdl -lib work "../../padiwa/source/uart_sctrl.vhd" +add_file -vhdl -lib work "../../trbnet/special/uart_rec.vhd" +add_file -vhdl -lib work "../../trbnet/special/uart_trans.vhd" +add_file -vhdl -lib work "logicbox.vhd" + + + +#implementation: "LogicBox" +impl -add workdir -type fpga + +# +#implementation attributes + +set_option -vlog_std v2001 +set_option -project_relative_includes 1 + +#par_1 attributes +set_option -job par_1 -add par + +#device options +set_option -technology MACHXO3LF +set_option -part LCMXO3LF_2100E +set_option -package UWG49CTR +set_option -speed_grade -5 +set_option -part_companion "" + +#compilation/mapping options +set_option -top_module "logicbox" + +# mapper_options +set_option -frequency 1 +set_option -write_verilog 0 +set_option -write_vhdl 0 +set_option -srs_instrumentation 1 + +# Lattice XP +set_option -maxfan 1000 +set_option -disable_io_insertion 0 +set_option -retiming 0 +set_option -pipe 1 +set_option -forcegsr false +set_option -fix_gated_and_generated_clocks 1 +set_option -rw_check_on_ram 1 +set_option -update_models_cp 0 +set_option -syn_edif_array_rename 1 +set_option -Write_declared_clocks_only 1 + +# sequential_optimization_options +set_option -symbolic_fsm_compiler 1 + +# Compiler Options +set_option -compiler_compatible 0 +set_option -resource_sharing 1 +set_option -multi_file_compilation_unit 1 + +# Compiler Options +set_option -auto_infer_blackbox 0 + +#automatic place and route (vendor) options +set_option -write_apr_constraint 1 + +#set result format/file last +project -result_format "edif" +project -result_file "workdir/logicbox.edf" + +#set log file +set_option log_file "workdir/logicbox.srf" +impl -active "workdir" diff --git a/default/logicbox.vhd b/default/logicbox.vhd new file mode 100644 index 0000000..413ea1d --- /dev/null +++ b/default/logicbox.vhd @@ -0,0 +1,129 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library machxo3lf; +use machxo3lf.all; + + +entity logicbox is + port( + CLK : in std_logic; + + INPUT : in std_logic_vector(3 downto 0); + OUTPUT : out std_logic_vector(3 downto 0); + + LED : inout std_logic_vector(3 downto 0); + + STATUSI : in std_logic; + STATUSO : in std_logic; + CONTROLI : out std_logic; + CONTROLO : out std_logic; + + RX_OUT : out std_logic; + TX_IN : in std_logic; + CBUS : in std_logic + ); +end entity; + +architecture arch of logicbox is + signal clk_i, clk_osc : std_logic; + signal led_i : std_logic_vector(3 downto 0); + signal timer_i : unsigned(23 downto 0) := (others => '0'); + signal config : std_logic_vector(3 downto 0); + + signal uart_rx_data : std_logic_vector(31 downto 0); + signal uart_tx_data : std_logic_vector(31 downto 0); + signal uart_addr : std_logic_vector(7 downto 0); + signal bus_read : std_logic := '0'; + signal bus_write : std_logic := '0'; + signal bus_ready : std_logic; + + component OSCH + generic (NOM_FREQ: string := "133.00"); + port ( + STDBY :IN std_logic; + OSC :OUT std_logic; + SEDSTDBY :OUT std_logic + ); + end component; + +begin + + + + +--------------------------------------------------------------------------- +-- I don't care what you do, as long as you do it! +--------------------------------------------------------------------------- + +-- RX_OUT <= TX_IN when rising_edge(clk_i); +OUTPUT <= INPUT xor (STATUSI & STATUSO & CBUS & CBUS); +led_i <= INPUT when rising_edge(clk_i); + +bus_ready <= bus_read or bus_write; + + +--------------------------------------------------------------------------- +-- Clock +--------------------------------------------------------------------------- +clk_source: OSCH + generic map ( NOM_FREQ => "33.25" ) + port map ( + STDBY => '0', + OSC => clk_osc, + SEDSTDBY => open + ); +clk_i <= clk_osc; + +timer_i <= timer_i + 1 when rising_edge(clk_i); + + +--------------------------------------------------------------------------- +-- Read configuration switch +--------------------------------------------------------------------------- +process begin + wait until rising_edge(clk_i); + if timer_i(23 downto 4) = 0 then + if timer_i(3 downto 0) = x"0" then + LED <= (others => 'Z'); + elsif timer_i(3 downto 0) = x"8" then + config <= LED; + end if; + else + LED <= led_i; + end if; +end process; + +--------------------------------------------------------------------------- +-- UART +--------------------------------------------------------------------------- +THE_UART : entity work.uart_sctrl + generic map( + CLOCK_SPEED => 33250000 + ) + port map( + CLK => clk_i, + RESET => '0', + UART_RX => TX_IN, + UART_TX => RX_OUT, + + DATA_OUT => uart_rx_data, + DATA_IN => uart_tx_data, + ADDR_OUT => uart_addr, + WRITE_OUT => bus_write, + READ_OUT => bus_read, + READY_IN => bus_ready, + + DEBUG => open + ); + +--------------------------------------------------------------------------- +-- UART +--------------------------------------------------------------------------- + + +end architecture; + + + \ No newline at end of file diff --git a/default/par.p2t b/default/par.p2t new file mode 100644 index 0000000..f72683d --- /dev/null +++ b/default/par.p2t @@ -0,0 +1,21 @@ +-w +-i 15 +-l 5 +-n 1 +-y +-s 12 +-t 24 +-c 1 +-e 2 +#-g guidefile.ncd +#-m nodelist.txt +# -w +# -i 6 +# -l 5 +# -n 1 +# -t 1 +# -s 1 +# -c 0 +# -e 0 +# +-exp parCDP=1:parCDR=1:parPlcInLimit=0:parPlcInNeighborSize=1:parPathBased=ON:parHold=ON:parHoldLimit=10000:paruseNBR=1 diff --git a/pinout/logicbox.lpf b/pinout/logicbox.lpf new file mode 100644 index 0000000..9fb4700 --- /dev/null +++ b/pinout/logicbox.lpf @@ -0,0 +1,50 @@ +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +SYSCONFIG MCCLK_FREQ=33.25 BACKGROUND_RECONFIG=ON ENABLE_TRANSFR=ENABLE JTAG_PORT=DISABLE MUX_CONFIGURATION_PORTS=ENABLE ; +LOCATE COMP "OUTPUT[2]" SITE "A6" ; +LOCATE COMP "OUTPUT[3]" SITE "C5" ; +LOCATE COMP "OUTPUT[0]" SITE "B4" ; +LOCATE COMP "OUTPUT[1]" SITE "B5" ; +LOCATE COMP "CONTROLI" SITE "B1" ; +LOCATE COMP "CONTROLO" SITE "A1" ; +LOCATE COMP "LED[0]" SITE "A7" ; +LOCATE COMP "LED[1]" SITE "E5" ; +LOCATE COMP "LED[2]" SITE "C1" ; +LOCATE COMP "LED[3]" SITE "D2" ; +LOCATE COMP "INPUT[0]" SITE "F7" ; +LOCATE COMP "INPUT[2]" SITE "G7" ; +LOCATE COMP "INPUT[3]" SITE "F4" ; +LOCATE COMP "INPUT[1]" SITE "F3" ; +LOCATE COMP "RX_OUT" SITE "F1" ; +LOCATE COMP "TX_IN" SITE "C4" ; +LOCATE COMP "CBUS" SITE "C3" ; +LOCATE COMP "CLK" SITE "G4" ; +LOCATE COMP "STATUSO" SITE "G2" ; +LOCATE COMP "STATUSI" SITE "G1" ; +IOBUF PORT "INPUT[0]" IO_TYPE=LVTTL33 HYSTERESIS=SMALL DIFFRESISTOR=OFF ; +IOBUF PORT "INPUT[1]" IO_TYPE=LVTTL33 HYSTERESIS=SMALL DIFFRESISTOR=OFF ; +IOBUF PORT "INPUT[2]" IO_TYPE=LVTTL33 HYSTERESIS=SMALL DIFFRESISTOR=OFF ; +IOBUF PORT "INPUT[3]" IO_TYPE=LVTTL33 HYSTERESIS=SMALL DIFFRESISTOR=OFF ; +IOBUF PORT "CONTROLI" IO_TYPE=LVTTL33 ; +IOBUF PORT "CONTROLO" IO_TYPE=LVTTL33 ; +IOBUF PORT "LED[0]" IO_TYPE=LVTTL33 PULLMODE=NONE ; +IOBUF PORT "LED[1]" IO_TYPE=LVTTL33 PULLMODE=NONE ; +IOBUF PORT "LED[2]" IO_TYPE=LVTTL33 PULLMODE=NONE ; +IOBUF PORT "LED[3]" IO_TYPE=LVTTL33 PULLMODE=NONE ; +IOBUF PORT "OUTPUT[0]" IO_TYPE=LVTTL33 SLEWRATE=FAST DRIVE=16 ; +IOBUF PORT "OUTPUT[1]" IO_TYPE=LVTTL33 SLEWRATE=FAST DRIVE=16 ; +IOBUF PORT "OUTPUT[2]" IO_TYPE=LVTTL33 SLEWRATE=FAST DRIVE=16 ; +IOBUF PORT "OUTPUT[3]" IO_TYPE=LVTTL33 SLEWRATE=FAST DRIVE=16 ; +IOBUF PORT "RX_OUT" IO_TYPE=LVTTL33 ; +IOBUF PORT "TX_IN" IO_TYPE=LVTTL33 ; +IOBUF PORT "CBUS" IO_TYPE=LVTTL33 ; +IOBUF PORT "CLK" IO_TYPE=LVDS25 ; +IOBUF PORT "STATUSO" IO_TYPE=LVTTL33 ; +IOBUF PORT "STATUSI" IO_TYPE=LVTTL33 ; +BANK 0 VCCIO 3.3 V; +BANK 5 VCCIO 3.3 V; +BANK 2 VCCIO 3.3 V; + + +FREQUENCY PORT CLK 100 MHz; +FREQUENCY NET clk_osc 33.25 MHz; \ No newline at end of file -- 2.43.0