From 588d7892fa91813a889a5b94c045652fdec66d33 Mon Sep 17 00:00:00 2001 From: Andreas Neiser Date: Tue, 7 May 2013 19:46:19 +0200 Subject: [PATCH] Minor code cleanup for Mainz ETM --- cts/source/cts_pkg.vhd | 1 - cts/trb3_central.vhd | 1 - 2 files changed, 2 deletions(-) diff --git a/cts/source/cts_pkg.vhd b/cts/source/cts_pkg.vhd index f7149c1..6c9774b 100755 --- a/cts/source/cts_pkg.vhd +++ b/cts/source/cts_pkg.vhd @@ -137,7 +137,6 @@ package cts_pkg is TIMER_TICK_1US_IN : in std_logic; SERIAL_IN : in std_logic; EXT_TRG_IN : in std_logic; - TRG_ASYNC_OUT : out std_logic; TRG_SYNC_OUT : out std_logic; TRIGGER_IN : in std_logic; DATA_OUT : out std_logic_vector(31 downto 0); diff --git a/cts/trb3_central.vhd b/cts/trb3_central.vhd index 88e042c..58918d8 100644 --- a/cts/trb3_central.vhd +++ b/cts/trb3_central.vhd @@ -446,7 +446,6 @@ begin TIMER_TICK_1US_IN => timer_ticks(0), SERIAL_IN => CLK_EXT(3), EXT_TRG_IN => CLK_EXT(4), - --TRG_ASYNC_OUT => TRG_ASYNC_OUT, TRG_SYNC_OUT => cts_ext_trigger, TRIGGER_IN => cts_rdo_trg_data_valid, DATA_OUT => cts_rdo_additional_data(31 downto 0), -- 2.43.0