From 594f29bcb1cc84f10e61c52ad019229fe94a19a9 Mon Sep 17 00:00:00 2001 From: hadaq Date: Tue, 12 Feb 2013 09:48:53 +0000 Subject: [PATCH] Future propose from Jan is commented out for now. It will be tested and implemented in the next version. --- tdc_releases/tdc_v1.1.1/Encoder_304_Bit.vhd | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/tdc_releases/tdc_v1.1.1/Encoder_304_Bit.vhd b/tdc_releases/tdc_v1.1.1/Encoder_304_Bit.vhd index bca1d38..8ce5676 100644 --- a/tdc_releases/tdc_v1.1.1/Encoder_304_Bit.vhd +++ b/tdc_releases/tdc_v1.1.1/Encoder_304_Bit.vhd @@ -4,7 +4,7 @@ -- File : Encoder_304_Bit.vhd -- Author : Cahit Ugur -- Created : 2011-11-28 --- Last update: 2012-09-20 +-- Last update: 2013-01-21 ------------------------------------------------------------------------------- -- Description: Encoder for 304 bits ------------------------------------------------------------------------------- @@ -99,7 +99,8 @@ architecture behavioral of Encoder_304_Bit is signal proc_finished_3 : std_logic; signal proc_finished_4 : std_logic; signal conv_finished_i : std_logic; - signal thermocode_i : std_logic_vector(303 downto -1); + signal thermocode_i : std_logic_vector(303 downto 0); +-- signal thermocode_i : std_logic_vector(303 downto -1); attribute syn_keep : boolean; attribute syn_keep of mux_control : signal is true; @@ -112,7 +113,7 @@ begin thermocode_i(303 downto 0) <= THERMOCODE_IN; - thermocode_i(-1) <= '1'; + --thermocode_i(-1) <= '1'; --purpose : Register signals -- 2.43.0