From 5a49211161992758ee07a85691eb8ed0cd33e177 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Thu, 13 Aug 2015 14:05:31 +0200 Subject: [PATCH] small clean-up for backplane master. --- backplanemaster/trb3sc_master.vhd | 15 +-------------- 1 file changed, 1 insertion(+), 14 deletions(-) diff --git a/backplanemaster/trb3sc_master.vhd b/backplanemaster/trb3sc_master.vhd index 06c817e..76e516f 100644 --- a/backplanemaster/trb3sc_master.vhd +++ b/backplanemaster/trb3sc_master.vhd @@ -45,9 +45,6 @@ entity trb3sc_master is SFP_MOD2 : inout std_logic_vector( 1 downto 0) := (others => 'Z'); SFP_TX_DIS : out std_logic_vector( 1 downto 0) := (others => '0'); - SERDES_TX : out std_logic_vector(13 downto 0); - SERDES_RX : in std_logic_vector(13 downto 0); - -- LED_HUB_LINKOK : out std_logic_vector(6 downto 1); -- LED_HUB_RX : out std_logic_vector(6 downto 1); -- LED_HUB_TX : out std_logic_vector(6 downto 1); @@ -221,10 +218,6 @@ THE_MEDIA_INTERFACE : entity work.med_ecp3_sfp_sync TX_DLM_WORD => open, --SFP Connection - SD_RXD_P_IN => SERDES_RX(0), - SD_RXD_N_IN => SERDES_RX(1), - SD_TXD_P_OUT => SERDES_TX(0), - SD_TXD_N_OUT => SERDES_TX(1), SD_REFCLK_P_IN => '0', SD_REFCLK_N_IN => '0', SD_PRSNT_N_IN => SFP_MOD0(1), @@ -265,12 +258,6 @@ THE_MEDIA_4_DOWN : entity work.med_ecp3_sfp_sync_4 TX_DLM_WORD => open, --SFP Connection - --SFP Connection - SD_RXD_P_IN => SERDES_RX(5 downto 2), - SD_RXD_N_IN => SERDES_RX(9 downto 6), - SD_TXD_P_OUT => SERDES_TX(5 downto 2), - SD_TXD_N_OUT => SERDES_TX(9 downto 6), - SD_PRSNT_N_IN => backplane_rx_present(3 downto 0), SD_LOS_IN => backplane_rx_present(3 downto 0), SD_TXDIS_OUT => backplane_tx_present(3 downto 0), @@ -284,7 +271,7 @@ THE_MEDIA_4_DOWN : entity work.med_ecp3_sfp_sync_4 CTRL_DEBUG => open ); -gen_ready_signals : for i in 0 to 1 generate +gen_ready_signals : for i in 0 to 3 generate backplane_rx_present(i) <= BACK_GPIO(i*4); BACK_GPIO(i*4+1) <= backplane_tx_present(i); end generate; -- 2.43.0