From 5cab69394fd82ef16f7ad0a5aa30de66fd4f4b2c Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Wed, 20 Jul 2022 09:54:01 +0200 Subject: [PATCH] TICK_1MS used for LEDs --- gbe_trb_ecp5/media/gbe_med_fifo_single.vhd | 18 +++--------------- 1 file changed, 3 insertions(+), 15 deletions(-) diff --git a/gbe_trb_ecp5/media/gbe_med_fifo_single.vhd b/gbe_trb_ecp5/media/gbe_med_fifo_single.vhd index 3f611dc..88cd457 100644 --- a/gbe_trb_ecp5/media/gbe_med_fifo_single.vhd +++ b/gbe_trb_ecp5/media/gbe_med_fifo_single.vhd @@ -41,6 +41,7 @@ entity gbe_med_fifo_single is -- Status PCS_AN_READY_OUT : out std_logic; -- for internal SCTRL LINK_ACTIVE_OUT : out std_logic; -- for internal SCTRL + TICK_MS_IN : in std_logic; -- Debug STATUS_OUT : out std_logic_vector(7 downto 0); DEBUG_OUT : out std_logic_vector(63 downto 0) @@ -227,9 +228,6 @@ architecture RTL of gbe_med_fifo_single is signal delay_q : std_logic_vector(7 downto 0); signal pulse : std_logic; - signal led_timer : unsigned(19 downto 0); - signal led_timer_done_x : std_logic; - signal led_timer_done : std_logic; signal led_activity_x : std_logic; signal led_activity : std_logic_vector(1 downto 0); @@ -452,7 +450,7 @@ begin hcs_n => tsm_hcs_n, hwrite_n => tsm_hwrite_n, hread_n => tsm_hread_n, - ---------------- Input signals to the Tx MAC FIFO I/F --------------- + -------------gbe_trb/base/fwd_test_random.vhd--- Input signals to the Tx MAC FIFO I/F --------------- tx_fifodata => mac_tx_data, tx_fifoavail => mac_fifoavail, tx_fifoeof => mac_fifoeof, @@ -591,16 +589,6 @@ begin STATUS_OUT(2) <= an_complete; -- GbE Autonegotiation completed STATUS_OUT(1) <= link_rx_ready; -- SerDes Rx channel operational STATUS_OUT(0) <= link_tx_ready; -- SerDes TX channel operational - - THE_LED_TIMER_PROC: process( CLK_125 ) - begin - if( rising_edge(CLK_125) ) then - led_timer_done <= led_timer_done_x; - led_timer <= led_timer + 1; - end if; - end process THE_LED_TIMER_PROC; - - led_timer_done_x <= '1' when (std_logic_vector(led_timer) = x"fffff") else '0'; led_activity_x <= pcs_rx_en or pcs_tx_en; @@ -610,7 +598,7 @@ begin if( led_activity_x = '1' ) then led_activity(0) <= '1'; end if; - if( led_timer_done = '1' ) then + if( TICK_MS_IN = '1' ) then led_activity <= led_activity(0) & '0'; end if; end if; -- 2.43.0