From 5ecd20fe2daddff848f6d2258f45616e366d000e Mon Sep 17 00:00:00 2001 From: Manuel Penschuck Date: Tue, 7 May 2013 17:28:09 +0200 Subject: [PATCH] EXT_HEADER_BITS --- cts/source/cts_pkg.vhd | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/cts/source/cts_pkg.vhd b/cts/source/cts_pkg.vhd index 8604097..b209203 100755 --- a/cts/source/cts_pkg.vhd +++ b/cts/source/cts_pkg.vhd @@ -29,6 +29,8 @@ package cts_pkg is EXT_TRIGGER_IN : in std_logic; EXT_STATUS_IN : in std_logic_vector(31 downto 0) := X"00000000"; EXT_CONTROL_OUT : out std_logic_vector(31 downto 0); + EXT_HEADER_BITS_IN : in std_logic_vector( 1 downto 0) := "00"; + -- CTS Endpoint ----------------------------------------------------------- --LVL1 trigger @@ -62,7 +64,6 @@ package cts_pkg is CTS_REGIO_WRITE_ACK_OUT : out std_logic; CTS_REGIO_UNKNOWN_ADDR_OUT : out std_logic; - TDC_HEADER_BITS_IN : in std_logic_vector( 1 downto 0) := "00"; -- Frontend Endpoint ----------------------------------------------------- --Data Port -- 2.43.0