From 60257544ee1b5fca9d883ec1af4fb92acc7f5da8 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Thu, 6 Aug 2009 11:06:10 +0000 Subject: [PATCH] *** empty log message *** --- trb_net16_api_base.vhd | 3 +-- trb_net16_ipudata.vhd | 4 ++-- trb_net_components.vhd | 2 +- 3 files changed, 4 insertions(+), 5 deletions(-) diff --git a/trb_net16_api_base.vhd b/trb_net16_api_base.vhd index 0ce8287..255a1bb 100644 --- a/trb_net16_api_base.vhd +++ b/trb_net16_api_base.vhd @@ -583,7 +583,7 @@ INT_MASTER_DATAREADY_OUT <= buf_INT_MASTER_DATAREADY_OUT; end if; end if; end process; - apl_send_in_down_timeout <= apl_send_in_timeout_counter; + apl_send_in_down_timeout <= apl_send_in_timeout_counter(3); --------------------------------------- --regenerate long packet numbers @@ -1036,5 +1036,4 @@ INT_MASTER_DATAREADY_OUT <= buf_INT_MASTER_DATAREADY_OUT; --STAT_FIFO_TO_APL(13 downto 12) <= (others => '0'); STAT_FIFO_TO_APL(31 downto 16) <= (others => '0'); - end architecture; diff --git a/trb_net16_ipudata.vhd b/trb_net16_ipudata.vhd index b0895ef..d2ada92 100644 --- a/trb_net16_ipudata.vhd +++ b/trb_net16_ipudata.vhd @@ -264,10 +264,10 @@ begin evt_number_mismatch <= '0'; evt_code_mismatch <= '0'; elsif make_compare = '1' then - if IPU_DATA(15 downto 0) /= buf_NUMBER then --was reg_ + if IPU_DATA_IN(15 downto 0) /= buf_NUMBER then --was reg_ evt_number_mismatch <= '1'; end if; - if IPU_DATA(23 downto 16) /= buf_RND_CODE then --was reg_ + if IPU_DATA_IN(23 downto 16) /= buf_RND_CODE then --was reg_ evt_code_mismatch <= '1'; end if; end if; diff --git a/trb_net_components.vhd b/trb_net_components.vhd index 05999dc..0cc3621 100644 --- a/trb_net_components.vhd +++ b/trb_net_components.vhd @@ -590,7 +590,7 @@ package trb_net_components is --Information received with request IPU_NUMBER_OUT : out std_logic_vector (15 downto 0); - IPU_READOUT_TYPE_OUT : out std_logic_vector (7 downto 0); + IPU_READOUT_TYPE_OUT : out std_logic_vector (3 downto 0); IPU_INFORMATION_OUT : out std_logic_vector (7 downto 0); --start strobe IPU_START_READOUT_OUT: out std_logic; -- 2.43.0