From 62e5a99d1df8b0b9d45145f690012c50901f372a Mon Sep 17 00:00:00 2001 From: palka Date: Mon, 4 Aug 2008 13:12:21 +0000 Subject: [PATCH] simulation - no api --- etrax_interfacev2.vhd | 424 ++++++++++++++++++++++++++++ fifo16kW.ngc | 3 + fifo16kW.vhd | 156 +++++++++++ tdc_interface.vhd | 126 +++++++-- trb_v2b_fpga.vhd | 636 +++++++++++++++++++++++++----------------- 5 files changed, 1054 insertions(+), 291 deletions(-) create mode 100644 etrax_interfacev2.vhd create mode 100644 fifo16kW.ngc create mode 100644 fifo16kW.vhd diff --git a/etrax_interfacev2.vhd b/etrax_interfacev2.vhd new file mode 100644 index 0000000..711a10b --- /dev/null +++ b/etrax_interfacev2.vhd @@ -0,0 +1,424 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +use IEEE.NUMERIC_STD.all; +library UNISIM; +use UNISIM.VCOMPONENTS.all; + +entity etrax_interfacev2 is + port ( + CLK : in std_logic; + RESET : in std_logic; + DATA_BUS : in std_logic_vector(31 downto 0); + ETRAX_DATA_BUS_B : inout std_logic_vector(17 downto 0); + ETRAX_DATA_BUS_C : inout std_logic_vector(17 downto 0); + DATA_VALID : in std_logic; + ETRAX_BUS_BUSY : out std_logic; + ETRAX_IS_READY_TO_READ : out std_logic; + TDC_TCK : out std_logic; + TDC_TDI : out std_logic; + TDC_TMS : out std_logic; + TDC_TRST : out std_logic; + TDC_TDO : in std_logic; + TDC_RESET : out std_logic; + EXTERNAL_ADDRESS : out std_logic_vector(31 downto 0); + EXTERNAL_DATA_OUT : out std_logic_vector(31 downto 0); + EXTERNAL_DATA_IN : in std_logic_vector(31 downto 0); + EXTERNAL_ACK : out std_logic; + EXTERNAL_VALID : in std_logic; + EXTERNAL_MODE : out std_logic_vector(15 downto 0); + FPGA_REGISTER_00 : in std_logic_vector(31 downto 0); + FPGA_REGISTER_01 : in std_logic_vector(31 downto 0); + FPGA_REGISTER_02 : in std_logic_vector(31 downto 0); + FPGA_REGISTER_03 : in std_logic_vector(31 downto 0); + FPGA_REGISTER_04 : in std_logic_vector(31 downto 0); + FPGA_REGISTER_05 : in std_logic_vector(31 downto 0); + FPGA_REGISTER_06 : out std_logic_vector(31 downto 0); + FPGA_REGISTER_07 : out std_logic_vector(31 downto 0); + FPGA_REGISTER_08 : in std_logic_vector(31 downto 0); + FPGA_REGISTER_09 : in std_logic_vector(31 downto 0); + FPGA_REGISTER_0A : in std_logic_vector(31 downto 0); + FPGA_REGISTER_0B : in std_logic_vector(31 downto 0); + FPGA_REGISTER_0C : in std_logic_vector(31 downto 0); + FPGA_REGISTER_0D : in std_logic_vector(31 downto 0); + FPGA_REGISTER_0E : out std_logic_vector(31 downto 0); + EXTERNAL_RESET : out std_logic; + LVL2_VALID : in std_logic + -- DEBUG_REGISTER_OO : out std_logic_vector(31 downto 0) + ); +end etrax_interfacev2; +architecture etrax_interfacev2 of etrax_interfacev2 is + component edge_to_pulse + port ( + clock : in std_logic; + en_clk : in std_logic; + signal_in : in std_logic; + pulse : out std_logic); + end component; + component up_counter_17bit + port ( + QOUT : out std_logic_vector(16 downto 0); + UP : in std_logic; + CLK : in std_logic; + CLR : in std_logic); + end component; + type ETRAX_RW_STATE_MACHINE is (IDLE, SAVE_ADDRESS_1, SAVE_ADDRESS_2, SAVE_DATA_1 ,SAVE_DATA_2 , SEND_DATA_1, SEND_ZERO, SEND_DATA_2, WAIT_FOR_DATA, SAVING_EXTERNAL_DATA, SEND_VALID, SEND_EXTERNAL_TRIGGER ); + signal ETRAX_RW_STATE_currentstate,ETRAX_RW_STATE_nextstate : ETRAX_RW_STATE_MACHINE; + + signal etrax_trigger_pulse : std_logic; + signal rw_operation_finished_pulse : std_logic; + signal saved_rw_mode : std_logic_vector(15 downto 0); + signal saved_address : std_logic_vector (31 downto 0); + signal saved_data : std_logic_vector(31 downto 0); + signal saved_data_fpga : std_logic_vector(31 downto 0); + + signal fpga_register_00_i : std_logic_vector(31 downto 0); + signal fpga_register_01_i : std_logic_vector(31 downto 0); + signal fpga_register_02_i : std_logic_vector(31 downto 0); + signal fpga_register_03_i : std_logic_vector(31 downto 0); + signal fpga_register_04_i : std_logic_vector(31 downto 0); + signal fpga_register_05_i : std_logic_vector(31 downto 0); + signal fpga_register_06_i : std_logic_vector(31 downto 0); + signal fpga_register_07_i : std_logic_vector(31 downto 0); + signal fpga_register_08_i : std_logic_vector(31 downto 0); + signal fpga_register_09_i : std_logic_vector(31 downto 0); + signal fpga_register_0A_i : std_logic_vector(31 downto 0); + signal fpga_register_0B_i : std_logic_vector(31 downto 0); + signal fpga_register_0C_i : std_logic_vector(31 downto 0); + signal fpga_register_0D_i : std_logic_vector(31 downto 0); + signal fpga_register_0E_i : std_logic_vector(31 downto 0); + signal saved_external_data : std_logic_vector(31 downto 0); + signal etrax_is_ready_to_read_i : std_logic; + signal lvl2_not_valid_pulse : std_logic; + signal counter_for_pulses : std_logic_vector(2 downto 0); + signal internal_reset_i : std_logic := '0'; + signal start_transmition_i : std_logic:='0'; + signal start_transmition_pulse : std_logic; + signal data_from_etrax : std_logic_vector(80 downto 0); + signal etrax_std_data_counter : std_logic_vector(7 downto 0):=x"00"; + signal enable_transmition : std_logic :='1'; + signal etrax_strobe : std_logic; + signal data_to_etrax : std_logic_vector(31 downto 0); + signal reset_counter : std_logic_vector(15 downto 0) := x"0000"; + signal external_reset_counter : std_logic_vector(31 downto 0); + signal en_trigg_to_etrax : std_logic; + signal busy_dma_counter : std_logic_vector(3 downto 0); + signal busy_dma : std_logic; + signal etrax_busy_end_pulse : std_logic; + signal not_etrax_busy : std_logic; +begin +------------------------------------------------------------------------------- +-- transmition for reading, writing fpga registers, dsp, sdram , addon . . . +------------------------------------------------------------------------------- + + ETRAX_DATA_BUS_C(17) <= 'Z'; +-- ETRAX_DATA_BUS_B(17) <= 'Z'; ---------------------------------------------- +-- !!!!!!!!!!!!!!!!!!!!!! pull down +------------------------------------------------------------------------------- + start_transmition_i <= ETRAX_DATA_BUS_C(17) and ETRAX_DATA_BUS_B(17); + START_PULSER : edge_to_pulse + port map ( + clock => CLK, + en_clk => enable_transmition, + signal_in => start_transmition_i, + pulse => start_transmition_pulse); + STROBE_PULSER : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => ETRAX_DATA_BUS_C(17), + pulse => etrax_strobe); + SAVE_ETRAX_DATA: process (CLK, internal_reset_i) + variable etrax_data_counter : integer:=0; + begin + if rising_edge(CLK)then + if internal_reset_i = '1' or (etrax_std_data_counter = 81 and saved_rw_mode(15) = '0') or (etrax_std_data_counter = 114 and saved_rw_mode(15) = '1') then + etrax_data_counter := 0; + data_from_etrax <= (others => '0'); + ETRAX_DATA_BUS_C(16) <= 'Z'; + enable_transmition <= '1'; + etrax_std_data_counter <= x"00"; + elsif etrax_strobe = '1' and etrax_std_data_counter < 81 then-- and etrax_data_counter < 81 and etrax_data_counter > 0 then + data_from_etrax(etrax_data_counter) <= ETRAX_DATA_BUS_C(16); + etrax_data_counter := etrax_data_counter + 1; + ETRAX_DATA_BUS_C(16) <= 'Z'; + enable_transmition <= '0'; + etrax_std_data_counter <= etrax_std_data_counter + 1; + elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' then + data_from_etrax <= data_from_etrax; + ETRAX_DATA_BUS_C(16) <= data_to_etrax(0); + etrax_data_counter := etrax_data_counter + 1; + etrax_std_data_counter <= etrax_std_data_counter + 1; + enable_transmition <= '0'; + elsif etrax_strobe = '1' and etrax_std_data_counter > 81 and saved_rw_mode(15) = '1' then + data_from_etrax <= data_from_etrax; + ETRAX_DATA_BUS_C(16) <= data_to_etrax((etrax_data_counter-81) mod 32); + etrax_data_counter := etrax_data_counter + 1; + etrax_std_data_counter <= etrax_std_data_counter + 1; + enable_transmition <= '0'; + end if; + end if; + end process SAVE_ETRAX_DATA; + -- fpga_register_0E(7 downto 0) <= etrax_std_data_counter; + data_to_etrax <= saved_data_fpga;-- when saved_rw_mode(7 downto 0) = x"00" else saved_external_data; + RW_FINISHED_PULSER : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => EXTERNAL_VALID, + pulse => rw_operation_finished_pulse); + REGISTER_ETRAX_BUS: process (CLK, internal_reset_i, ETRAX_RW_STATE_currentstate) + begin + if rising_edge(CLK) then + if rw_operation_finished_pulse = '1' then + saved_external_data <= EXTERNAL_DATA_IN; + else + saved_external_data <= saved_external_data; + end if; + end if; + end process REGISTER_ETRAX_BUS; + EXTERNAL_ADDRESS <= saved_address; + EXTERNAL_MODE <= saved_rw_mode(15 downto 0); + EXTERNAL_DATA_OUT <= saved_data; + EXTERNAL_ACK <= '1' when etrax_std_data_counter = 80 else '0'; + CLOCK_SAVED_DATA: process (CLK, internal_reset_i) + begin + if rising_edge(CLK) then + if internal_reset_i = '1' then + saved_rw_mode <= (others => '0'); + saved_address <= (others => '0'); + saved_data <= (others => '0'); + else + saved_rw_mode <= data_from_etrax(15 downto 0); + saved_address <= data_from_etrax(47 downto 16); + saved_data <= data_from_etrax(79 downto 48); + end if; + end if; + end process CLOCK_SAVED_DATA; + REGISTERS: process (CLK) + begin + if rising_edge(CLK) then +-- if RESET = '1' or (ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then + fpga_register_01_i <= FPGA_REGISTER_01; + fpga_register_02_i <= FPGA_REGISTER_02; + fpga_register_03_i <= FPGA_REGISTER_03; + fpga_register_04_i <= FPGA_REGISTER_04; + fpga_register_05_i <= FPGA_REGISTER_05; + FPGA_REGISTER_06 <= fpga_register_06_i; --this used for TDCjtag enable(0) + FPGA_REGISTER_07 <= fpga_register_07_i; + fpga_register_08_i <= FPGA_REGISTER_08; + fpga_register_09_i <= FPGA_REGISTER_09; + fpga_register_0A_i <= FPGA_REGISTER_0A; + fpga_register_0B_i <= FPGA_REGISTER_0B; + fpga_register_0c_i <= FPGA_REGISTER_0C; + fpga_register_0d_i <= FPGA_REGISTER_0D; + FPGA_REGISTER_0E <= fpga_register_0e_i; + end if; + end process REGISTERS; + DATA_SOURCE_SELECT : process (CLK,internal_reset_i,saved_rw_mode,saved_address) + begin + if rising_edge(CLK) then + if internal_reset_i = '1' then--(ETRAX_DATA_BUS_C(16) = '1' and ETRAX_DATA_BUS_C(17) = '1') then + fpga_register_06_i <= x"00000000"; + fpga_register_07_i <= x"00000000"; + fpga_register_0e_i <= x"00000000"; + else + case saved_rw_mode(7 downto 0) is + when "00000000" => + if saved_rw_mode(15) = '1' then + case saved_address(31 downto 0) is + when x"00000000" => saved_data_fpga <= fpga_register_00_i; + when x"00000001" => saved_data_fpga <= fpga_register_01_i; + when x"00000002" => saved_data_fpga <= fpga_register_02_i; + when x"00000003" => saved_data_fpga <= fpga_register_03_i; + when x"00000004" => saved_data_fpga <= fpga_register_04_i; + when x"00000005" => saved_data_fpga <= fpga_register_05_i; + when x"00000006" => saved_data_fpga <= fpga_register_06_i; + when x"00000007" => saved_data_fpga <= fpga_register_07_i; + when x"00000008" => saved_data_fpga <= fpga_register_08_i; + when x"00000009" => saved_data_fpga <= fpga_register_09_i; + when x"0000000A" => saved_data_fpga <= fpga_register_0A_i; + when x"0000000B" => saved_data_fpga <= fpga_register_0B_i; + when x"0000000C" => saved_data_fpga <= fpga_register_0C_i; + when x"0000000D" => saved_data_fpga <= fpga_register_0D_i; + when x"0000000E" => saved_data_fpga <= fpga_register_0E_i; + when others => saved_data_fpga <= x"deadface"; + end case; + elsif saved_rw_mode(15) = '0' and etrax_std_data_counter = 80 then + case saved_address(31 downto 0) is + when x"00000006" => fpga_register_06_i <= saved_data; + when x"00000007" => fpga_register_07_i <= saved_data; + when x"0000000e" => fpga_register_0e_i <= saved_data; + when others => null; + end case; + end if; + when "00000001" => --DSP write read + saved_data_fpga <= saved_external_data; + when x"02" => --sdram + saved_data_fpga <= saved_external_data; + when x"03" => --ADDON board write read + saved_data_fpga <= saved_external_data; + when others => + saved_data_fpga <= x"deadface"; + end case; + end if; + end if; + end process DATA_SOURCE_SELECT; +------------------------------------------------------------------------------- +-- reset +------------------------------------------------------------------------------- + MAKE_EXTERNAL_RESET: process (CLK) + begin + if rising_edge(CLK) then + if ETRAX_DATA_BUS_C(17) ='0' or ETRAX_DATA_BUS_C(16)='0' or ETRAX_DATA_BUS_B(17)= '0' then + external_reset_counter <= (others => '0'); + elsif ETRAX_DATA_BUS_C(17) ='1' and ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_B(17)= '1' then + external_reset_counter <= external_reset_counter + 1; + end if; + end if; + end process MAKE_EXTERNAL_RESET; + + MAKE_START_RESET: process (CLK) + begin + if rising_edge(CLK) then +-- if then +-- reset_counter <= x"0000"; + --els + if reset_counter < x"000f" then + reset_counter <= reset_counter + 1; + end if; + end if; + end process MAKE_START_RESET; + RESET_PULSER : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => fpga_register_0e_i(31), + pulse => open); + internal_reset_i <= '1' when reset_counter < x"000e" else '0'; + EXTERNAL_RESET <= internal_reset_i; +------------------------------------------------------------------------------- +-- data transmitio fpga -> etrax +------------------------------------------------------------------------------- + ETRAX_DATA_BUS_B(17) <= 'Z'; + ETRAX_READY_PULSE : edge_to_pulse + port map ( + clock => CLK, + en_clk => DATA_VALID,--'1', + signal_in => ETRAX_DATA_BUS_B(17), + pulse => etrax_is_ready_to_read_i); + MAKE_PULSES: process (CLK, internal_reset_i) + begin + if rising_edge(CLK) then + if internal_reset_i = '1' then + counter_for_pulses <= "000"; + else + counter_for_pulses <= counter_for_pulses + 1; + end if; + end if; + end process make_pulses; + LVL2_NOT_VALID_READY_PULSE : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => counter_for_pulses(2), + pulse => lvl2_not_valid_pulse); +-- internal_reset_i <= etrax_is_ready_to_read_i; + + +-- etrax_is_ready_to_read_i <= DATA_VALID and (not ETRAX_DATA_BUS_B(17)); +-- etrax_is_ready_to_read_i <= DATA_VALID and (not (busy_dma)); +-- ETRAX_IS_READY_TO_READ <= etrax_is_ready_to_read_i; + ETRAX_DATA_BUS_C(15 downto 4) <= DATA_BUS(31 downto 20); + ETRAX_DATA_BUS_B(15 downto 0) <= DATA_BUS(15 downto 0); +-- ETRAX_DATA_BUS_C(7 downto 4) <= DATA_BUS(7 downto 4); +-- ETRAX_DATA_BUS_C(15 downto 8) <= DATA_BUS(15 downto 8); +-- ETRAX_DATA_BUS_B(15 downto 8) <= DATA_BUS(23 downto 16); +-- ETRAX_DATA_BUS_B(7 downto 0) <= DATA_BUS(31 downto 24); + ETRAX_IS_READY_TO_READ <= etrax_is_ready_to_read_i or (DATA_VALID and lvl2_not_valid_pulse and LVL2_VALID); + ENABLE_TRIGG_TOETRAX: process (CLK, internal_reset_i) + begin -- process SEND_SYNCH_TRIGGER_TO_ETRAX + if rising_edge(CLK) then + if internal_reset_i = '1' then -- asynchronous reset (active low) + en_trigg_to_etrax <= '0'; + else + en_trigg_to_etrax <= etrax_is_ready_to_read_i; + end if; + end if; + end process ENABLE_TRIGG_TOETRAX; +-- ETRAX_DATA_BUS_B(16) <= not CLK when en_trigg_to_etrax = '1' else '0'; + not_etrax_busy <= not ETRAX_DATA_BUS_B(17); + ETRAX_NOT_BUSY_PULSER : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => not_etrax_busy, + pulse => etrax_busy_end_pulse); + + + COUNT_SENT_WORDS: process (CLK, RESET,ETRAX_DATA_BUS_B,busy_dma_counter,etrax_is_ready_to_read_i,ETRAX_DATA_BUS_B(17)) + begin -- process COUNT_SENT_WORDS + if rising_edge(CLK) then + if internal_reset_i = '1' or etrax_busy_end_pulse = '1' then -- asynchronous reset (active low) + busy_dma_counter <= x"0"; + + elsif etrax_is_ready_to_read_i = '1' and busy_dma_counter < x"a" then + busy_dma_counter <= busy_dma_counter + 1; + + end if; + end if; + end process COUNT_SENT_WORDS; + busy_dma <= '1' when busy_dma_counter= x"a" else '0'; + ETRAX_DATA_BUS_B(16) <= DATA_VALID and (not LVL2_VALID); +------------------------------------------------------------------------------- +-- tdc jtag programming +------------------------------------------------------------------------------- +-- TDC_TRST <= not fpga_register_06_i(2); +-- TDC_RESET <= fpga_register_06_i(1); + +-- TDC_TMS <= ETRAX_DATA_BUS_C(1) when fpga_register_06_i(0) = '1' else '1'; +-- TDC_TCK <= ETRAX_DATA_BUS_C(2) when fpga_register_06_i(0) = '1' else '1'; +-- TDC_TDI <= ETRAX_DATA_BUS_C(3) when fpga_register_06_i(0) = '1' else '1'; +-- ETRAX_DATA_BUS_C(0) <= TDC_TDO when fpga_register_06_i(0) = '1' else DATA_BUS(0); +-- ETRAX_DATA_BUS_C(1) <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(1); +-- ETRAX_DATA_BUS_C(2) <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(2); +-- ETRAX_DATA_BUS_C(3) <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(3); + TDC_TMS <= ETRAX_DATA_BUS_C(1) when fpga_register_06_i(0) = '1' else '1'; + TDC_TCK <= ETRAX_DATA_BUS_C(2) when fpga_register_06_i(0) = '1' else '1'; + TDC_TDI <= ETRAX_DATA_BUS_C(3) when fpga_register_06_i(0) = '1' else '1'; + ETRAX_DATA_BUS_C(0) <= TDC_TDO when fpga_register_06_i(0) = '1' else DATA_BUS(16); + ETRAX_DATA_BUS_C(1) <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(17); + ETRAX_DATA_BUS_C(2) <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(18); + ETRAX_DATA_BUS_C(3) <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(19); + +-- TDC_JAM_SIGNALS : process (CLK, internal_reset_i, DATA_VALID, fpga_register_06_i(0)) +-- begin +-- if rising_edge(CLK) then +-- if internal_reset_i = '1' then +-- TDC_TMS <= '1'; +-- TDC_TCK <= '1'; +-- TDC_TDI <= '1'; +-- ETRAX_DATA_BUS_C <= (others => 'Z'); +-- elsif fpga_register_06_i(0) = '1' then +-- TDC_TMS <= ETRAX_DATA_BUS_C(1); +-- TDC_TCK <= ETRAX_DATA_BUS_C(2); +-- TDC_TDI <= ETRAX_DATA_BUS_C(3); +-- ETRAX_DATA_BUS_C(0) <= TDC_TDO; +-- ETRAX_DATA_BUS_C(17 downto 1) <= (others => 'Z'); +-- else +-- ETRAX_DATA_BUS_C(15 downto 0) <= DATA_BUS(31 downto 16); +-- ETRAX_DATA_BUS_C(16) <= 'Z'; +-- ETRAX_DATA_BUS_C(17) <= 'Z'; +-- TDC_TMS <= '1'; +-- TDC_TCK <= '1'; +-- TDC_TDI <= '1'; +-- else +-- TDC_TMS <= '1'; +-- TDC_TCK <= '1'; +-- TDC_TDI <= '1'; +-- ETRAX_DATA_BUS_C <= (others => 'Z'); +-- end if; +-- end if; +-- end process TDC_JAM_SIGNALS; +end etrax_interfacev2; diff --git a/fifo16kW.ngc b/fifo16kW.ngc new file mode 100644 index 0000000..97e19fe --- /dev/null +++ b/fifo16kW.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.4e +$b5b41<,[o}e~g`n;"2*447&;:%>-*=b:-kkhc(ehxng"byl0]emcif'}g{#Rmh/gkek40l_'dlc<5?9:23456789:?<6>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=:401636>66<2::<=<405684167<2:3<=?41292*54738>1EC^ZT;RQKBYBEY^RSYF]ERJ?56<76;=0=94FNQWW>UTHOVOF\YWPTIPFWMYF[ZOYX1?<:1<13>732@D[YY4_RNE\AHVSQV^C^H]G_OGDEQC;9:0;2LHW]]0[^BIPFTNO[QNUMZBTM^]JRU>27?69:?1:87GAPTV9TWI@WO_G@RZGRDQK[KC@I]O7=>4?>328513;2=62=6<3CE\XZ5psmd[`kw|pUdk|h^cpw`ts4891<3<8;069MKVR\3zycjQjmqvz[qnumzbTbhintd>27?699o1:87GAPTV9twi`Wog`Rzgrdqk845=878=7<:5IORVP?vugnUmyabPtipfwmYf{zoyx1?<:1<12>732@D[YY4rne\bpjkW}byi~fPndebp`:6;3:5=i5>4;MVPUSS2MOIYJQ]D^RMPW:6;3:5=i5>4;MVPUSS2MOIYJQXR^RMPW:6;3:5=i5>4;MVPUSS2[NTHLZN_BMVJQ:6;3:5=i5>4;MVPUSS2^XTHLZN_BMVJQ:6;3:5=l5>4;MVPUSS2mkmRm`uov?56<76;?0=94@UURVP?bbj|mT~iQnup\tist9Vx7=>4?>0d851732F__\XZ5dd`vcZtcWyd~Ry31283:73<9=0DYY^ZT;fffpaX{U{by|Ppmwp5Zq;9:0;2?;4158LQQVR\3nnnxiPws]sjqtXxex>Ry31283:4`<9=0DYY^ZT;fffpaX{U{by|Pr=30>586n2;?6B[[PTV9``droV}yS}`{r^u?56<768n0=94@UURVP?tcWmkmRm`uov?56<768n0=94@UURVP?quWmkmRm`uov?56<768>0=84FNQWW>DBCZH6:87>115921?OIX\^1MIJ]B=37>5863;;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=57=4:3CE\XZ5DHL?74<76880??4FNQWW>aoi4:;1<3?<;209KPRW]]0OCL2<1;2=56=4:3E^X][[:EMA867=87;?7><5OTVSQQ0687705?69:2>;=<5;:HLSQQ11097>LHW]]0OE]L33;2=55=32@D[YY4XE@>0>58682>1EC^ZT;UFF95=87;9794@UURVP?BHXH686=0>2:69KPRW]]0OC]L33;2=b>2qdq>;jk?>49/244=1:2<286869708<52<09:;866=4068<146923?74>?939:21=>>?337LJKR@>3:<=FLMXJ0<>19:CG@WG;98427LJKR@>26;?c9B@ATF48>1<374AEFQE973611JHI\N<0<;?DBCZH69255NDEPB868?3HNO^L2;>99B@ATF4<437LJKR@>5:==FLMXJ0:07;@FGVD:?611JHI\N<8<;?DBCZK6;245NDEPA846912KOH_L310<:?DBCZK6:>374AEFQF9746k1JHI\M<0694;?99B@ATE48437LJKRC>1:==FLMXI0>07;@FGVG:3611JHI\M<4<;?DBCZK6=255NDEPA828?3HNO^O27>99B@ATE404h7LBBORV\AHVSQk1J@@A\T^DVHI?1H^HO[EE38@c=CMK_LS_OB_DOSP\c1:FFFPAXZMU[BY\310<25>BBJ\MT^IQ_NUP?5786;2NNNXIPRE]SJQT;9:0;2028@@DROVXOS]@[R=3=55=CMK_LS_JPPOVQ878682NNNXIPRE]SJQT;;7;;7IKMUF]Q@ZVI\[6?2<>4DD@VCZTCWYD_^1;1119GAGS@W[NT\CZ]<7<24>BBJ\MT^IQ_NUP?3;773MOIYJQ]D^RMPW:?68:0HHLZG^PG[UHSZ535==5KECWD[RTXXG^Y0=0>1:FFFPAX_[U[BY\311<25>BBJ\MT[_Q_NUP?548692NNNXIPWS]SJQT;9;4:?6JJBTE\SWYWF]X7=>4?>038@@DROV]YS]@[R=30:46028@@DROV]YS]@[R=7=55=CMK_LSZ\PPOVQ838682NNNXIPWS]SJQT;?7;;7IKMUF]TVZVI\[632<>4DD@VCZQUWYD_^1712:FJ2>BNI5:5;6JFA=33:2=CAH6:=394DHC?57803MCJ0<=17:FJE9736>1OEL2>5?58@LG;9?4<7IGN<05=3>BNI5;32:5KI@>2=;01OEL2=3?58@LG;:=4<7IGN<37=3>BNI58=2:5KI@>13;1508;EKB87?9>2NBM1<17:FJE957601OEL2<1;2=3>BNI59:2;5KI@>0:3=CAH6?2;5KI@>6:3=CAH6=2;5KI@>4:3=CAH632;5KI@>::3=CAK6;2:5KIC>24;169GMG:6<7=0HDL314<4?AOE48<5;6JFB=34:2=CAK6:4394DH@?5<813MCI0<08;EKA8769?2NBN1<>>69GMG:5:7=0HDL322<4?AOE4;>5;6JFB=06:2=CAK69:394DH@?62803MCI0?617:FJF94>6?1OEO2=>69GMG:48730HDL33083:2=CAK68=384DH@?7;01OEC2>3?58@LH;9=4<7IGA<07=3>BNF5;=2:5KIO>23;12NBB1?17:FJJ9476>1OEC2=1?58@LH;:;4<7IGA<31=3>BNF58?2:5KIO>11;1;08;EKM8719?2NBB1<7>69GMK:517<0HD@32?58@LH;;9427IGA<2394;117:FJTD:66>1OE]O32?;8@LVF4:0;2:5KIQC?7;189GMUD;;3:5;6JFPC>0:3=CGH6;2:5KO@>24;169GKD:6<7=0HBO314<4?AIF48<5;6J@A=34:2=CGH6:4394DNC?5<813MEJ0<08;EMB8769?2NDM1<>>69GKD:5:7=0HBO322<4?AIF4;>5;6J@A=06:2=CGH69:394DNC?62803MEJ0?617:FLE94>6?1OCL2=>69GKD:48730HBO33083:2=CGH68=384DNC?7;017:FLF9766>1OCO2>2?58@JD;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;19?2NDN1?6>79GKG:66>1OCO2=0?58@JD;:84<7IAM<30=3>BHJ5882:5KOC>10;1808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLFZTBO>1OC]O30?58@JVF484<7IA_A=0==>BHXH686=08;EMSE959?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA86803ME^X1>18:FLQQ:68720HB[[<03=<>BH]]6:>364DNWW845902NDYY2>4?:8@JSS48?546J@UU>22;>BH]]6:255KOTV?658?3ME^X1<>>99GKPR;:;437IAZT=00:==CG\^7>907;EMVP942611OCXZ327<;?AIR\58<255KOTV?6=8?3ME^X1<6>69GKPR;:720HB[[<22=e>BH]]68=7>18:FLQQ:497=0HB[[<2<4?AIR\5>5;6J@UU>6:2=CG\^7:394DNWW82803ME^X1617:FLQQ:>6;1N885JMQVZ7>CII:1NBO<4F368B7@7=2LJ@^K<;GF@0>@CKL90JIK<;GFS0>@CXL>0JK6G>2:K16>O402CEEY^P01:8MKOSXV::46GAIUR\47><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ6012CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D11BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D11BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1L8;HLJPZ5D?2CEEYQOI^LX_SNBD_EMB[WC@9;1BB[K]T^AOOZBHJVXNK>5FOC08HL47@[WCX78IP^A=<1FYUH9c:ObnjtQm{ybccm4MhllvScu{`ee>6@>3:L246=I9890B<<;;O3151=I9;8?7C?=459M57033G;9;95A13;0?K74<2D:?=:4N0110>H6;=90B<:;;O3711=I9=33G;?5>5A1468J437<2D:9<:4N0710>H6=:>0B<;;4:L21025668J43?<2D:94=4N047?K718=1E=;?;;O3561=I9?9?7C?9459M53333G;=:95A1757?K710=1E=;7<;O340>H6?9>0B<9>4:L23727568J412<2D:;;:4N0540>H6?190B<6;;O3;71=I91>?7C?7559M5=033G;3;95A19:7?K7?1:1E=4:4N0;30>H618>0B<7=4:L2=629468J4?1<2D:54<4N318J7633G8;<95A2137?K47:=1E>==;;O0301=I:9??7CH59;90B?<<;O007>H5<:1E>8=4N340?K40;2D94>5A2818J6643G9:?6@<229M76587C=:3:L026=I<890B9==;O71?K053G=97C6=;O;e?KDXJ\Y[ECQ_RNRP3>HB\^EYG:5AOLGQC@2VUGNUNA]ZV159SVJAXMDZ_URZGRDQK8586=2ZYCJQJMQVZ[QNUMZB7==0>5:RQKBYBEY^RSYF]ERJ?5486=2ZYCJQJMQVZ[QNUMZB7=?0>7:RQKBYBEY^RSYF]ERJ?56<768?0\_AH_DOSP\YS@[OXD1?<>068TWI@WLG[XTQ[HSGPL9799=1[^BIPELRW]ZROZLYC0?0>4:RQKBYBEY^RSYF]ERJ?7;733YXDKRKBPU[\PMTB[A6?2<:4PSMD[@KW\PU_D_K\H=7=51=WZFMTI@^[Y^VKV@UO4?4:86^]OF]FIUR^W]BYI^F37?37?UTHOVOF\YWPTIPFWM:?68>0\_AH_DOSP\YS@[OXD1711c9SVJAXMDZ_URZGRDQK[DUTM[^7<3?l;QPLCZCJX]STXE\JSI]BWVCU\5;;20:4dVUGNUNA]ZV_UJQAVNXIZYN^Y2:>0`8TWI@WLG[XTQ[HSGPLZGT[LX_0;0>b:RQKBYBEY^RSYF]ERJ\EVUBZ]6<268h0\_AH_DOSP\YS@[OXDR@JG@VF8586k2ZYCJQJMQVZ[QNUMZBTBHINTD>24;7d3YXDKRKBPU[\PMTB[AUEIJO[E=32:4e5=o5_RNE\AHVSQV^C^H]G_OGDEQC;=7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9099k1[^BIPELRW]ZROZLYCSCKHAUG?3;7e3YXDKRKBPU[\PMTB[AUEIJO[E=:=5g=WZFMTI@^[Y^VKV@UOWGOLMYK39?;8TWI@WO_G@<=4PSMD[CSKDV^C^H]G<1<20>VUGNUMYABPTIPFWM:687;?7]\@G^DVHIYS@[OXD1?>>068TWI@WO_G@RZGRDQK84499?1[^BIPFTNO[QNUMZB7=>4?>068TWI@WO_G@RZGRDQK84599:1[^BIPFTNO[QNUMZB7=3?<;QPLCZ@RDEU_D_K\H=0=56=WZFMTJXBC_UJQAVN;;7;87]\@G^DVHIYS@[OXD1:1129SVJAXN\FGSYF]ERJ?1;743YXDKRHZLM]WLWCT@5<5=>5_RNE\BPJKW]BYI^F37?30?UTHOVL^@AQ[HSGPL9>99:1[^BIPFTNO[QNUMZB753?n;QPLCZ@RDEU_D_K\H^CPW@TS494:n6^]OF]EQIJX\AXN_EQNSRGQP97768h0\_AH_GWOHZROZLYCSL]\ESV?5486j2ZYCJQIUMN\PMTB[AUJ_^K]T=31:4bVUGNUMYABPTIPFWMYF[ZOYX1?11`9SVJAXN\FGSYF]ERJ\EVUBZ]6920c8TWI@WO_G@RZGRDQK[DUTM[^7;3?n;QPLCZ@RDEU_D_K\H^CPW@TS414:m6^]OF]EQIJX\AXN_EQNSRGQP9?99h1[^BIPFTNO[QNUMZBTBHINTD>3:4dVUGNUMYABPTIPFWMYIMNK_I1?>>0`8TWI@WO_G@RZGRDQK[KC@I]O7=?0>d:RQKBYA]EFTXE\JSI]MABGSM5;86=0>b:RQKBYA]EFTXE\JSI]MABGSM5;820c8TWI@WO_G@RZGRDQK[KC@I]O793?n;QPLCZ@RDEU_D_K\H^LFCDRB4?4:m6^]OF]EQIJX\AXN_EQAEFCWA9199h1[^BIPFTNO[QNUMZBTBHINTD>;:4g;SF\@DRFWJE^BY2>1?32?WBXLH^JSNAZNU>26;743[NTHLZN_BMVJQ:6;3:5=<5]D^FBPDYDG\D_0<=1119Q@ZBF\HUHCX@[<0<24>TCWMK_MRM@UOV?6;773[NTHLZN_BMVJQ:468:0^IQKAUC\GJSI\5>5==5]D^FBPDYDG\D_080>0:PG[AGSIVIDYCZ36?33?WBXLH^JSNAZNU>4:469991YHRJNT@]@KPHS404>7_JPEOa8V@GCW^COXEQNc:PFEAYPAM^CSO84RDE@AD0<[H\NHLZN129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI86ZVPDg8Q5)`mgUj#hhar;8QKBBZOFD[l5ZSDP\EIOF[j1^_H\PVHQJFIC23_KGEI=4VBA:?SOB_V^R\H84WDC?4;0<_LK7=384WDC?6;><_LK7?7>16:UFE959>2]NN1>16:UFF979>2]NN1<18:UFF95=87<0[HL33?`8SWOSMVLB^^Zl;VPJP@YJGMOTMn5XRHVF[HICMVHo7Z\FTD]TMAROWHn0[_G[E^UJ@QNXJ?1\^ROLK79TVZEKC8:0[_QKAUC\GJSI\5:5=<5XR^FBPDYDG\D_0<>1109TVZBF\HUHCX@[<03=54=PZVNJXLQLOTLW84499:1\^RJNT@]@KPHS4891<3?>;VP\@DRFWJE^BY2>3?33?RTXLH^JSNAZNU>2:46<_[UOMYOPCNWMP949991\^RJNT@]@KPHS4:4:<6Y]_ECWEZEH]G^783??;VP\@DRFWJE^BY2:>028SWYCI]KTOB[AT=4=55=PZVNJXLQLOTLW828682]YSIO[A^ALQKR;07;;7Z\PD@VB[FIRF]62285XR^GM5a=_AECET VKB!2-5%US]K*;"<.NSBKJ1>^HZJS=7U][LH@4?]USWNDO;6V\T^T@Gg=_WJEYIRGAFN33?]YDG[OTECH@_MKPP3=_lkUBhk5Wdi]SvlkQm{ybcc??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmmg>gkefyShctx`8eikh{}Umyab9;cc`opvc3kkhgx~Pm`phaw5bf|hUhcx`{(6+g?agsiVidycz'8(f8`drfWje~by&6)e9geqgXkfex1>1119geqgXkfex1?<:1<4?adn|lxy=55kecwd[wbXxg~yS}bzs0]q858612nnnxiPre]sjqtXxex=R|311<2=>bbj|mT~iQnup\tist9Vx7=<0>9:fffpaXzmU{by|Ppmwp5Zt;9;4:n6jjbte\vaYwf}xT|a{|1^p?56<76830hhlzg^pg[uhszVzgy~?Pr=30:4>0:8``droVxoS}`{r^roqv7Xz5?5=55kecwd[wbXxg~yS}bzs0]q838602nnnxiPre]sjqtXxex=R|37?3;?ace}nUyhR~ats]shpu6W{632<64dd`vcZtcWyd~R~cur3\v9?99;1oio{h_sf\tkruW{6;2<=4dd`vcZtcWyd~R|311<27>bbj|mT~iQnup\v9766890hhlzg^pg[uhszVx7=?0>5:fffpaXzmU{by|Pr=30>586;2nnnxiPre]sjqtXz5;82<<4dd`vcZtcWyd~R|31?31?ace}nUyhR~ats]q8786:2nnnxiPre]sjqtXz595=?5kecwd[wbXxg~yS2;>008``droVxoS}`{r^p?1;753moiyjQ}d^rmpwYu4?4:>6jjbte\vaYwf}xT~191139gags`W{nT|cz}_s>;:443:fffpaXzmU{by|Pw=33:45078``droVxoS}`{r^u?56<76890hhlzg^pg[uhszV}7=>0>2:fffpaXzmU{by|Pw=3=57=cmklSjPpovq[r:56880hhlzg^pg[uhszV}7?3?=;egaqbYulVzexQx<5<26>bbj|mT~iQnup\s9399;1oio{h_sf\tkruW~6=2<<4dd`vcZtcWyd~Ry37?31?ace}nUyhR~ats]t8=86:2nnnxiPre]sjqtX535=55kecwd[rtXxg~yS}bzs0]t858612nnnxiPws]sjqtXxex=Ry311<2=>bbj|mT{Qnup\tist9V}7=<0>9:fffpaX{U{by|Ppmwp5Zq;9;4:n6jjbte\swYwf}xT|a{|1^u?56<76830hhlzg^uq[uhszVzgy~?Pw=30:4>0:8``droV}yS}`{r^roqv7X5?5=55kecwd[rtXxg~yS}bzs0]t838602nnnxiPws]sjqtXxex=Ry37?3;?ace}nU|~R~ats]shpu6W~632<64dd`vcZquWyd~R~cur3\s9?9911oio{h_vp\tkruWyf~?Qx<1<2=>bbj|mT{Qnup\tist:V}7==0>9:fffpaX{U{by|Ppmwp6Zq;984:56jjbte\swYwf}xT|a{|2^u?5786j2nnnxiPws]sjqtXxex>Ry31283:4?0:8``droV}yS}`{r^roqv4X5;5=55kecwd[rtXxg~yS}bzs3]t878602nnnxiPws]sjqtXxex>Ry33?3;?ace}nU|~R~ats]shpu5W~6?2<64dd`vcZquWyd~R~cur0\s939911oio{h_vp\tkruWyf~?Qx<7<2<>bbj|mT{Qnup\tist:V}7;3?7;egaqbYpzVzexQltq1[r:?6820hhlzg^uq[uhszVzgy~3:fffpaX{U{by|Pr=32:45bbj|mT{Qnup\v9746880hhlzg^uq[uhszVx7=3?=;egaqbYpzVzexQ}<3<26>bbj|mT{Qnup\v9599;1oio{h_vp\tkruW{6?2<<4dd`vcZquWyd~R|35?31?ace}nU|~R~ats]q8386:2nnnxiPws]sjqtXz5=5=?5kecwd[rtXxg~yS27>008``droV}yS}`{r^p?=;753moiyjQxr^rmpwYp494:?6jjbte\swYwf}xT{1??>018``droV}yS}`{r^u?5486;2nnnxiPws]sjqtX5;92<;4dd`vcZquWyd~Ry31283:456jjbte\swYwf}xT{1?1139gags`W~xT|cz}_v>1:442:fffpaX{U{by|Pw=7=57=cmklSz|Ppovq[r:16880hhlzg^uq[uhszV}7;3?=;egaqbYpzVzexQx<9<26>bbj|mT{Qnup\s9?9>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$84dhl+0,069gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb169gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<:?aoi4:;1<394dhl?74813mce0>09;ekm81813mce0809;ekm83813mce0:09;ekm8=813mce0408;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?c8`jss4:;1<364dnww8679?2ndyy2<>69gkpr;<7=0hb{{<4<4?air|5<5;6j`uu>4:2=cg|~74394dnww8<8?3lnbj?`hd49fiur~02lbjb?9kV01?coag8<`[Rhffn]dakcui}eyS{=P3^zppZ7592lbjbQheogqeqiuW9T?!.Losgdak&>&;c::6hffn]dakcui}eyS{=P3^cp0>`rde20bjmmuhng2`=ig{glic lnu`oo*abfViex heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>3:3`hhzdmnb#matcnh+bciWjd~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=31:24^pw2`=ig{glic lnu`oo*abfViex heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:27hhzdmnb#matcnh+bciWjd~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=34=ig{glic lnu`oo*abfViex heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>5:Zts>l1eccheo,`jqdkc&mnbRmats,dakYulViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\g|:06>;0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;?7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7439>;omqibci&jdnae gdl\gkru&noeSjPcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir050Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRmv<8<45>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=;=[wr0=2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]oqq:76VCEZR>80:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc2>0?53?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRv`r=32:26hhzdmnb#matcnh+bciWjd~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>1:3`7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSua}<8<51>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs494=:6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xnxb{<02=23=ig{glic lnu`oo*abfViex heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Qavsk|5;:2;84nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>26;013geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=>095:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8481=2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f0?095:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8681=2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f09095:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8081=2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f0;095:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8281=2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f05095:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8<81;2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T<;=4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^350>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX991768jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ75>=1eccheo,`jqdkc&mnbRmats,dakYulViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|dS<=93:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\635hhzdmnb#matcnh+bciWjd~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX0?90bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kfexR784:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?4;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<397;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>24;Yu|>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>6:8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}9766Vx;85aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRmv<00=3==ig{glic lnu`oo*abfViex heo]q`Zei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~4885Sz84:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?5;103geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:2R|{759mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8780?2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7>3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}959?>1eccheo,`jqdkc&mnbRmats,dakYulViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir0>0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1:1_sv40>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;=7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2:>^pw31=ig{glic lnu`oo*abfViex heo]q`Zei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~4?4<;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw36?]qp22::Zts?k1eccheo,`jqdkc&mnbRmats,dakYulViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vf~x1>1_HLU[5113geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7==086:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8479??1eccheo,`jqdkc&mnbRmats,dakYulViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vrd~1?=>648jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:6;7=27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|312<\vq123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=39:;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?6;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7?39:;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?0;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7939:;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?2;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7;39:;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?<;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7538m;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Qavsk|5:5:n5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;994=o6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:697k1eccheo,`jqdkc&mnbRmats,dakYulViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?5;0e3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=0=2g=ig{glic lnu`oo*abfViex heo]q`Zei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'[oxyaz33?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~9>k1eccheo,`jqdkc&mnbRmats,dakYulViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?=;0>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_14:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~h1eccheo,`jqdkc&mnbRmats,dakYulViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]263g3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_44:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~<27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[=0>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_8:5?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~? mle]q`Zei|{U{`x}=/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~4943;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=33:=g25;Yu|1=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw313<;e>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhszVzgy~366;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az878Xz}2=7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRmv<2<;=>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhszVzgy~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}929001eccheo,`jqdkc&mnbRmats,dakYulViexQltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2;>^pw<3=ig{glic lnu`oo*abfViex heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2574nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;Yu|1<0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw36?::?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~? mle]q`Zei|{U{`x}=/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~4?4T~y69;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az828?12dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu191_sv;2>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhszVzgy~;:Zts0?1eccheo,`jqdkc&mnbRmats,dakYulViexQltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot26>9;8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;17Uyx5j4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_mww858XAG\T<564nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8469011eccheo,`jqdkc&mnbRmats,dakYulViexQltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|310<;<>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhszVzgy~367;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv97461h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSua}<01=[wr??2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc2>>958jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4;43;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>0:=1"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:261=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSua}<7<;3>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhszVzgy~6f8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2?>6g8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>0?5f?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~? mle]q`Zei|{U{`x}=/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'[oxyaz310<4a>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhszVzgy~2:2b1:2b0:2b7:2b6:2b5:2b4:2b;:2b::2ehhzdmnb#matcnh+bciWjd~#ijn^pg[fhszVzgy~6a8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kfex1:17b9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~?!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d0808c:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxex>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~7:39l;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}6<2:m4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|525;n5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoSjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-`kphs404h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ70k2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\551d3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]252ehhzdmnb#matcnh+bciWjd~#ijn^pg[fhszVzgy~#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kfexR=8b:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxex>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T8:l4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V?>h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ10j2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\<2dhhzdmnb#matcnh+bciWjd~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=2=2c=ig{glic lnu`oo*abfViex heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>24;153geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8469W{~=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;:2:<4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=<0Pru4e?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRmv<00=37=ig{glic lnu`oo*abfViex heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>26;Yu|?o0bb|bgdl-gkredb%licQlnup-c`hX{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;97=:7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~484T~y8j;omqibci&jdnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir0?081:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1_sv5a>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=34=ig{glic lnu`oo*abfViex heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:Zts>l1eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\g|:36>;0bb|bgdl-gkredb%licQlnup-c`hX{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;<7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7939>;omqibci&jdnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir080Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRmv<7<45>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}919?81eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\g|:06Vx:h5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp632:?4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs743Q}t7g8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw39?52?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRmv<8<\vq123geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^nvp969W@D]S=9?;omqibci&jdnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vrd~1??>628jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSua}<03=35=ig{glic lnu`oo*abfViex heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?578082dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:6;7=87ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZ~hz5;82R|{6g9mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|31?4e?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRv`r=0=2c=ig{glic lnu`oo*abfViex heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?7;0a3geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv929>o1eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\|jt;=7hhzdmnb#matcnh+bciWjd~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs48;5:;5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=31:3038:;omqibci&jdnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?7;023geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7838:;omqibci&jdnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?1;023geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7:38:;omqibci&jdnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?3;023geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7438:;omqibci&jdnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?=;043geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U;:>5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_047?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY68?>0bb|bgdl-gkredb%licQlnup-c`hX{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kfexR?>659mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[441<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=>8<;omqibci&jdnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]126=ig{glic lnu`oo*abfViex heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-`kphsW:<87ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ;629mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[0043geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U=:>5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_640?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY?>:1eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|dS49;;omqibci&jdnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>3:23hhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;9;4T~y9;;omqibci&jdnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>2:21=0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1;1_sv40>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;>7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot29>^pw31=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~4>4<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw37?]qp222dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<<1779mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9746>30bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc2>3?]qp231:237:232:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>5:23;:23j1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?5681j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>2:3dhhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2<>7`8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f0909b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6>2;l4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4?4=n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:06?h0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8=81j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>::3?37;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T>;74nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX;?30bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\03?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}969?<1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir0<>1799mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8469W{~<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw310<4<>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;984T~y9:;omqibci&jdnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>26;1?3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:>3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}979?>1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir0<0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~?!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\g|:56>=0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1_sv40>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;;7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2<>^pw31=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}=/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~4=4<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw34?]qp224:Zts?=1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir05087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?<;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu171769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8<8Xz}=i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~T`xz30?]JJSY7??1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vrd~1??>648jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:697==7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|313<42>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4895;45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRv`r=30:Zts?<1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vrd~1?1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv949?<1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vrd~1=1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv929?<1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vrd~1;1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv909?<1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vrd~191749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9>9?<1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vrd~1716c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7<38l;omqibci&jdnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Qavsk|5;;2;m4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs48;5:n5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;9;4=o6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:6;7k1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?6;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=1=2g=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}=/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'[oxyaz34?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~?!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx1;16c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7:38m;omqibci&jdnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Qavsk|5=5:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;0701eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]32<=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}=/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ>6`9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:<;o4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9801eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]62<=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}=/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ9689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U<:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY?>01eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]:<3=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6;2594nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?558?i2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1??>^pw<2=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:=36n;omqibci&jdnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8479W{~3;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{Qlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=31:=g mle]tvZei|{U{`x}2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1889mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~>!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\g|:56Vx4;5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:=?13geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViexQltq0+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir08079:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxex?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}939W{~3:6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{Qlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=<<=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2R|{879mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~>!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\g|:06130bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw37?]qp=0hhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq535Sz7d:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxex?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]oqq:76VCEZR>78:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxex?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:687237ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRv`r=32:=>!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\|jt;9:43n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{Qlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>27;Yu|1=0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSua}<0<;3>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYg{692594nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq868??2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc2;>958jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4<43;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{Qlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>5:=1hhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xnxb{<1<4a>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xnxb{<02=3`=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=32:2c26;1b3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViexQltq0+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?5680l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8480l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8780l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8680l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8180l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8080l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8380l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8280l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8=80l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8<80k2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov?4;1c3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViexQltq0+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu>24;1c3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViexQltq0+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu>25;1c3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViexQltq0+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu>26;1c3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViexQltq0+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu>27;1d3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViexQltq0+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu>2:2ehhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz34?5`?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~> mle]tvZei|{U{`x}6a8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kfex1817b9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~>!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d0:08c:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxex?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~7439l;omqibci&jdnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}622:l4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V:i0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ77?j1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[470k2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\571d3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViexQltq0+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]272dhhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP36`8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kfexR:8b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxex?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T9:l4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V<h0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ>0j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur1-fibX{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\=7>1289mkwk`mg$m`obd/LqvfZrjxVzexQ}_ekebZe~48:5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^az8479:01eccheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRmv<00=6==ig{glic ilcnh+HurjV~f|R~ats]q[aoanVir0<0=8:llvhabf'lgnae Mrwa[qkwWyd~R|Pdhde[f;:7837ca}mfgm*cjedb%FxlPtlr\tkruW{UoekhPcx>0:7>3:8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcYdq525>55aosodak(adkf`#@}zb^vntZvi|{UySigif^az8<8512dd~`ijn/dofim(EziSyc_qlwvZtXl`lmSa{{<1<1e>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWe0<>12`9mkwk`mg$m`obd/LqvfZrjxVzexQ}_ekebZjr|5;:2?o4nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]oqq:6:78j7ca}mfgm*cjedb%FxlPtlr\tkruW{UoekhPltv?568512dd~`ijn/dofim(EziSyc_qlwvZtXl`lmSa{{<0<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWe0?0=9:llvhabf'lgnae Mrwa[qkwWyd~R|Pdhde[iss4:4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_mww818512dd~`ijn/dofim(EziSyc_qlwvZtXl`lmSa{{<4<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWe0;0=9:llvhabf'lgnae Mrwa[qkwWyd~R|Pdhde[iss4>4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_mww8=8512dd~`ijn/dofim(EziSyc_qlwvZtXl`lmSa{{<8<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey0=0=a:llvhabf'lgnae Mrwa[qkwWyd~R|Pdhde[}iu48:5>l5aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv9766;k0bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQwos>26;4f3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTtb|312<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey0<0=9:llvhabf'lgnae Mrwa[qkwWyd~R|Pdhde[}iu4;4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_ymq868512dd~`ijn/dofim(EziSyc_qlwvZtXl`lmSua}<5<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey080=9:llvhabf'lgnae Mrwa[qkwWyd~R|Pdhde[}iu4?4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_ymq828512dd~`ijn/dofim(EziSyc_qlwvZtXl`lmSua}<9<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey040=8:llvhabf'lgnae Mrwa[qkwWyd~RyPdhde[f;87827ca}mfgm*cjedb%FxlPtlr\tkruW~UoekhPcx>24;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTot2>1?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xkp6:>3<7;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\g|:66;20bb|bgdl-bidkc&GxyoQ{mq]sjqtXVnbjkQly=0=6==ig{glic ilcnh+HurjV~f|R~ats]t[aoanVir0>0=8:llvhabf'lgnae Mrwa[qkwWyd~RyPdhde[f;<7837ca}mfgm*cjedb%FxlPtlr\tkruW~UoekhPcx>6:7>4946``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_b{?<;4?3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTot26>3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYk}}6;2?o4nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]oqq:6878j7ca}mfgm*cjedb%FxlPtlr\tkruW~UoekhPltv?5485i2dd~`ijn/dofim(EziSyc_qlwvZqXl`lmSa{{<00=6d=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x1?<>3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYk}}6:2?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]oqq:56;30bb|bgdl-bidkc&GxyoQ{mq]sjqtXVnbjkQcuu>0:7?3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYk}}6>2?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]oqq:16;30bb|bgdl-bidkc&GxyoQ{mq]sjqtXVnbjkQcuu>4:7?3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYk}}622?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:76;k0bb|bgdl-bidkc&GxyoQ{mq]sjqtXVnbjkQwos>24;4f3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTtb|310<1e>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWqey0<<12`9mkwk`mg$m`obd/LqvfZrjxVzexQx_ekebZ~hz5;82?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:66;30bb|bgdl-bidkc&GxyoQ{mq]sjqtXVnbjkQwos>1:7?3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYg{6?2?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:26;30bb|bgdl-bidkc&GxyoQ{mq]sjqtXVnbjkQwos>5:7?3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYg{632?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:>68;0bb|bgdl-bidkc&D:<1038jjtjold%jalck.L26475038jjtjold%jalck.L22479038jjtjold%jalck.L14470:llvhabf'lgnae N933?kiuenoe"kbmlj-M=6>399mkwk`mg$m`obd/bnhijb(kq$yhu!Bxnp\tkruWniTtb|?013\V`urd};846``rlefj+`kjea$oaeboe-`|+tcp&GscQnup\cfYg{:;64nnpnc`h)nehgg"mcklmg+f~)zmr$Aua}_qlwvZadWqey<=>7_Sgpqir6;11eccheo,ehgjl'jf`abj cy,q`})JpfxT|cz}_fa\|jt7893T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#|kx.O{kwYwf}xTknQwos2355YUmzgx<=7;omqibci&ofi`f!lljol`*e&{ns#@v`r^rmpwY`kVrd~=>>1^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-va~(EqeyS}`{r^e`[}iu89;9S_k|umv261=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJV:986``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_006?kiuenoe"kbmlj-`hnkhl&is"jw/QLWVZDX998>7ca}mfgm*cjedb%h`fc`d.a{*wb'YD_^RLP1006?kiuenoe"kbmlj-`hnkhl&is"jw/QLWVZDX9;8>7ca}mfgm*cjedb%h`fc`d.a{*wb'YD_^RLP1207?kiuenoe"kbmlj-`hnkhl&is"jw/QLWVZDX:;>0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQ<259mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ25<2dd~`ijn/dofim(keafci!lx/pg|*VI\[UIS8<;;omqibci&ofi`f!lljol`*e&{ns#]@[R^@\27295aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^:10>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEW08j7ca}mfgm*cjedb%h`fc`d.a{*wb'YD_^RLPxnp34565j2dd~`ijn/dofim(keafci!lx/pg|*VI\[UISua}012357g?000a?kiuenoe"kbmlj-`hnkhl&is"jw/QLWVZDXpfx;<=?>2`9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;>?l4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw678;;9m6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4564:k1eccheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123774f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?0161f>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>;13c8jjtjold%jalck.aoohic'jr%~iv POVQ[GYg{:;<8l5aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^zlv567>;i0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos234376;91eccheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123247X[^:9n6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4561:;l0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos2343Yelm:9m6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4560:k1eccheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123344f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?01:1f>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>71348jjtjold%jalck.aoohic'jr%~iv povq[be;878<7ca}mfgm*cjedb%h`fc`d.a{*wb'yd~Ril<02=62=ig{glic ilcnh+fjlefn$ou }dy-sjqtXoj6:=3<8;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`8449:>1eccheo,ehgjl'jf`abj cy,q`})wf}xTkn2>3?05?kiuenoe"kbmlj-`hnkhl&is"jw/qlwvZad4849:6``rlefj+`kjea$oaeboe-`|+tcp&zexQhc=0=63=ig{glic ilcnh+fjlefn$ou }dy-sjqtXoj682?84nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa?0;413geyajka.gnahn)ddbgdh"mw.sf{+uhszVmh080=6:llvhabf'lgnae cminka)dp'xot"~ats]dg909:?1eccheo,ehgjl'jf`abj cy,q`})wf}xTkn28>348jjtjold%jalck.aoohic'jr%~iv povq[be;078=7ca}mfgm*cjedb%h`fc`d.a{*wb'yd~Ril<8<11>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kV:996``rlefj+`kjea$oaeboe-`|+tcp&zexQhc^312>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kV;;>;5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]2570hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr90<>12`9mkwk`mg$m`obd/bnhijb(kq$yhu!nup\cfY:5;:2?o4nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|7:6:78j7ca}mfgm*cjedb%h`fc`d.a{*wb'yd~Ril_y0?568512dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=<0<1=>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr90?0=9:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~54:4956``rlefj+`kjea$oaeboe-`|+tcp&zexQhc^z1818512dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=<4<1=>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr90;0=9:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~54>4956``rlefj+`kjea$oaeboe-`|+tcp&zexQhc^z18=8512dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=<8<1<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr9S=<7;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[}4X9;30bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]247?13;8jjtjold%jalck.aoohic'jr%~iv povq[beXp;U:>?74nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|7Y6;;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]16==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>R==8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~5W=837ca}mfgm*cjedb%h`fc`d.a{*wb'yd~Ril_y0\17>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{8469:01eccheo,ehgjl'jf`abj cy,q`})wf}xTknQw<03=6<=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs0<<1289mkwk`mg$m`obd/bnhijb(kq$yhu!nup\cfY4895>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{848502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv32?0;?kiuenoe"kbmlj-`hnkhl&is"jw/qlwvZadWq682?64nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|929:11eccheo,ehgjl'jf`abj cy,q`})wf}xTknQw<4<1<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr7:3<7;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[}:06;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx=:=6==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs040=7:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~X8;=0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx^31<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVrT==<7;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[}Y69;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx^316==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS<==7:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~X:;=0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx^113>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVrT8?94nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|Z35?2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRvP6358jjtjold%jalck.aoohic'jr%~iv povq[beXpV=9;6``rlefj+`kjea$oaeboe-`|+tcp&zexQhc^z\<71hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVrd~=>?63`8jjtjold%jalck.aoohic'jr%~iv povq[beXpfx;<=9=b:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~hz9:;4?l4nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|jt78939n6``rlefj+`kjea$oaeboe-`|+tcp&zexQhc^zlv5668;h0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPxnp34475j2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv`r122666:4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y7Wqey<=>?_RU370=ig{glic ilcnh+fjlefn$ou }dy-wiuYqien:R>Pxnp3456Xjmn9?=5aosodak(adkf`#nbdmnf,g}(ulq%a}Qyamwf2Z7Xpfx;<=><1:llvhabf'lgnae cminka)dp'xot"zbp^tbhpc1W8Usc>?01304>hhzdmnb#hcbmi,gimjgm%ht#|kx.vntZpfd|o=S?Qwos2345563geyajka.gnahn)ddbgdh"mw.sf{+qkwWkgyh8P2^zlv567889;7ca}mfgm*cjedb%h`fc`d.a{*wb'}g{S{ocud4\7Z~hz9:;<>:4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y4Wqey<=>?_RU37==ig{glic ilcnh+fjlefn$ou xry-N|jtXxg~ySjmPxnp3456XZly~`y?<8:llvhabf'lgnae cminka)dp'}yt"Cwos]sjqtXojUsc>?00]Qavsk|8937ca}mfgm*cjedb%h`fc`d.a{*rt'Drd~R~ats]dgZ~hz9:;>R\jstnw56>399mkwk`mg$m`obd/bnhijb(kq$|~u!Bxnp\tkruWniTtb|?016\V`urd};846``rlefj+`kjea$oaeboe-`|+qup&GscQnup\cfYg{:;<8Q]erwop45?3geyajka.gnahn)ddbgdh"mw.vp{+H~hzVzexQhc^zlv567>VXnxb{12:8jjtjold%jalck.aoohic'jr%{v Mymq[uhszVmhSua}0124[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(pzq%Ftb|Ppovq[beXpfx;<=6PRdqvhq7402dd~`ijn/dofim(keafci!lx/uq|*Kg{U{by|Pgb]{kw6780UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZvi|{UloRv`r1224ZTb{|f=>64nnpnc`h)nehgg"mcklmg+f~){r$Aua}_qlwvZadWqey<=?>_Sgpqir6;11eccheo,ehgjl'jf`abj cy,tv})JpfxT|cz}_fa\|jt7888T^h}zlu310>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEW98?7ca}mfgm*cjedb%h`fc`d.a{*rt'YD_^RLP1378jjtjold%jalck.aoohic'jr%{v POVQ[GY68;?0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQ>1378jjtjold%jalck.aoohic'jr%{v POVQ[GY6:;?0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQ>3368jjtjold%jalck.aoohic'jr%{v POVQ[GY5:=1eccheo,ehgjl'jf`abj cy,tv})WF]XTNR==4:llvhabf'lgnae cminka)dp'}yt"^ATS]A[1433geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT9?:4nnpnc`h)nehgg"mcklmg+f~){r$\CZ]_C]561=ig{glic ilcnh+fjlefn$ou xry-SJQTXJV=986``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_907?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDX1;k0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQwos23454e3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?01226d=ig{glic ilcnh+fjlefn$ou xry-SJQTXJVrd~=>?13`8jjtjold%jalck.aoohic'jr%{v POVQ[GYg{:;<o5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567:88j7ca}mfgm*cjedb%h`fc`d.a{*rt'YD_^RLPxnp34555j2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012067g?050a?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<=:>2`9mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ~hz9:;9?l4nnpnc`h)nehgg"mcklmg+f~){r$\CZ]_C]{kw678<;9m6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4561:j1eccheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r123247482dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012554YT_98i7ca}mfgm*cjedb%h`fc`d.a{*rt'YD_^RLPxnp34505:o1eccheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r1232Zdcl98j7ca}mfgm*cjedb%h`fc`d.a{*rt'YD_^RLPxnp34515j2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012457g?090a?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<=6>279mkwk`mg$m`obd/bnhijb(kq$|~u!nup\cf:76;=0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjm311<13>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k5;:2?94nnpnc`h)nehgg"mcklmg+f~){r$|cz}_fa?5785?2dd~`ijn/dofim(keafci!lx/uq|*vi|{Ulo1?<>348jjtjold%jalck.aoohic'jr%{v povq[be;978=7ca}mfgm*cjedb%h`fc`d.a{*rt'yd~Ril<3<12>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k595>;5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>7:702dd~`ijn/dofim(keafci!lx/uq|*vi|{Ulo191279mkwk`mg$m`obd/bnhijb(kq$|~u!nup\cf:?6;<0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjm39?06?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadW98>7ca}mfgm*cjedb%h`fc`d.a{*rt'yd~Ril_005?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadW8:9:6``rlefj+`kjea$oaeboe-`|+qup&zexQhc^3263=ig{glic ilcnh+fjlefn$ou xry-sjqtXojU:>?84nnpnc`h)nehgg"mcklmg+f~){r$|cz}_fa\56423geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhS?<:;omqibci&ofi`f!lljol`*e&~xs#}`{r^e`[6423geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhS9<:;omqibci&ofi`f!lljol`*e&~xs#}`{r^e`[0423geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhS;<:;omqibci&ofi`f!lljol`*e&~xs#}`{r^e`[2423geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhS5<:;omqibci&ofi`f!lljol`*e&~xs#}`{r^e`[<4>3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<30?0b?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq87==0=a:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~548;5>l5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{69756;k0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3>27;4>3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<31?0:?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq87>3<6;omqibci&ofi`f!lljol`*e&~xs#}`{r^e`[}4;;7827ca}mfgm*cjedb%h`fc`d.a{*rt'yd~Ril_y0?0;4>3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<35?0:?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq87:3<6;omqibci&ofi`f!lljol`*e&~xs#}`{r^e`[}4;?7827ca}mfgm*cjedb%h`fc`d.a{*rt'yd~Ril_y0?<;4>3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<39?0;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq8TR?>289mkwk`mg$m`obd/bnhijb(kq$|~u!nup\cfY:V;9>45aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{6Z74:11eccheo,ehgjl'jf`abj cy,tv})wf}xTknQw2^01<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr9S><7;omqibci&ofi`f!lljol`*e&~xs#}`{r^e`[}4X<;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3]66==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>R8=8:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~5W>837ca}mfgm*cjedb%h`fc`d.a{*rt'yd~Ril_y0\<7>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr7=?0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~;9:4946``rlefj+`kjea$oaeboe-`|+qup&zexQhc^z?5;4?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu2=>3:8jjtjold%jalck.aoohic'jr%{v povq[beXp595>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{818502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv35?0;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq6=2?64nnpnc`h)nehgg"mcklmg+f~){r$|cz}_fa\|919:11eccheo,ehgjl'jf`abj cy,tv})wf}xTknQw<9<1<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr753<8;omqibci&ofi`f!lljol`*e&~xs#}`{r^e`[}Y7:>1eccheo,ehgjl'jf`abj cy,tv})wf}xTknQw_00;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqU:hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrT=><8;omqibci&ofi`f!lljol`*e&~xs#}`{r^e`[}Y5:>1eccheo,ehgjl'jf`abj cy,tv})wf}xTknQw_204?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqU?>:5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{[0403geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSuQ9269mkwk`mg$m`obd/bnhijb(kq$|~u!nup\cfYW>8<7ca}mfgm*cjedb%h`fc`d.a{*rt'yd~Ril_y];62=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsS4o5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{kw67888i7ca}mfgm*cjedb%h`fc`d.a{*rt'yd~Ril_ymq4565:k1eccheo,ehgjl'jf`abj cy,tv})wf}xTknQwos23464e3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSua}01276g=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsc>?040a?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqey<=>92c9mkwk`mg$m`obd/bnhijb(kq$|~u!nup\cfYg{:;<:o5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{kw67808i7ca}mfgm*cjedb%h`fc`d.a{*rt'yd~Ril_ymq4577:k1eccheo,ehgjl'jf`abj cy,tv})wf}xTknQwos23544e3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSua}013175=ig{glic ilcnh+fjlefn$ou xry-wiuYqien:R>Pxnp34564:2dd~`ijn/dofim(keafci!lx/uq|*rjxV|j`xk9_1]{kw67898:?95aosodak(adkf`#nbdmnf,g}(pzq%a}Qyamwf2Z6Xpfx;<=>PSV201>hhzdmnb#hcbmi,gimjgm%ht#y}x.vntZpfd|o=S=Qwos2345Yelm88<6``rlefj+`kjea$oaeboe-`|+qup&~f|Rxnltg5[4Yg{:;<==>;omqibci&ofi`f!lljol`*e&~xs#yc_wcoq`0X9Vrd~=>?0013?kiuenoe"kbmlj-`hnkhl&is"z|w/uos[sgk}lRv`r123467PSV22b>hhzdmnb#hcbmi,vaYci}kTob{at^k\44`4nnpnc`h)nehgg"|k_ecweZeh}g~TeR?=219mkwk`mg$m`obd/sf\`drfWje~byQf_012b>hhzdmnb#hcbmi,vaYci}kTob{at^k\64`f:llvhabf'lgnae re]geqgXkfexRgP80d8jjtjold%jalck.pg[agsiVidyczPi^;14>hhzdmnb#hcbmi,vutbefn$^LCPRE]FJ4413geyajka.gnahn)s`{gdh"ojlnejg*CJX]STecx=d:llvhabf'lgnae tipnka)fmeelen!JMQVZ[lhq9VCEZR>=b:llvhabf'lgnae tipnka)fmeelen!nllmppZcjx}sTe><4nnpnc`h)nehgg"zgrlmg+dckgnch#lbborv\ahvsqVcTc>?0110?kiuenoe"kbmlj-wlwkhl&kn`bifc.coijusWlg{xtQf_np34566:m1eccheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp969:m1eccheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp979:m1eccheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp949:m1eccheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp959:m1eccheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp929:m1eccheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp939;l1eccheo,ehgjl'}byabj adnlcle(kho:#hlarg-fla)eezP

>358jjtjold%jalck.vkvhic'hogcjgl/bcf5*p64;49;6``rlefj+`kjea$xe|boe-baii`aj%hmh? v0>0:71<7<1b>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`7(~8U;Sl`k012357`_2]bja6789;9j6``rlefj+`kjea$xe|boe-baii`aj%hmh? v0]7[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+dckgnch#noj1.t2[0Yffm:;<=?=f:llvhabf'lgnae tipnka)fmeelen!lad3,r4Y1Whdo<=>?13f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2?>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2>>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2=>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2<>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2;>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2:>2g8jjtjold%jalck.vkvhic'hogcjgl/bcf6*cvkhyn"ogh.lns_5[)nz~%akg}su1a?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba7)byjkxi#lfg/oot^7Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+`wdizo%ndi!mmrX6X(jam$fot=m;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-fufgtm'hbk#ccpZ1^*hoc&dir?o5aosodak(adkf`#yf}mnf,e`jho`i$olk=/ds`evc)j`m%aa~T4\,nma(jkp9i7ca}mfgm*cjedb%dc`d.cfhjank&iji?!jqbcpa+dno'gg|V;R.lkg*he~;k1eccheo,ehgjl'}byabj adnlcle(kho9#hlarg-fla)eezP:P bie,ng|403geyajka.gnahn)s`{gdh"ojlnejg*efm;%}=1>1269mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+s7;978<7ca}mfgm*cjedb%dc`d.cfhjank&iji?!y1=0=62=ig{glic ilcnh+qnuefn$mhb`gha,gdc5';7?3<8;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-u5929:>1eccheo,ehgjl'}byabj adnlcle(kho9#{?35?04?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba7)q95<5>k5aosodak(adkf`#yf}mnf,e`jho`i$olk=/w3\4Zgil9:;<<>2g9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+s7X:Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba7)q9V9Tmcj?01226c=ig{glic ilcnh+qnuefn$mhb`gha,gdc5';T8Road123444a3geyajka.gnahn)s`{gdh"ojlnejg*efm;%}=R;Paof34566:o1eccheo,ehgjl'}byabj adnlcle(kho9#{?P6^cm`567888>7ca}mfgm*cjedb%dc`d.cfhjank&ida}Qne006?kiuenoe"kbmlj-wlwkhl&kn`bifc.aliuYfm;8>7ca}mfgm*cjedb%dc`d.cehjank&L^@AQfnw0`?kiuenoe"kbmlj-wlwkhl&km`bifc.DVHIYnf;TECXP03c8jjtjold%jalck.vkvhic'hlgcjgl/`nnkvrXn|fgSd=>;omqibci&ofi`f!{hsol`*gadfmbo"ocmnqw[cskdVcTc>?0111?kiuenoe"kbmlj-wlwkhl&km`bifc.coijusWog`RgPos234575l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8585l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8485l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8785l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8685l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8185l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8084m2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$i|mnsd,amb(jdyQ;Q#h|t/oemwus;k1eccheo,ehgjl'}byabj agnlcle(khl:#hlarg-fla)eezP=P bie,ng|5e3geyajka.gnahn)s`{gdh"oilnejg*efn8%n}no|e/`jc+kkxR8V"`gk.laz7g=ig{glic ilcnh+qnuefn$mkb`gha,gd`6'l{hm~k!bhe-iiv\;T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)byjkxi#lfg/oot^2Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(iofdkdm c`d2+`wdizo%ndi!mmrX1X(jam$fot=m;omqibci&ofi`f!{hsol`*gadfmbo"mnf0-fufgtm'hbk#ccpZ4^*hoc&dir>:5aosodak(adkf`#yf}mnf,ecjho`i$olh>/w3?4;403geyajka.gnahn)s`{gdh"oilnejg*efn8%}=1?1269mkwk`mg$m`obd/ujqijb(iofdkdm c`d2+s7;:78<7ca}mfgm*cjedb%dc`d.cehjank&ijj1eccheo,ehgjl'}byabj agnlcle(khl:#{?36?0e?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)q9V:Tmcj?01226c=ig{glic ilcnh+qnuefn$mkb`gha,gd`6';T=Road123444a3geyajka.gnahn)s`{gdh"oilnejg*efn8%}=Rk5aosodak(adkf`#yf}mnf,ecjho`i$olh>/w3\1Zgil9:;<<>2e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1>12e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1?12e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1<12e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1=12e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1:12e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1;13d9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+`wdizo%ndi!mmrX4X(a{}$fjd||t2`8jjtjold%jalck.vkvhic'hlgcjgl/bce6*cvkhyn"ogh.lns_4[)e`n%anwl4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi2.grgdub&kcl"`b[2_-ilb)ejs8n6``rlefj+`kjea$xe|boe-bbii`aj%hmk< epabw`(ean$f`}U;]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm>"k~c`qf*go`&df{W8S!mhf-if4j2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$i|mnsd,amb(jdyQ=Q#cfd/o`}71"x><3<13>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(~8682?94nnpnc`h)nehgg"zgrlmg+d`kgnch#noi2.t28185?2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$z<2:>358jjtjold%jalck.vkvhic'hlgcjgl/bce6*p64?49j6``rlefj+`kjea$xe|boe-bbii`aj%hmk< v0]3[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi2.t2[4Yffm:;<=?=f:llvhabf'lgnae tipnka)fneelen!lag0,r4Y5Whdo<=>?13d8jjtjold%jalck.vkvhic'hlgcjgl/bce6*p6W:Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(~8U?Sl`k012357`l5aosodak(adkf`#yf}mnf,aii`aj%h="mnrs{maq:76;k0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%hm|vndv?5;4f3geyajka.gnahn)s`{gdh"kcofk`+f7(khxyuck{<3<1e>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+fguzpdnx1=12`9mkwk`mg$m`obd/ujqijb(meelen!l1.abvwim}6?2?o4nnpnc`h)nehgg"zgrlmg+`jho`i$ohhzdmnb#hcbmi,pmtjgm%n`bifc.a2+`wdizo%ndi!mmrX6X(jam$fot=7;omqibci&ofi`f!{hsol`*ckgnch#n? epabw`(ean$f`}U<]/oj`+kdq:20bb|bgdl-bidkc&~c~`ak/dnlcle(k8%n}no|e/`jc+kkxR>V"`gk.laz7==ig{glic ilcnh+qnuefn$iaahib-`5*cvkhyn"ogh.lns_0[)e`n%anw<8:llvhabf'lgnae tipnka)bdfmbo"m>/ds`evc)j`m%aa~T6\,nma(jkp8?7ca}mfgm*cjedb%dc`d.gokbod'j;$z<2?>368jjtjold%jalck.vkvhic'lfdkdm c0-u5979:=1eccheo,ehgjl'}byabj emmdmf)d9&|:0?0=4:llvhabf'lgnae tipnka)bdfmbo"m>/w3?7;433geyajka.gnahn)s`{gdh"kcofk`+f7(~86?2?:4nnpnc`h)nehgg"zgrlmg+`jho`i$o_`lg45679;i0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%}=RQnne234575k2dd~`ijn/dofim(|axfci!jlnejg*e6';T8Road123444d3geyajka.gnahn)s`{gdh"kcofk`+f7(~8U>Sl`k012357e3c8jjtjold%jalck.vkvhic'lfdkdm c3-`ewt~fl~7=37:7gP bie,ng|5?3geyajka.gnahn)s`{gdh"kcofk`+f4(mxijh mif,nhu]4U'gbh#cly2:8jjtjold%jalck.vkvhic'lfdkdm c3-fufgtm'hbk#ccpZ6^*hoc&dir?55aosodak(adkf`#yf}mnf,aii`aj%h>"k~c`qf*go`&df{W8S!mhf-if402dd~`ijn/dofim(|axfci!jlnejg*e5'l{hm~k!bhe-iiv\>T$fei bcx07?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,r4:76;>0bb|bgdl-bidkc&~c~`ak/dnlcle(k;%}=1?1259mkwk`mg$m`obd/ujqijb(meelen!l2.t28785<2dd~`ijn/dofim(|axfci!jlnejg*e5';7?3<;;omqibci&ofi`f!{hsol`*ckgnch#n< v0>7:7295aosodak(adkf`#yf}mnf,aii`aj%h>"x><7<1g>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7X8Vkeh=>?000`?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,r4Y6Whdo<=>?13a8jjtjold%jalck.vkvhic'lfdkdm c3-u5Z4Xign;<=>>2b9mkwk`mg$m`obd/ujqijb(meelen!l2.t2[6Yffm:;<=?=c:llvhabf'lgnae tipnka)bdfmbo"m=/w3\0Zgil9:;<<95aosodak(adkf`#yf}mnf,aii`aj%hc`~>otv10>hhzdmnb#hcbmi,pmtjgm%n`bifc.aliu4h}}8<7ca}mfgm*cjedb%dc`d.dokbod'O_G@R@@NSGD6d=ig{glic ilcnh+qnuefn$jaahib-EQIJXFFDYIJQf1348jjtjold%jalck.vkvhic'ofdkdm R@O\BPJKW`8o7ca}mfgm*cjedb%dc`d.dokbod'jef|R.gqw*h`nzz~8n6``rlefj+`kjea$xe|boe-ehjank&ida}? epabw`(ean$f`}U>]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="k~c`qf*go`&df{W?S!mhf-if4j2dd~`ijn/dofim(|axfci!ilnejg*ehey;$i|mnsd,amb(jdyQ8Q#cfd/o`}6dhhzdmnb#hcbmi,pmtjgm%m`bifc.aliu7(mxijh mif,nhu]2U'gbh#cly2`8jjtjold%jalck.vkvhic'ofdkdm cnos5*cvkhyn"ogh.lns_3[)e`n%anw=7:llvhabf'lgnae tipnka)adfmbo"m`mq3,r4:76;=0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="x><0<13>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu7(~8692?94nnpnc`h)nehgg"zgrlmg+cjho`i$obc1.t28685?2dd~`ijn/dofim(|axfci!ilnejg*ehey;$z<2;>358jjtjold%jalck.vkvhic'ofdkdm cnos5*p64<49;6``rlefj+`kjea$xe|boe-ehjank&ida}? v0>5:7`_`lg45679;l0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="x>_3]bja6789;9j6``rlefj+`kjea$xe|boe-ehjank&ida}? v0]0[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+cjho`i$obc1.t2[1Yffm:;<=?=f:llvhabf'lgnae tipnka)adfmbo"m`mq3,r4Y2Whdo<=>?13d8jjtjold%jalck.vkvhic'ofdkdm cnos5*p6W?Ujbi>?0131`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<1<1`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<0<1`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<3<1`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<2<1`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<5<1`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<4<0a>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(mxijh mif,nhu]7U'lxx#ciisqw7g=ig{glic ilcnh+qnuefn$jaahib-`khv5'l{hm~k!bhe-iiv\9T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt7)byjkxi#lfg/oot^4Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(neelen!lolr1+`wdizo%ndi!mmrX7X(jam$fot=m;omqibci&ofi`f!{hsol`*`kgnch#nabp3-fufgtm'hbk#ccpZ6^*hoc&dir?o5aosodak(adkf`#yf}mnf,bii`aj%hc`~=/ds`evc)j`m%aa~T5\,nma(jkp9i7ca}mfgm*cjedb%dc`d.dokbod'jef|?!jqbcpa+dno'gg|V8R.lkg*he~:>1eccheo,ehgjl'}byabj fmmdmf)dgdz9#{?30?04?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt7)q95;5>:5aosodak(adkf`#yf}mnf,bii`aj%hc`~=/w3?6;403geyajka.gnahn)s`{gdh"hcofk`+fijx;%}=1=1269mkwk`mg$m`obd/ujqijb(neelen!lolr1+s7;<78<7ca}mfgm*cjedb%dc`d.dokbod'jef|?!y1=7=62=ig{glic ilcnh+qnuefn$jaahib-`khv5';7:3>2g9mkwk`mg$m`obd/ujqijb(neelen!lolr1+s7X9Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt7)q9V8Tmcj?01226c=ig{glic ilcnh+qnuefn$jaahib-`khv5';T?Road123444a3geyajka.gnahn)s`{gdh"hcofk`+fijx;%}=R:Paof34566:o1eccheo,ehgjl'}byabj fmmdmf)dgdz9#{?P5^cm`567888m7ca}mfgm*cjedb%dc`d.dokbod'jef|?!y1^4\ekb789::>;5aosodak(adkf`#yf}mnf,bii`aj%hc`~Pftno570338jjtjold%jalck.vntZvi|{UySigif=33:77328jjtjold%jalck.vntZvi|{UySigif=3=65=ig{glic ilcnh+qkwWyd~R|Pdhde878582dd~`ijn/dofim(|dzT|cz}_s]gmc`;;78;7ca}mfgm*cjedb%a}Qnup\vZbnno6?2?>4nnpnc`h)nehgg"zbp^rmpwYuWmcmj1;1219mkwk`mg$m`obd/uos[uhszVxThdhi<7<14>hhzdmnb#hcbmi,phvXxg~ySQkigd?3;473geyajka.gnahn)seyU{by|Pr^fjbc:?6;:0bb|bgdl-bidkc&~f|R~ats]q[aoan535>=5aosodak(adkf`#yc_qlwvZqXl`lm0=0=1:llvhabf'lgnae tlr\tkruW~Uoekh311<15>hhzdmnb#hcbmi,phvXxg~ySzQkigd?548592dd~`ijn/dofim(|dzT|cz}_v]gmc`;9;49=6``rlefj+`kjea$x`~Ppovq[rYcaol7=>0=0:llvhabf'lgnae tlr\tkruW~Uoekh31?03?kiuenoe"kbmlj-wiuYwf}xT{Rjffg>1:76328jjtjold%jalck.vntZvi|{U|Sigif=5=65=ig{glic ilcnh+qkwWyd~RyPdhde8=8582dd~`ijn/dofim(|dzT|cz}_v]gmc`;178;7ca}mfgm*cjedb%||kboe-QEHYPZVOE=>f:llvhabf'lgnae ws]geqgXkfexRgP1328jjtjold%jalck.uq[agsiVidyczPi^3365=ig{glic ilcnh+rtXlh~jSnaznu]j[47582dd~`ijn/dofim({UomyoPcnwmpZoX9;8;7ca}mfgm*cjedb%|~Rjnt`]`kphsW`U:?f:llvhabf'lgnae ws]geqgXkfexRgP30d8jjtjold%jalck.uq[agsiVidyczPi^62b>hhzdmnb#hcbmi,swYci}kTob{at^k\14`hhzdmnb#gabmi,vaYu{}UbbyQ?1b9mkwk`mg$bbobd/sf\vvrXag~T8:llvhabf'cenae re]qwqYumn;<7ca}mfgm*lhedb%|~R||t^da5==ig{glic fncnh+rtXzz~T~hi95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| bhlgafYf&kcehhmPioqw[d)bffS=Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagySl!jnnww[4Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| bhlgafYf&kcehhmPioqw[d)bffS?Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagySl!jnnww[6Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| bhlgafYf&kcehhmPioqw[d)bffS9Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagySl!jnnww[0Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| bhlgafYf&kcehhmPioqw[d)bffS;Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagySl!jnnww[2Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| bhlgafYe&kcehhmPioqw[g)bffS=Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagySo!jnnww[4Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| bhlgafYe&kcehhmPioqw[g)bffS?Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagySo!jnnww[6Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| bhlgafYe&kcehhmPioqw[g)bffS9Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagySo!jnnww[0Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| bhlgafYe&kcehhmPioqw[g)bffS;Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagySo!jnnww[2Yj}q:;<=?;a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| eoc\ewtfq5:58l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:66=k0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&oemRo}r`{?6;2f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~ov<2<7e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,akgXi{xju1:14`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dlb[dtuip6>29o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"kaa^cqvd;>7>j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nblQnrscz8283i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mghTm|ny=2=0d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`heWhxymt2>>5c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmfZguzhs7>3:n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!jnc]bvwg~4:4?m6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}9296:1g:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}qU9Sk8P117e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS?Qi6^321c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ=_g4\573a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~XlfS`{w_3]e2Z74=o1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X9=?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V;>9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Woj6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z4Xn?U9?8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S?::f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q=54d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_346b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR2n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,IhsWme~xRczx^0\b3Y51V9;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5WoRh9_87`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS>Qi54f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:14g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:117f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS>Qi5036a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4311`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ<_g7270ch6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z5Xn<8>i6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z5Xn<8;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?9=8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>>?;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW:Um9?=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8<;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}qU8Sk;=54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:277f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS>Qi5356a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf40;1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ<_g71=0b2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,IhsWme~xRczx^1\b0?2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,IhsWme~xRczx^1\b0Y7=l1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X9j6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z5XnS<<:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q>34d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:_066b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]210`j6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z5XnS?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW:Um9RQi5^011c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ<_g7\663a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~XlfS`{w_2]e1Z43=o1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X::;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW:Um9R<75g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}qU8Sk;P287f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS>Qi5^16b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]040cm6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z26=k1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7553e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~XlfS`{w_5311g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ;127a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS9?;5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}qU?=8;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW=;=9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y39>?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[17?=k1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]75<3f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~XlfS`{w_506f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:=04`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8??:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV>9?8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&GfyuQkotv\ip~X<;>>n6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z25?2j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,IhsWme~xRczx^61=0g>m6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z22=h1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]720g29n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?>h6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z2Xn<;>i6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z2Xn<;;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?:=8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&GfyuQkotv\ip~X=?;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW=Um9<=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8?;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}qU?Sk;>54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:177f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS9Qi5056a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf43;1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ;_g72=0bi6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z2Xn<8=9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?9;8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&GfyuQkotv\ip~X>5;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW=Um9?7:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8=?5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}qU?Sk;<14f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:44f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:54f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:64f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:74f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:84f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:94g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_17f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS9Qi5^36b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]240`j6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z2XnS<;:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q>64d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_056b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]2<0`?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW=Um9R<<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}qU?Sk;P257e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS9Qi5^061c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ;_g7\633a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~XlfS`{w_5]e1Z40=o1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X:1?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V829h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T?8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&GfyuQkotv\ip~XS>>:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}qU?Sk;P54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_77f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS9Qi5^56a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4];1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ;_g7\=0dhhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;>14`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9<<:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV?:?8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&GfyuQkotv\ip~X=8>>n6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z36=hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;>94c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9?;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW<8;9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2:8?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[043=k1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6603e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~XlfS`{w_4051g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ:267a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS8<75c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}qU>>4;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW<9>n6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z348hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;<44`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9>;:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV?8:8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&GfyuQkotv\ip~X=:=>n6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z3405c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}qU>8?;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW<>89o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y298l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&GfyuQkotv\ip~X=<<>n6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z32?2j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,IhsWme~xRczx^76=0g:<;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW<<99o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2>:?j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[012i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,IhsWme~xRczx^7;1d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ:94c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:<;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW?;;9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y19;?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[374=k1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5513e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~XlfS`{w_7361g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ9177a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWdsS;?85c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}qU==5;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW?;29l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1:hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8=44`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:?9:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$A`{w_emvpZkrpV<948l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&GfyuQkotv\ip~X>;3>m6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z04=k1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5753e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~XlfS`{w_7121d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}UfyuQ944c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:8;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hsW?<>m6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(EdsSiazt^ov|Z00=h1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5<0ghhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L N0220`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/O3361cn7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I988?i6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8;88h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;:89k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D:=8:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E=<8;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B85g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>186g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A136f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1327a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTn#L N0020`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/O3161c=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&bjRczx^`-F*H6:>>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I9;2?i6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8828i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;88i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;?8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;>8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;=8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;<8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;38i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;28i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8;8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8:8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8:=9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D9>9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D9?9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D989j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D999j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D9:9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D9;9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D949j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D959j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D8<9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D8=<:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E??:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E?>:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E?9:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E?8:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E?;:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E?::k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E?5:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E?4:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E8=:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E8;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B8?>4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#C;=4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#C;<4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#C;;4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#C;:4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#C;94e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#C;84e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#C;74e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#C;64b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#C8;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B;>;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B;?;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B;<;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B;=;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B;:;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B;;;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B;8;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B;9;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B;6;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B;7;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xj'H$B::k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E;=:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E;<:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E;?:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E;>:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E;9:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E;8:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E;;:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E;::k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E;5:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E;4:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%E49j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D3<9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D3=9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D3>9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D3?9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D389j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D399j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D3:9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D3;9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D349j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D359m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"fns^ov|Zd)J&D28i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3;8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3?8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3>8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3=8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3<8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G338i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G32985aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'zogS}ge=2=10=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/rgo[uowm5;5985aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'zogS}ge=0=11=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/rgo[uowmV:>86``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D({lfT|d~j_077?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!|em]smucX:=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`249690:1e0=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??<4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn<>36?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i==28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:<1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;:0=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj8;7=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre986929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd695958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_emvpg764=4?o6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva54:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`259192:1e2=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?=<2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn<<34?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?2:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:>1814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;90:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj88743:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre9:6;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd6;5;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_emvpg744;4?o6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf45;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva56:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`27939;:1e4=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?;<0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn<:32?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=92<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:81:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj8>7:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre9=6<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd6<5258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_emvpg72494?o6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf43;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva50:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`219595:1e5=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?:<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn<830?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=;2>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h::1<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;=0>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj8<783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre9?6>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd6>5<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_emvpg714>4?o6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf40;07>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva52:76=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`239797:1e7=7=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?8<7<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn<937?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=:27>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:41>14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;30<0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj827>3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre916829m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd605>58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_emvpg7?4<4?o6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4>;>7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva5=:06=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`2<9>91:1e9=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?6<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn<735?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=429>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:51914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;2050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj;:7<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre:96:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd585858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_emvpg474:4?o6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf76;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva65:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`149093:1e<3<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn??33?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i><2;>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9=1;14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8:0;0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj;;7;3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre:86329m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd5:5:58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_emvpg45484?o6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf74;:7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva67:46=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`169294:1ehhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn?=31?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>>2=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9?1=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k88090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj;9793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre::6=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd5;5=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_emvpg44414?o6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf72;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva61:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`109496:1ehhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn?:38?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>82?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h991?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8>0?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj;?7?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre:<6?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd5=5?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_emvpg424?4?o6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf73;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva60:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`129690:1ehhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn?836?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>;28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9:1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8<0=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj;=7=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre:>6929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd5?5958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_emvpg404=4?o6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf71;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva62:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`139193:1dhhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn92=>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?0>0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj=6?29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd34<4?n6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf1:16=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`78283j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b5>;:1dhhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn82>>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h>0?0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj<6829l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd24=4?n6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf0:26=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`68383j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b4>4:1dhhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn;2?>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h=0<0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj?6929l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd14:4?n6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf3:36=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`58083j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b7>5:1dhhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn;27>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<0=0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj>6:29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd04;4?n6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf2:46=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`48183j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b6>6:1dhhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn:28>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<050;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj16;29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd?484?n6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=:56=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`;8683j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b9>7:1dhhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn529>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h30:0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj16329l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd>494?n6``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<:66=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uu`:8783j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b8>0:1dhhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfn42:>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h20;0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$hy| r`o\`jssj06<29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd>414=56``rlefj+kbekf`#ocjm/`nc+djoVcey!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX4X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T11_-vdk)z&|?"ob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{Wh1eccheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba[07^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'kgei lsup,vdkkgfzP>;S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS;=V"ob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoeio{os-ueioc&jy~"|nmmmlt^4?U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<27ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY1Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0>3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U<]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4:?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ?Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]86;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}alnlku]2U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<27ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY5Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0>3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U8]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4:?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ3Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]86;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}alnlku]>U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY;h7ca}mfgm*hcjjea$x`~Pr`o\vaYbf8n0bb|bgdl-i`kedb%a}Q}al]q`Zci911dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<;4psmd[`kw|pUdk|h)33-430|ah_dosp|Ys`{oxd%8&159svjaXmdzuRzgrdqk,2/6<2zycjQjmqvz[qnumzb#4$?;;qplcZcjx}sTxe|jsi*:-4227;733yxdkRkbpu{\pmtb{a6:2<:4psmd[`kw|pUdk|h=0=51=wzfmTi`~{y^vkv`uo4:4:86~}of]fiur~W}byi~f34?37?uthoVof|ywPtipfwm:268>0|ah_dosp|Ys`{oxd181159svjaXmdzuRzgrdqk8286<2zycjQjmqvz[qnumzb743?;;qplcZcjx}sTxe|jsi>::4dvugnUna}zv_ujqavnXizyn~y&>)0a8twi`Wlg{xtQ{hsgplZgt{lx$<>&1b9svjaXmdzuRzgrdqk[dutm{~#=<'>c:rqkbYbey~rSyf}erj\evubz}":>$?l;qplcZcjx}sTxe|jsi]bwvcu|!;8%vugnUna}zv_ujqavnXizyn~y&8)0`8twi`Wlg{xtQ{hsgplZgt{lx$5'>b:rqkbYbey~rSyf}erj\evubz}"2%99k1{~biPelrw}ZrozlycSl}|esv?=;7e3yxdkRkbpu{\pmtb{aUeijo{e)2*5g=wzfmTi`~{y^vkv`uoWgolmyk'1(3`?uthoVof|ywPtipfwmYimnki%??)0a8twi`Wlg{xtQ{hsgplZhboh~n$c:rqkbYbey~rSyf}erj\j`af|l":?$?m;qplcZcjx}sTxe|jsi]mabgsm!8"=o5rne\ahvsqV~c~h}g_ogdeqc/; ;i7}|`g^gntqX|axneQaefcwa-2.9k1{~biPelrw}ZrozlycSckhaug+1,7e3yxdkRkbpu{\pmtb{aUeijo{e)4*5g=wzfmTi`~{y^vkv`uoWgolmyk'7(3a?uthoVof|ywPtipfwmYimnki%6&1c9svjaXmdzuRzgrdqk[kc`i}o#5$?m;qplcZcjx}sTxe|jsi]mabgsm5:5=n5rne\ahvsqV~c~h}g_ogdeqc;994:o6~}of]fiur~W}byi~fPndebp`:697;h7}|`g^gntqX|axneQaefcwa97568o0|ah_dosp|Ys`{oxdR`jg`vf845=87;h7}|`g^gntqX|axneQaefcwa97468h0|ah_dosp|Ys`{oxdR`jg`vf8486j2zycjQjmqvz[qnumzbTbhintd>1:4dvugnUna}zv_ujqavnXflmjxh2;>0`8twi`Wlg{xtQ{hsgplZhboh~n080>b:rqkbYbey~rSyf}erj\j`af|l6=24:n6~}of]fiur~W}byi~fPndebp`:?68h0|ah_dosp|Ys`{oxdR`jg`vf8<8>3yxdkRhzlm30?uthoVl~`aQ{hsgpl-6.9:1{~biPftno[qnumzb#=$?;;qplcZ`rdeUdk|h)33-42vugnUmyabPtipfwm.6; ;87}|`g^dvhiYs`{oxd%<&129svjaXn|fgSyf}erj+7,743yxdkRhzlm]wlwct`!>"=>5rne\bpjkW}byi~f'5(30?uthoVl~`aQ{hsgpl-0.9:1{~biPftno[qnumzb#;$?<;qplcZ`rdeUdk|h):*56=wzfmTjxbc_ujqavn/1 ;87}|`g^dvhiYs`{oxd1>1159svjaXn|fgSyf}erj?5586<2zycjQiumn\pmtb{a6:=3?;;qplcZ`rdeUdk|h=31:40018twi`Wog`Rzgrdqk8686;2zycjQiumn\pmtb{a6?2<=4psmd[cskdV~c~h}g<4<27>vugnUmyabPtipfwm:16890|ah_gwohZrozlyc0:0>3:rqkbYa}efTxe|jsi>;:45vugnUmyabPtipfwmYf{zoyx%?>)0`8twi`Wog`Rzgrdqk[dutm{~#=?'>b:rqkbYa}efTxe|jsi]bwvcu|!;8%#:m6~}of]eqijX|axneQnsrgqp->.9h1{~biPftno[qnumzbTm~}jru*:-4g11c9svjaXn|fgSyf}erj\evubz}6:=3?m;qplcZ`rdeUdk|h^cpw`ts4885=i5rne\bpjkW}byi~fParqfvq:6;3:5=o5rne\bpjkW}byi~fParqfvq:6;7;j7}|`g^dvhiYs`{oxdRo|sdpw8486i2zycjQiumn\pmtb{aUj~k}t=0=5d=wzfmTjxbc_ujqavnXizyn~y2<>0c8twi`Wog`Rzgrdqk[dutm{~783?n;qplcZ`rdeUdk|h^cpw`ts4<4:m6~}of]eqijX|axneQnsrgqp9099h1{~biPftno[qnumzbTm~}jru>4:4ga:rqkbYa}efTxe|jsi]mabgsm!:"=l5rne\bpjkW}byi~fPndebp`.6!8h0|ah_gwohZrozlycSckhaug+55/6j2zycjQiumn\pmtb{aUeijo{e)32-4dvugnUmyabPtipfwmYimnki%?<)0c8twi`Wog`Rzgrdqk[kc`i}o#>$?n;qplcZ`rdeUdk|h^lfcdrb :#:m6~}of]eqijX|axneQaefcwa-2.9h1{~biPftno[qnumzbTbhintd*6-4ga:rqkbYa}efTxe|jsi]mabgsm!2"=l5rne\bpjkW}byi~fPndebp`.>!8k0|ah_gwohZrozlycSckhaug?4;7e3yxdkRhzlm]wlwct`Vdnklzj<02=5g=wzfmTjxbc_ujqavnXflmjxh2>1?3a?uthoVl~`aQ{hsgplZhboh~n0<<11e9svjaXn|fgSyf}erj\j`af|l6:?7>11c9svjaXn|fgSyf}erj\j`af|l6:?3?n;qplcZ`rdeUdk|h^lfcdrb484:m6~}of]eqijX|axneQaefcwa9499h1{~biPftno[qnumzbTbhintd>0:4ga:rqkbYa}efTxe|jsi]mabgsm5<5=l5rne\bpjkW}byi~fPndebp`:068k0|ah_gwohZrozlycSckhaug?<;7f3yxdkRhzlm]wlwct`Vdnklzj<8<5?wbXkea:<6|k_ecweZeh}g~#<$??;sf\`drfWje~by&>)038vaYci}kTob{at)33-47%<>4re]geqgXkfex%8&119q`Zbf|hUhcx`{(6+24>tcWmkmRm`uov+<,773{nThlzn_bmvjq.>!8:0~iQkauc\gjsi|5:5=<5}d^fbpdYdg|d0<>1109q`Zbf|hUhcx`{<03=54=ulVnjxlQlotlw84499:1yhRjnt`]`kphs4891<3?>;sf\`drfWje~by2>3?33?wbXlh~jSnaznu>2:46028vaYci}kTob{at=4=55=ulVnjxlQlotlw828682xoSio{a^alqkr;07;;7jPd`vb[firf}62285}d^gm2>tcW{y?6||t69pflrbz{>0}{9:wm``tadf}>7{ocie48swYfkb<0{Qllj33?rtXlh~jSnaznu*3-46<{UomyoPcnwmp-7.981|~Rjnt`]`kphs 8:"=<5xr^fbpdYdg|d$4ws]geqgXkfex%7&119tvZbf|hUhcx`{<1<25>quWmkmRm`uov?558692}ySio{a^alqkr;984:=6y}_ecweZeh}g~7=?0>3:uq[agsiVidycz31283:47<{UomyoPcnwmp97468:0{Qkauc\gjsi|5;5==5xr^fbpdYdg|d0?0>0:uq[agsiVidycz33?33?rtXlh~jSnaznu>7:46<{UomyoPcnwmp939991|~Rjnt`]`kphs4?4:<6y}_ecweZeh}g~7;3??;vp\`drfWje~by27>028swYci}kTob{at=;=1>quWld=7z|Prrv25>Å̵´ÌµÛÁʱǽ½Ó¼¶¼b3ØÈ´ÜÁ°ØÅºËøÀÃzHIz8;?l4@Az2>C<328qX;84;158`>455>1k:6<7l1dym747=92d8=?4;;%13b?5702wX;94;158`>455>1k:6<7l1g9P5ac=<8h1<7?<27:b5?7>k;:0_::540`94?74:?2j=7?6c368`171290:6:0;`5`=#;9n18<64vU0ge?6=93;198?tS679042=k3;8>;6n1;3:g4c<,;o=6{#:;4<1;0g>x"5lo0?=;5+31f904>5<5<#:4=5<#:6=;6=4+24d9g135<#:0=5<#:2=5<#:<=5<#:g=5<#:a=5<#:5=5<#:7=54ob45>5<#:1=6=4+24d9g3d5<#:3=5<#:==5<#:d=5<#:f=5<6=44ib7;>5<5<#:5=5<#:7=54o2g4>5<#:1=5<#:3=5<#:==5<#:d=5<#:f=5<#:`=5<#:46<3f9o57>5$37e>16e3g8>i7?>;:m0`=<72-8>j7:?b:l11`<6:21d?i950;&11c<38k1e>8k51298k6b1290/>8h541`8j73b28>07b=k5;29 73a2=:i7c<:e;36?>i4n;0;6)<:f;63f>h5=l0::65`3g394?"5=o0?m;o06a?7>32e8ih4?:%06b?27j2d99h4>a:9l7`b=83.99k4;0c9m60c=9k10c>kn:18'60`=<9h0b?;j:0a8?j5cn3:1(?;i:52a?k42m3;o76a!42n3>;n6`=5d82a>=h;m91<7*=5g874g=i:5<#:5=5<#:7=54i2c5>5<#:1=6=4+24d97fg5<#:3=5<#:==5<#:d=5<#:f=5<#:`=5<#:46<3`9247>5$37e>6ef3g8>i7?>;:k0=2<72-8>j7=la:l11`<6:21b?4850;&11c<4kh1e>8k51298m6?2290/>8h53bc8j73b28>07d=64;29 73a2:ij7c<:e;36?>o4j80;6)<:f;1`e>h5=l0::65f3c294?"5=o08ol5a24g952=mn;o06a?7>32c8mi4?:%06b?5di2d99h4>a:9j7de=83.99k4o6:18'60`=;jk0b?;j:0a8?l5>m3:1(?;i:2ab?k42m3;o76g<9283>!42n39hm6`=5d82a>=n;081<7*=5g80gd=i:5<5<6290;wE8m50;9~f4>1290:6=4?{I0f7>"5lo0:4;5`19794?=zj?21<7j::28`5~N5m:1Q:44>6z30>41=:<0:87<9:04974<5l3;3684>4;0g>4>=9>0::7?::019y!4cn3>:;6*>dc82<1=#;9n18<64$376>73f3f9:?7>5;ha65?6=3`h347>5$37e>g?23g8>i7>4;h`;3?6=,;?m6o7:;o06a?7<3`h3:7>5$37e>g?23g8>i7<4;h`;1?6=,;?m6o7:;o06a?5<3`h2<7>5$37e>g?23g8>i7:4;h`;b?6=,;?m6o7:;o06a?3<3`h3i7>5$37e>g?23g8>i784;h`;`?6=,;?m6o7:;o06a?1<3`h3o7>5$37e>g?23g8>i764;h`;f?6=,;?m6o7:;o06a??<3`h3m7>5$37e>g?23g8>i7o4;h`;=?6=,;?m6o7:;o06a?d<3`h387>5$37e>g?23g8>i7m4;h`;7?6=,;?m6o7:;o06a?b<3fhom7>5;ha60?6=3fi>:7>5;h`e`?6=,;?m6n>n;o06a?6<3`hmo7>5$37e>f6f3g8>i7?4;h`ef?6=,;?m6n>n;o06a?4<3`hmm7>5$37e>f6f3g8>i7=4;ha31?6=,;?m6n>n;o06a?2<3`i;87>5$37e>f6f3g8>i7;4;ha37?6=,;?m6n>n;o06a?0<3`i;>7>5$37e>f6f3g8>i794;ha35?6=,;?m6n>n;o06a?><3`i;<7>5$37e>f6f3g8>i774;h`eb?6=,;?m6n>n;o06a?g<3`hmi7>5$37e>f6f3g8>i7l4;h`e=?6=,;?m6n>n;o06a?e<3`hm47>5$37e>f6f3g8>i7j4;ha64?6=3fh3>7>5;n`;5?6=3`9::7>5;na05$37e>f223g8>i7?4;na02?6=,;?m6n::;o06a?4<3fi897>5$37e>f223g8>i7=4;na74?6=,;?m6n::;o06a?2<3fi8j7>5$37e>f223g8>i7;4;na0a?6=,;?m6n::;o06a?0<3fi8h7>5$37e>f223g8>i794;na0g?6=,;?m6n::;o06a?><3fi8n7>5$37e>f223g8>i774;na0e?6=,;?m6n::;o06a?g<3fi857>5$37e>f223g8>i7l4;na00?6=,;?m6n::;o06a?e<3fi8?7>5$37e>f223g8>i7j4;h`b1?6=,;?m6ol=;o06a?6<3`hj87>5$37e>gd53g8>i7?4;h`b7?6=,;?m6ol=;o06a?4<3`hj>7>5$37e>gd53g8>i7=4;h`b`?6=,;?m6ol=;o06a?2<3`hjo7>5$37e>gd53g8>i7;4;h`bf?6=,;?m6ol=;o06a?0<3`hjm7>5$37e>gd53g8>i794;h`b=?6=,;?m6ol=;o06a?><3`hj47>5$37e>gd53g8>i774;h`b3?6=,;?m6ol=;o06a?g<3`hj:7>5$37e>gd53g8>i7l4;h`b5?6=,;?m6ol=;o06a?e<3`hj<7>5$37e>gd53g8>i7j4;ha42?6=3fi>i7>5$37e>f0e3g8>i7>4;na6`?6=,;?m6n8m;o06a?7<3fi>o7>5$37e>f0e3g8>i7<4;na6f?6=,;?m6n8m;o06a?5<3fi=:7>5$37e>f0e3g8>i7:4;na51?6=,;?m6n8m;o06a?3<3fi=87>5$37e>f0e3g8>i784;na57?6=,;?m6n8m;o06a?1<3fi=>7>5$37e>f0e3g8>i764;na55?6=,;?m6n8m;o06a??<3fi=<7>5$37e>f0e3g8>i7o4;na6b?6=,;?m6n8m;o06a?d<3fi>m7>5$37e>f0e3g8>i7m4;na6=?6=,;?m6n8m;o06a?b<3f>:m7>5;n621?6=3`i>47>5;h`f4?6=,;?m6okk;o06a?6<3`hoj7>5$37e>gcc3g8>i7?4;h`ga?6=,;?m6okk;o06a?4<3`hoh7>5$37e>gcc3g8>i7=4;h`f5$37e>gcc3g8>i7;4;h`f2?6=,;?m6okk;o06a?0<3`hn97>5$37e>gcc3g8>i794;h`f0?6=,;?m6okk;o06a?><3`hn?7>5$37e>gcc3g8>i774;h`f6?6=,;?m6okk;o06a?g<3`hn=7>5$37e>gcc3g8>i7l4;h`gg?6=,;?m6okk;o06a?e<3`hon7>5$37e>gcc3g8>i7j4;h123?6=3`i<;7>5;na67?6=3fi>;7>5;na61?6=3`i>>7>5;n1fg?6=,;?m69>m;o06a?6<3f9nn7>5$37e>16e3g8>i7?4;n1f=?6=,;?m69>m;o06a?4<3f9n47>5$37e>16e3g8>i7=4;n1f3?6=,;?m69>m;o06a?2<3f9n:7>5$37e>16e3g8>i7;4;n1f1?6=,;?m69>m;o06a?0<3f9n87>5$37e>16e3g8>i794;n1f7?6=,;?m69>m;o06a?><3f9n>7>5$37e>16e3g8>i774;n1f5?6=,;?m69>m;o06a?g<3f9n<7>5$37e>16e3g8>i7l4;n1ga?6=,;?m69>m;o06a?e<3f9oh7>5$37e>16e3g8>i7j4;n1gg?6=,;?m69>m;o06a?c<3f9on7>5$37e>16e3g8>i7h4;n1ge?6=,;?m69>m;o06a?7732e8h44?:%06b?27j2d99h4>1:9l7a>=83.99k4;0c9m60c=9;10c>j8:18'60`=<9h0b?;j:018?j5c>3:1(?;i:52a?k42m3;?76a!42n3>;n6`=5d821>=h;o81<7*=5g874g=i:5<#:41<3f9m<7>5$37e>16e3g8>i7?7;:m0ac<72-8>j7:?b:l11`<6121d?hk50;&11c<38k1e>8k51`98k6cc290/>8h541`8j73b28h07b=ja;29 73a2=:i7c<:e;3`?>i4lo0;6)<:f;63f>h5=l0:h65`3e694?"5=o0?mn;o06a?6<3`9jm7>5$37e>6ef3g8>i7?4;h1bmn;o06a?4<3`9j;7>5$37e>6ef3g8>i7=4;h1b2?6=,;?m6>mn;o06a?2<3`9j97>5$37e>6ef3g8>i7;4;h1b0?6=,;?m6>mn;o06a?0<3`9j?7>5$37e>6ef3g8>i794;h1b6?6=,;?m6>mn;o06a?><3`9j=7>5$37e>6ef3g8>i774;h1b4?6=,;?m6>mn;o06a?g<3`92j7>5$37e>6ef3g8>i7l4;h1:`?6=,;?m6>mn;o06a?e<3`92o7>5$37e>6ef3g8>i7j4;h1:f?6=,;?m6>mn;o06a?c<3`92m7>5$37e>6ef3g8>i7h4;h1:=?6=,;?m6>mn;o06a?7732c8554?:%06b?5di2d99h4>1:9j7<1=83.99k479:18'60`=;jk0b?;j:018?l5>=3:1(?;i:2ab?k42m3;?76g<9583>!42n39hm6`=5d821>=n;k;1<7*=5g80gd=i:5<#:41<3`9jj7>5$37e>6ef3g8>i7?7;:k0e`<72-8>j7=la:l11`<6121b?lj50;&11c<4kh1e>8k51`98m6gd290/>8h53bc8j73b28h07d=n9;29 73a2:ij7c<:e;3`?>o41l0;6)<:f;1`e>h5=l0:h65f38194?"5=o08ol5a24g95`=5;ha2e?6=,;?m6n<8;o06a?6<3`i:57>5$37e>f403g8>i7?4;ha25$37e>f403g8>i7=4;ha16?6=,;?m6n<8;o06a?2<3`i9=7>5$37e>f403g8>i7;4;ha14?6=,;?m6n<8;o06a?0<3`i:j7>5$37e>f403g8>i794;ha2a?6=,;?m6n<8;o06a?><3`i:h7>5$37e>f403g8>i774;ha2g?6=,;?m6n<8;o06a?g<3`i:n7>5$37e>f403g8>i7l4;ha22?6=,;?m6n<8;o06a?e<3`i:97>5$37e>f403g8>i7j4;n12`?6=,;?m6><8;o06a?6<3f9:o7>5$37e>6403g8>i7?4;n12f?6=,;?m6><8;o06a?4<3f9:m7>5$37e>6403g8>i7=4;n111?6=,;?m6><8;o06a?2<3f9987>5$37e>6403g8>i7;4;n117?6=,;?m6><8;o06a?0<3f99>7>5$37e>6403g8>i794;n115?6=,;?m6><8;o06a?><3f99<7>5$37e>6403g8>i774;n12b?6=,;?m6><8;o06a?g<3f9:i7>5$37e>6403g8>i7l4;n12=?6=,;?m6><8;o06a?e<3f9:47>5$37e>6403g8>i7j4;n117>5$37e>gea3g8>i7>4;h``5?6=,;?m6omi;o06a?7<3`hh<7>5$37e>gea3g8>i7<4;h`ab?6=,;?m6omi;o06a?5<3`hhm7>5$37e>gea3g8>i7:4;h``=?6=,;?m6omi;o06a?3<3`hh47>5$37e>gea3g8>i784;h``3?6=,;?m6omi;o06a?1<3`hh:7>5$37e>gea3g8>i764;h``1?6=,;?m6omi;o06a??<3`hh87>5$37e>gea3g8>i7o4;h``7?6=,;?m6omi;o06a?d<3`hii7>5$37e>gea3g8>i7m4;h`a`?6=,;?m6omi;o06a?b<3`i8>7>5;c13f?6=93:11712=liwE3z00>72=9l0:j77?>:029b?b=u-i<57m88:m4=?6=3f=36=44i3f2>5<0;66a8a;29?j4a>3:17b?87;29?l57?3:17b:188k73e2900c?k;:188k4>42900c?8>:188m7`02900c?6i:188k61>290/>8h536:8j73b2910c>98:18'60`=;>20b?;j:098k611290/>8h536:8j73b2;10c>9::18'60`=;>20b?;j:298k6>5290/>8h536:8j73b2=10c>6>:18'60`=;>20b?;j:498k6>7290/>8h536:8j73b2?10c>9i:18'60`=;>20b?;j:698k61b290/>8h536:8j73b2110c>9k:18'60`=;>20b?;j:898k61d290/>8h536:8j73b2h10c>9m:18'60`=;>20b?;j:c98k61f290/>8h536:8j73b2j10c>9;:18'60`=;>20b?;j:e98k6>?290/>8h53958j73b2910c>69:18'60`=;1=0b?;j:098k6>2290/>8h53958j73b2;10c>6;:18'60`=;1=0b?;j:298k6?6290/>8h53958j73b2=10c>7?:18'60`=;1=0b?;j:498k6>a290/>8h53958j73b2?10c>6j:18'60`=;1=0b?;j:698k6>c290/>8h53958j73b2110c>6l:18'60`=;1=0b?;j:898k6>e290/>8h53958j73b2h10c>6n:18'60`=;1=0b?;j:c98k6>>290/>8h53958j73b2j10c>6<:18'60`=;1=0b?;j:e98k64b290/>8h533f8j73b2910c>8h533f8j73b2;10c>8h533f8j73b2=10c>=9:18'60`=;;n0b?;j:498k652290/>8h533f8j73b2?10c>=;:18'60`=;;n0b?;j:698k654290/>8h533f8j73b2110c>==:18'60`=;;n0b?;j:898k656290/>8h533f8j73b2h10c>=?:18'60`=;;n0b?;j:c98k64a290/>8h533f8j73b2j10c><6:18'60`=;;n0b?;j:e98k65c290/>8h532a8j73b2910c>=m:18'60`=;:i0b?;j:098k65f290/>8h532a8j73b2;10c>=6:18'60`=;:i0b?;j:298k621290/>8h532a8j73b2=10c>:::18'60`=;:i0b?;j:498k623290/>8h532a8j73b2?10c>:<:18'60`=;:i0b?;j:698k625290/>8h532a8j73b2110c>:>:18'60`=;:i0b?;j:898k627290/>8h532a8j73b2h10c>=i:18'60`=;:i0b?;j:c98k65b290/>8h532a8j73b2j10c>=7:18'60`=;:i0b?;j:e98k62d290/>8h535`8j73b2910c>:n:18'60`=;=h0b?;j:098k62>290/>8h535`8j73b2;10c>:7:18'60`=;=h0b?;j:298k632290/>8h535`8j73b2=10c>;;:18'60`=;=h0b?;j:498k634290/>8h535`8j73b2?10c>;=:18'60`=;=h0b?;j:698k636290/>8h535`8j73b2110c>;?:18'60`=;=h0b?;j:898k62a290/>8h535`8j73b2h10c>:j:18'60`=;=h0b?;j:c98k62c290/>8h535`8j73b2j10c>:8:18'60`=;=h0b?;j:e98k=`=83.99k47e:l11`<732e3h7>5$37e>=ci?j3:1(?;i:9g8j73b2:10c4650;&11c0=h5=l0=76a65;29 73a21o0b?;j:698k<2=83.99k47e:l11`5$37e>=ci>93:1(?;i:9g8j73b2k10c4>50;&11ca=5<#:km4n37f>4=5<#:km4n37f>6=5<#:km4n37f>0=5<#:km4n37f>2=5<#:km4n37f><=5<#:km4n37f>g=5<#:km4n37f>a=5<#:4=5<#:6=5<#:0=5<#:2=5<#:<=5<#:g=5<#:a=h5=l0;76a8e;29 73a2>l0b?;j:098k2b=83.99k48f:l11`<532e5$37e>2`54o9;94?"5=o0i?03:1(?;i:6d8j73b2<10c5950;&11c<0n2d99h49;:m;2?6=,;?m6:h4n37f>2=h5=l0376a74;29 73a2>l0b?;j:898k=5=83.99k48f:l11`7>5$37e>2`i0j3:1(?;i:6d8j73b2m10c>8n:18'60`=;?30b?;j:198k60?290/>8h537;8j73b2810c>88:18'60`=;?30b?;j:398k601290/>8h537;8j73b2:10c>9<:18'60`=;?30b?;j:598k615290/>8h537;8j73b2<10c>9>:18'60`=;?30b?;j:798k617290/>8h537;8j73b2>10c>8i:18'60`=;?30b?;j:998k60b290/>8h537;8j73b2010c>8k:18'60`=;?30b?;j:`98k60d290/>8h537;8j73b2k10c>8m:18'60`=;?30b?;j:b98k602290/>8h537;8j73b2m10c<8j:18'60`=9?n0b?;j:198k40d290/>8h517f8j73b2810c<8n:18'60`=9?n0b?;j:398k40>290/>8h517f8j73b2:10c<87:18'60`=9?n0b?;j:598k400290/>8h517f8j73b2<10c<89:18'60`=9?n0b?;j:798k402290/>8h517f8j73b2>10c<8;:18'60`=9?n0b?;j:998k404290/>8h517f8j73b2010c<8=:18'60`=9?n0b?;j:`98k406290/>8h517f8j73b2k10c<;i:18'60`=9?n0b?;j:b98k43b290/>8h517f8j73b2m10c<;k:18'60`=9?n0b?;j:d98k43d290/>8h517f8j73b2o10c<;m:18'60`=9?n0b?;j:028?j72i3:1(?;i:04g?k42m3;:76a>5883>!42n3;=h6`=5d826>=h9<21<7*=5g822a=i:54o074>5<#:42<3f;>:7>5$37e>40c3g8>i7?:;:m230<72-8>j7?9d:l11`<6>21d=::50;&11c<6>m1e>8k51698k414290/>8h517f8j73b28207b?82;29 73a28i6?80;6)<:f;35`>h5=l0:m65`16294?"5=o0::i5a24g95g=e:9l503=83.99k4>6e9m60c=9o10c?m8:18'60`=:j<0b?;j:198k7e2290/>8h52b48j73b2810c?m;:18'60`=:j<0b?;j:398k7e4290/>8h52b48j73b2:10c?j?:18'60`=:j<0b?;j:598k7ea290/>8h52b48j73b2<10c?mj:18'60`=:j<0b?;j:798k7ec290/>8h52b48j73b2>10c?ml:18'60`=:j<0b?;j:998k7ee290/>8h52b48j73b2010c?mn:18'60`=:j<0b?;j:`98k7e>290/>8h52b48j73b2k10c?m7:18'60`=:j<0b?;j:b98k7e5290/>8h52b48j73b2m10e?99:18'60`=:>?0b?;j:198m713290/>8h52678j73b2810e?9<:18'60`=:>?0b?;j:398m715290/>8h52678j73b2:10e?9i:18'60`=:>?0b?;j:598m71b290/>8h52678j73b2<10e?9k:18'60`=:>?0b?;j:798m71d290/>8h52678j73b2>10e?9m:18'60`=:>?0b?;j:998m71f290/>8h52678j73b2010e?96:18'60`=:>?0b?;j:`98m71?290/>8h52678j73b2k10e?98:18'60`=:>?0b?;j:b98m716290/>8h52678j73b2m10ek;50;&11c4=h5=l0976gi0;29 73a2o>0b?;j:298m``=83.99k4i4:l11`<332cni7>5$37e>c2obk3:1(?;i:g68j73b2>10ehl50;&11c<=h5=l0j76gj8;29 73a2o>0b?;j:c98m`0=83.99k4i4:l11`5$37e>c2ob;3:1(?;i:g68j73b2o10eh<50;&11c0:9ja4<72-8>j7h;;o06a?7632cn<7>5$37e>c25<#:h5=l0:865fde83>!42n3l?7c<:e;36?>oak3:1(?;i:g68j73b28<07dhm:18'60`=n=1e>8k51698mcg=83.99k4i4:l11`<6021bj44?:%06b?`33g8>i7?6;:ke4g<3`l<6=4+24d9b1=i:=nn;0;6)<:f;d7?k42m3;o76gj7;29 73a2o>0b?;j:0g8?lbd290/>8h5f59m60c=9o10e?l<:18'60`=:k80b?;j:198m7d6290/>8h52c08j73b2810e?l?:18'60`=:k80b?;j:398m7ga290/>8h52c08j73b2:10e?ll:18'60`=:k80b?;j:598m7de290/>8h52c08j73b2<10e?ln:18'60`=:k80b?;j:798m7d>290/>8h52c08j73b2>10e?l7:18'60`=:k80b?;j:998m7d0290/>8h52c08j73b2010e?l9:18'60`=:k80b?;j:`98m7d2290/>8h52c08j73b2k10e?l;:18'60`=:k80b?;j:b98m7gb290/>8h52c08j73b2m10e?o;:18'60`=:h90b?;j:198m7g5290/>8h52`18j73b2810e?o>:18'60`=:h90b?;j:398m7g7290/>8h52`18j73b2:10e?ok:18'60`=:h90b?;j:598m7gd290/>8h52`18j73b2<10e?om:18'60`=:h90b?;j:798m7gf290/>8h52`18j73b2>10e?o6:18'60`=:h90b?;j:998m7g?290/>8h52`18j73b2010e?o8:18'60`=:h90b?;j:`98m7g1290/>8h52`18j73b2k10e?o::18'60`=:h90b?;j:b98m7?a290/>8h52`18j73b2m10e?7::18'60`=:0>0b?;j:198m7?4290/>8h52868j73b2810e?7=:18'60`=:0>0b?;j:398m7?6290/>8h52868j73b2:10e?7j:18'60`=:0>0b?;j:598m7?c290/>8h52868j73b2<10e?7l:18'60`=:0>0b?;j:798m7?e290/>8h52868j73b2>10e?7n:18'60`=:0>0b?;j:998m7?>290/>8h52868j73b2010e?77:18'60`=:0>0b?;j:`98m7?0290/>8h52868j73b2k10e?79:18'60`=:0>0b?;j:b98m7?7290/>8h52868j73b2m10e?6::18'60`=:1>0b?;j:198m7>4290/>8h52968j73b2810e?6=:18'60`=:1>0b?;j:398m7>6290/>8h52968j73b2:10e?6j:18'60`=:1>0b?;j:598m7>c290/>8h52968j73b2<10e?6l:18'60`=:1>0b?;j:798m7>e290/>8h52968j73b2>10e?6n:18'60`=:1>0b?;j:998m7>>290/>8h52968j73b2010e?67:18'60`=:1>0b?;j:`98m7>0290/>8h52968j73b2k10e?69:18'60`=:1>0b?;j:b98m7>7290/>8h52968j73b2m10e?88:18'60`=:?<0b?;j:198m702290/>8h52748j73b2810e?8;:18'60`=:?<0b?;j:398m704290/>8h52748j73b2:10e?9?:18'60`=:?<0b?;j:598m70a290/>8h52748j73b2<10e?8j:18'60`=:?<0b?;j:798m70c290/>8h52748j73b2>10e?8l:18'60`=:?<0b?;j:998m70e290/>8h52748j73b2010e?8n:18'60`=:?<0b?;j:`98m70>290/>8h52748j73b2k10e?87:18'60`=:?<0b?;j:b98m705290/>8h52748j73b2m10n>>m:182>5<7s-8oj7?76:J04d=O:l90c<6::188yg57k3:1=7>50z&1`c<5m<1C?=o4H3g0?j42k3:17pl7e583>1<729q/>ih51928L66f3A8n?6F97:&2=1<53`5;h55>5<5<54;294~"5lo0:4=5G31c8L7c43A<<7)?64;08m3g=831b;;4?::k125<722e9ni4?::a<`0=83?1<7>t$3fe>4>63A9;m6F=e29K22=#90>1>6g9a;29?l0a2900e:850;9j636=831d>oj50;9~f361290>6=4?{%0gb?7?92B85<>o0>3:17d<90;29?j4el3:17pl90b83>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th=5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`54c<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th==<4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`556<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th=5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`54d<72<0;6=u+2ed952c<@::j7E1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo;l9;290?6=8r.9hk4>e49K75g<@;o87d9?:188mi950;9l60?=831vn8k>:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f0ee290?6=4?{%0gb?7b=2B8t$3fe>66>3A9;m6F=e29j35<722c:hn4?::m11<<722wi9nj50;694?6|,;nm6N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th>i>4?:283>5}#:ml1?=74H22b?M4b;2c<<7>5;h3gg?6=3f8>57>5;|`6gc<72=0;6=u+2ed95`3<@::j7E5<5<5<54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj6=4<:183!4cn39;56F<0`9K6`5:1<75f1ea94?=h:<31<75rb4f0>5<3290;w)N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm5d494?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e=m?1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd2m>0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl:d683>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo;j8;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg3c13:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f0c>29086=4?{%0gb?5712B85<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi9im50;194?6|,;nm6>>6;I13e>N5m:1b;=4?::k2`f<722e9944?::a1f3=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`6`a<72:0;6=u+2ed975?<@::j7E5;n06=?6=3th>o:4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<5<53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<5<5<55;294~"5lo0:;h5G31c8L7c43-;287<4i7c94?=n>o0;66g83;29?l112900c?lk:188yg3e=3:197>50z&1`c<6081C?=o4H3g0?!7><3h0e;o50;9j2c<722c<:7>5;h054?6=3f8ih7>5;|`6fd<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>nn4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`6f`<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>o=4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`6f3<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>n:4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`63`<72=0;6=u+2ed95`3<@::j7E5<5<5<4290;w)N48h1C>h=4i6294?=n9mi1<75`24;94?=zj<2<6=4;:183!4cn3;n96F<0`9K6`5:1<75f9883>>o5l>0;66a=5883>>{e=0o1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm59;94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd20k0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg3f83:1?7>50z&1`c<4801C?=o4H3g0?l172900e2900qo;7d;290?6=8r.9hk4>e49K75g<@;o87d9?:188mi950;9l60?=831vn8o>:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f0>a290?6=4?{%0gb?7b=2B8t$3fe>66>3A9;m6F=e29j35<722c:hn4?::m11<<722wi94?50;694?6|,;nm6N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th>m>4?:283>5}#:ml1?=74H22b?M4b;2c<<7>5;h3gg?6=3f8>57>5;|`6=6<72=0;6=u+2ed95`3<@::j7E5<5<5<54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj6=4<:183!4cn39;56F<0`9K6`5:1<75f1ea94?=h:<31<75rb45e>5<3290;w)N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm58:94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e=1;1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd2100;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl:8283>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo;6a;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg3>j3:1?7>50z&1`c<4801C?=o4H3g0?l172900e2900qo:j8;291?6=8r.9hk4>7d9K75g<@;o87)?64;08m3g=831b:k4?::k47?6=3`==6=44o3`g>5<n57>55;294~"5lo0:4<5G31c8L7c43-;287l4i7c94?=n>o0;66g86;29?l4183:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7ac<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?j<4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7b6<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?j84?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7aa<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?in4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17b>n;I0f7>o083:17d76:188m7b02900c?;6:188yg2fj3:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f1e429086=4?{%0gb?5712B85<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi8n:50;194?6|,;nm6>>6;I13e>N5m:1b;=4?::k2`f<722e9944?::a0d`=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`7g0<72:0;6=u+2ed975?<@::j7E5;n06=?6=3th?n<4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<5<h:7>53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<5<5<4290;w)N48h1C>h=4i6294?=n9mi1<75`24;94?=zj=h>6=4;:183!4cn3;n96F<0`9K6`5:1<75f9883>>o5l>0;66a=5883>>{edb83>>i5=00;66sm4c594?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd3j00;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg2di3:1?7>50z&1`c<4801C?=o4H3g0?l172900e2900qo:mb;290?6=8r.9hk4>e49K75g<@;o87d9?:188mi950;9l60?=831vn9mm:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f1g2290?6=4?{%0gb?7b=2B8t$3fe>66>3A9;m6F=e29j35<722c:hn4?::m11<<722wi8l950;694?6|,;nm6N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th?nk4?:283>5}#:ml1?=74H22b?M4b;2c<<7>5;h3gg?6=3f8>57>5;|`7e<<72=0;6=u+2ed95`3<@::j7E5<5<5<h=7>53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<>o0>3:17d<90;29?j4el3:17pl:7083>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>;;4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17b0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`63=<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>;l4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`63f<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>;?4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`636<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>?l4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<5<54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj:1<75f1ea94?=h:<31<75rb460>5<3290;w)N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm54c94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e==?1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd2=k0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl:4683>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo;:c;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg3313:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f03c29086=4?{%0gb?5712B85<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi98k50;194?6|,;nm6>>6;I13e>N5m:1b;=4?::k2`f<722e9944?::a11b=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`61c<72:0;6=u+2ed975?<@::j7E5;n06=?6=3th>8k4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<5<53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<5<5<4290;w)N48h1C>h=4i6294?=n9mi1<75`24;94?=zj<9i6=4;:183!4cn3;n96F<0`9K6`5:1<75f9883>>o5l>0;66a=5883>>{e=<>1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm52f94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd2;o0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg32>3:1?7>50z&1`c<4801C?=o4H3g0?l172900e2900qo;:7;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg2>>3:197>50z&1`c<6081C?=o4H3g0?!7><3h0e;o50;9j2c<722c<:7>5;h054?6=3f8ih7>5;|`7=2<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?5i4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7=c<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?m<4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7e6<72<0;6=u+2ed952c<@::j7E0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?5o4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<>o0>3:17bo0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7=d<72<0;6=u+2ed952c<@::j7E1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo:97;290?6=8r.9hk4>e49K75g<@;o87d9?:188mi950;9l60?=831vn99i:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f10>290?6=4?{%0gb?7b=2B8t$3fe>66>3A9;m6F=e29j35<722c:hn4?::m11<<722wi8;l50;694?6|,;nm6N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th?4<4?:283>5}#:ml1?=74H22b?M4b;2c<<7>5;h3gg?6=3f8>57>5;|`72a<72=0;6=u+2ed95`3<@::j7E5<5<5<=j7>54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj=286=4<:183!4cn39;56F<0`9K6`5:1<75f1ea94?=h:<31<75rb552>5<3290;w)N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm49694?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e<>91<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd30<0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl;7483>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo:76;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg20?3:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f1>029086=4?{%0gb?5712B8:187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi8:o50;194?6|,;nm6>>6;I13e>N5m:1b;=4?::k2`f<722e9944?::a035=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`73g<72:0;6=u+2ed975?<@::j7E5;n06=?6=3th?:84?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<5<53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<5<5<55;294~"5lo0:;h5G31c8L7c43-;287<4i7c94?=n>o0;66g83;29?l112900c?lk:188yg35l3:197>50z&1`c<6?l1C?=o4H3g0?!7><380e;o50;9j2c<722c5;h55>5<>o0;3:17d99:188k7dc2900qo;<3;291?6=8r.9hk4>7d9K75g<@;o87)?64;08m3g=831b:k4?::k47?6=3`==6=44o3`g>5<55;294~"5lo0:;h5G31c8L7c43-;287<4i7c94?=n>o0;66g83;29?l112900c?lk:188yg34=3:197>50z&1`c<6?l1C?=o4H3g0?!7><380e;o50;9j2c<722c5;h55>5<>o0;3:17d99:188k7dc2900qo;<7;291?6=8r.9hk4>7d9K75g<@;o87)?64;08m3g=831b:k4?::k47?6=3`==6=44o3`g>5<55;294~"5lo0:;h5G31c8L7c43-;287<4i7c94?=n>o0;66g83;29?l112900c?lk:188yg3413:197>50z&1`c<6?l1C?=o4H3g0?!7><380e;o50;9j2c<722c5;h55>5<>o0;3:17d99:188k7dc2900qo;=f;291?6=8r.9hk4>7d9K75g<@;o87)?64;08m3g=831b:k4?::k47?6=3`==6=44o3`g>5<55;294~"5lo0:;h5G31c8L7c43-;287<4i7c94?=n>o0;66g83;29?l112900c?lk:188yg3493:197>50z&1`c<6?l1C?=o4H3g0?!7><380e;o50;9j2c<722c5;h55>5<5<5<3290;w)N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm50794?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd29?0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl:0083>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo;>7;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg37;3:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f07?29086=4?{%0gb?5712B8::187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi9<750;194?6|,;nm6>>6;I13e>N5m:1b;=4?::k2`f<722e9944?::a151=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`65d<72:0;6=u+2ed975?<@::j7E5;n06=?6=3th><44?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<5<53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<5<5<4290;w)N48h1C>h=4i6294?=n9mi1<75`24;94?=zj<:o6=4;:183!4cn3;n96F<0`9K6`5:1<75f9883>>o5l>0;66a=5883>>{e=8n1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm4g594?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd3n00;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg3693:1?7>50z&1`c<4801C?=o4H3g0?l172900e2900qo:ib;290?6=8r.9hk4>e49K75g<@;o87d9?:188mi950;9l60?=831vn8?=:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f07429086=4?{%0gb?5712B85<7s-8oj7?8e:J04d=O:l90(<7;:39j2d<722c=j7>5;h50>5<<1<75`2cf94?=zj=?86=4::183!4cn3;>o1n3:17d9<:188m20=831d>oj50;9~f13?290>6=4?{%0gb?70m2B85<2290;w)N48h1C>h=4$0;7>7=n>h0;66g9f;29?l142900e:850;9l6gb=831vn9;n:186>5<7s-8oj7?8e:J04d=O:l90(<7;:39j2d<722c=j7>5;h50>5<<1<75`2cf94?=zj=?i6=4::183!4cn3;>o1n3:17d9<:188m20=831d>oj50;9~f13d290>6=4?{%0gb?70m2B85<2290;w)N48h1C>h=4$0;7>7=n>h0;66g9f;29?l142900e:850;9l6gb=831vn9;j:186>5<7s-8oj7?8e:J04d=O:l90(<7;:39j2d<722c=j7>5;h50>5<<1<75`2cf94?=zj=?m6=4::183!4cn3;>o1n3:17d9<:188m20=831d>oj50;9~f133290>6=4?{%0gb?70m2B85<2290;w)N48h1C>h=4$0;7>7=n>h0;66g9f;29?l142900e:850;9l6gb=831vn9;9:186>5<7s-8oj7?8e:J04d=O:l90(<7;:39j2d<722c=j7>5;h50>5<<1<75`2cf94?=zj=?<6=4::183!4cn3;>o1n3:17d9<:188m20=831d>oj50;9~f17d290?6=4?{%0gb?7b=2B81<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`77g<72:0;6=u+2ed975?<@::j7E5;n06=?6=3th?>84?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<5<8o7>53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<5<5<4290;w)N48h1C>h=4i6294?=n9mi1<75`24;94?=zj=826=4;:183!4cn3;n96F<0`9K6`5:1<75f9883>>o5l>0;66a=5883>>{e<:o1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm43`94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd3:m0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg2383:1?7>50z&1`c<4801C?=o4H3g0?l172900e2900qo:=f;290?6=8r.9hk4>e49K75g<@;o87d9?:188mi950;9l60?=831vn9:>:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f156290?6=4?{%0gb?7b=2B8t$3fe>66>3A9;m6F=e29j35<722c:hn4?::m11<<722wi8>=50;694?6|,;nm6N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th?8>4?:283>5}#:ml1?=74H22b?M4b;2c<<7>5;h3gg?6=3f8>57>5;|`75a<72=0;6=u+2ed95`3<@::j7E5<5<5<:j7>54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj=9<6=4<:183!4cn39;56F<0`9K6`5:1<75f1ea94?=h:<31<75rb502>5<3290;w)N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm42:94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e<:31<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm85c94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo6;c;290?6=8r.9hk4>e49K75g<@;o87d9?:188mi950;9l60?=831vn5:k:187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi49k50;694?6|,;nm6N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th38k4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<5<<7>54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj1<>6=4;:183!4cn3;n96F<0`9K6`5:1<75f9883>>o5l>0;66a=5883>>{e0?<1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd?>>0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg>103:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f=0>290?6=4?{%0gb?7b=2B81<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`;2g<72=0;6=u+2ed95`3<@::j7E5<>o5>90;66a=be83>>{e01?1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd?0?0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg>??3:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f=>?290?6=4?{%0gb?7b=2B81<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`;5<5<5<3290;w)N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm8`394?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo6n3;290?6=8r.9hk4>e49K75g<@;o87d9?:188mi950;9l60?=831vn5o;:187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi4l;50;694?6|,;nm6N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th3m;4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<5<54;294~"5lo0:4=5G31c8L7c43-;287<4i7c94?=n??0;66g=6183>>i5jm0;66sm7e094?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo9k4;290?6=8r.9hk4>e49K75g<@;o87d9?:188mi950;9l60?=831vn:j::187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi;i850;694?6|,;nm6N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<5<54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj>oo6=4;:183!4cn3;n96F<0`9K6`5:1<75f9883>>o5l>0;66a=5883>>{e?lo1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd0mo0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg1a83:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f2`6290?6=4?{%0gb?7b=2B81<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`4b6<72=0;6=u+2ed95`3<@::j7E5<>o0>3:17d<90;29?j4el3:17pl70g83>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo6>0;290?6=8r.9hk4>e49K75g<@;o87d9?:188mi950;9l60?=831vn5?>:187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi4<<50;694?6|,;nm6N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th3=>4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<5<54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj1;>6=4;:183!4cn3;n96F<0`9K6`5:1<75f9883>>o5l>0;66a=5883>>{e0;k1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd?:k0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg>5k3:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f=4c290?6=4?{%0gb?7b=2B81<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`;6c<72=0;6=u+2ed95`3<@::j7E5<5<5<2290;w)N48h1C>h=4$0;7>7=n>h0;66g9f;29?l112900e?8?:188k7dc2900qo864;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`5=6<72=0;6=u+2ed952b<@::j7E>{e>081<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn;7>:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c5;h55>5<>o0>3:17bn0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi:4o50;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb7;:>5<3290;w)N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg0>03:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th=5:4?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<91<75f7783>>i5jm0;66sm68494?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f3?2290?6=4?{%0gb?70l2B85<54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl98g83>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::a2`7=83>1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<1<75`2cf94?=zj?o;6=4;:183!4cn3;>o0;3:17d99:188k7dc2900qo8kf;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`5``<72=0;6=u+2ed952b<@::j7E>{e>l31<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn;k7:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c5;h55>5<>o0>3:17bn0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi:h;50;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb7g7>5<3290;w)N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg0b;3:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th=i?4?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<91<75f7783>>i5jm0;66sm6ef94?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f3bd290?6=4?{%0gb?70l2B85<54;294~"5lo0:;i5G31c8L7c43A<<7)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`5bf<72=0;6=u+2ed952b<@::j7E"61=097d8n:188m25=831b;;4?::m1fa<722wi:kl50;694?6|,;nm6<9k;I13e>N5m:1C::5+18696>o1i3:17d9<:188m20=831d>oj50;9~f3`f290?6=4?{%0gb?70l2B8>o0;3:17d99:188k7dc2900qo9?5;290?6=8r.9hk4>7e9K75g<@;o87E88;%3:0?4>o0>3:17bn0D>>n;I0f7>N1?2.:594=;h4b>5<91<75f7783>>i5jm0;66sm71194?2=83:p(?ji:05g?M57i2B9i>5G669'5<2=:2c=m7>5;h50>5<<1<75`2cf94?=zj>:96=4;:183!4cn3;5;h55>5<<380e;o50;9j36<722c<:7>5;n0a`?6=3th<<=4?:583>5}#:ml1=:j4H22b?M4b;2B=;6*>9581?l0f2900e:=50;9j33<722e9ni4?::a2c`=83>1<7>t$3fe>41c3A9;m6F=e29K22=#90>1>6g9a;29?l142900e:850;9l6gb=831vn;hj:187>5<7s-8oj7?8d:J04d=O:l90D;94$0;7>7=n>h0;66g83;29?l112900c?lk:188yg0a13:187>50z&1`c<6?m1C?=o4H3g0?M003-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl9f983>1<729q/>ih516f8L66f3A8n?6F97:&2=1<53`>{e>j?1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn;m;:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c5;h55>5<>o0>3:17bn0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi:nj50;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb7a`>5<3290;w)N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg0dj3:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th=ol4?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<91<75f7783>>i5jm0;66sm6b;94?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f3e?290?6=4?{%0gb?70l2B85<54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl9c783>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::a2f7=83>1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<1<75`2cf94?=zj?i;6=4;:183!4cn3;>o0;3:17d99:188k7dc2900qo9=f;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`46`<72=0;6=u+2ed952b<@::j7E>{e?;n1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn:5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c5;h55>5<>o0>3:17bn0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi;>;50;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb617>5<3290;w)N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg14;3:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<91<75f7783>>i5jm0;66sm72394?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f257290?6=4?{%0gb?70l2B85<54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl82`83>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::a32e=83>1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<1<75`2cf94?=zj>=i6=4;:183!4cn3;>o0;3:17d99:188k7dc2900qo98a;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`43<<72=0;6=u+2ed952b<@::j7E>{e?1>1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn:6<:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c5;h55>5<>o0>3:17bn0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi;5>50;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb65e>5<3290;w)N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg10m3:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th<;i4?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<91<75f7783>>i5jm0;66sm76:94?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f210290?6=4?{%0gb?70l2B85<54;294~"5lo0:;i5G31c8L7c43A<<7)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`4=2<72=0;6=u+2ed952b<@::j7E"61=097d8n:188m25=831b;;4?::m1fa<722wi;4850;694?6|,;nm6<9k;I13e>N5m:1C::5+18696>o1i3:17d9<:188m20=831d>oj50;9~f2?2290?6=4?{%0gb?70l2B8>o0;3:17d99:188k7dc2900qo9n0;290?6=8r.9hk4>7e9K75g<@;o87E88;%3:0?4>o0>3:17bn0D>>n;I0f7>N1?2.:594=;h4b>5<91<75f7783>>i5jm0;66sm78g94?2=83:p(?ji:05g?M57i2B9i>5G669'5<2=:2c=m7>5;h50>5<<1<75`2cf94?=zj>3o6=4;:183!4cn3;5;h55>5<<380e;o50;9j36<722c<:7>5;n0a`?6=3th<5o4?:583>5}#:ml1=:j4H22b?M4b;2B=;6*>9581?l0f2900e:=50;9j33<722e9ni4?::a31<7>t$3fe>41c3A9;m6F=e29K22=#90>1>6g9a;29?l142900e:850;9l6gb=831vn:76:187>5<7s-8oj7?8d:J04d=O:l90D;94$0;7>7=n>h0;66g83;29?l112900c?lk:188yg1><3:187>50z&1`c<6?m1C?=o4H3g0?M003-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl89283>1<729q/>ih516f8L66f3A8n?6F97:&2=1<53`>{e??:1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn:;i:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c5;h55>5<>o0>3:17bn0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi;;650;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb644>5<3290;w)N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg11>3:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th<:84?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<91<75f7783>>i5jm0;66sm77694?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f204290?6=4?{%0gb?70l2B85<7>54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl86083>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::a30e=83>1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<1<75`2cf94?=zj>?i6=4;:183!4cn3;>o0;3:17d99:188k7dc2900qo8<3;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg05=3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;;0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91683>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=4;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93083>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd19?0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg05;3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;90;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91483>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=2;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93b83>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd1:90;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg05m3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;k0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91g83>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=d;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93`83>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd19l0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg05k3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;00;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91e83>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=b;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93983>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd19j0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg05i3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;>0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91c83>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=9;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93783>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd19h0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg0503:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;<0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91883>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=7;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93583>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd1910;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg05>3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1:o0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91583>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=1;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl95b83>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd14?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e>db83>>i5=00;66sm65294?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm64c94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>:l1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd14?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e><31<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm62g94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm67794?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>=31<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd1=>0;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e>?>1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm65:94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm67194?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>==1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd1=<0;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e>?81<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm65494?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm67394?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>=?1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd1=:0;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e>?:1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm65694?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm64d94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>=91<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd1=80;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e>db83>>i5=00;66sm65094?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm64f94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>=;1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd14?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e><21<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm62f94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm7cf94?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f2dd290?6=4?{%0gb?70l2B85<54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl8b`83>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::a3f3=83>1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<1<75`2cf94?=zj>i?6=4;:183!4cn3;>o0;3:17d99:188k7dc2900qo9l3;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`4g7<72=0;6=u+2ed952b<@::j7E>{e?j;1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn:m?:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c5;h55>5<>o0>3:17bn0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi;o750;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb6`;>5<3290;w)N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg>c13:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th3h54?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<91<75f7783>>i5jm0;66sm8e594?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f=b1290?6=4?{%0gb?70l2B85<54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl7e183>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::a1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<1<75`2cf94?=zj1nn6=4;:183!4cn3;>o0;3:17d99:188k7dc2900qo6kd;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`;`f<72=0;6=u+2ed952b<@::j7E>{e0mh1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn5jn:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c5;h55>5<>o0>3:17bn0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi5?750;694?6|,;nm6N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn46<:187>5<7s-8oj7?j6:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7?9;290?6=8r.9hk4>e69K75g<@;o87d?78;29?l7?13:17d1<729q/>ih51d48L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm9`794?2=83:p(?ji:0g5?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb82`>5<3290;w)N48h1C>h=4i0:;>5<5<5;h0g3?6=3f8>57>5;|`:f4<72=0;6=u+2ed95`0<@::j7E=83>1<7>t$3fe>4c03A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<3f290?6=4?{%0gb?7b>2B8?2900e<66:188m7b02900c?;6:188yg?e<3:187>50z&1`c<6m?1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd>9k0;694?:1y'6a`=9l=0D>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e16=4;:183!4cn3;n:6F<0`9K6`55<5<54;294~"5lo0:i:5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3thj444?:583>5}#:ml1=:h4H22b?M4b;2.:594=;h4b>5<>i5jm0;66sma9:94?2=83:p(?ji:05e?M57i2B9i>5+18696>o1i3:17d8i:188m20=831d>oj50;9~fd>0290?6=4?{%0gb?70n2B85<53;294~"5lo0:i<5G31c8L7c43-;287=n;h3;5;n06=?6=3th=5h4?:283>5}#:ml1=h?4H22b?M4b;2.:594;I13e>N5m:1/=4:53`9j5=>=831b=5750;9l60?=831vn:<7:180>5<7s-8oj7?j1:J04d=O:l90(<7;:2c8m4>?2900e<66:188k73>2900qo9=7;297?6=8r.9hk4>e09K75g<@;o87)?64;1b?l7?03:17d?79;29?j4213:17pl82783>6<729q/>ih51d38L66f3A8n?6*>9580e>o6010;66g>8883>>i5=00;66sm73794?5=83:p(?ji:0g2?M57i2B9i>5+18697d=n9121<75f19;94?=h:<31<75rb607>5<4290;w)N48h1C>h=4$0;7>6g5<5;h3;=?6=3f8>57>5;|`467<72:0;6=u+2ed95`7<@::j7Et$3fe>4c63A9;m6F=e29'5<2=;h1b=5650;9j5=?=831d>8750;9~f24729086=4?{%0gb?7b92B8>2900c?;6:188yg16n3:1?7>50z&1`c<6m81C?=o4H3g0?!7><39j7d?78;29?l7?13:17b<:9;29?xd09l0;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=08m6g>8983>>o6000;66a=5883>>{e?8n1<7=50;2x 7ba28o:7E=?a:J1a6=#90>1?l5f19:94?=n9131<75`24;94?=zj?2n6=4<:183!4cn3;n=6F<0`9K6`5<,83?6>o4i0:;>5<5<53;294~"5lo0:i<5G31c8L7c43-;287=n;h3;5;n06=?6=3th=4n4?:283>5}#:ml1=h?4H22b?M4b;2.:594;I13e>N5m:1/=4:53`9j5=>=831b=5750;9l60?=831vn;6n:180>5<7s-8oj7?j1:J04d=O:l90(<7;:2c8m4>?2900e<66:188k73>2900qo879;297?6=8r.9hk4>e09K75g<@;o87)?64;1b?l7?03:17d?79;29?j4213:17pl98983>6<729q/>ih51d38L66f3A8n?6*>9580e>o6010;66g>8883>>i5=00;66sm69594?5=83:p(?ji:0g2?M57i2B9i>5+18697d=n9121<75f19;94?=h:<31<75rb7:5>5<4290;w)N48h1C>h=4$0;7>6g5<5;h3;=?6=3f8>57>5;|`5<1<72:0;6=u+2ed95`7<@::j7Et$3fe>4c63A9;m6F=e29'5<2=;h1b=5650;9j5=?=831d>8750;9~f3>529086=4?{%0gb?7b92B8>2900c?;6:188yg14j3:187>50z&1`c<6m;1C?=o4H3g0?!7><3>87d?78;29?l7?13:17d?7a;29?j4213:17pl9a183>1<729q/>ih51d08L66f3A8n?6*>95877>o6010;66g>8883>>o60h0;66a=5883>>{e?:n1<7;50;2x 7ba28o87E=?a:J1a6=#90>1?n5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj?k96=4::183!4cn3;n?6F<0`9K6`5<,83?6>m4i0:;>5<5<5<53;294~"5lo0:i<5G31c8L7c43-;287=n;h3;5;n06=?6=3th=m94?:283>5}#:ml1=h?4H22b?M4b;2.:594N5m:1/=4:5429j5=>=831b=5750;9j5=g=831d>8750;9~f3g1290?6=4?{%0gb?7b:2B8>2900e<6n:188k73>2900qo9;3;291?6=8r.9hk4>e29K75g<@;o87)?64;1`?l7?03:17d?79;29?l7?i3:17d?7b;29?j4213:17pl9a983>0<729q/>ih51d18L66f3A8n?6*>9580g>o6010;66g>8883>>o60h0;66g>8c83>>i5=00;66sm8e194?5=83:p(?ji:0g2?M57i2B9i>5+18696<=n9121<75f19;94?=h:<31<75rb6`4>5<4290;w)N48h1C>h=4$0;7>6g5<5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`45f<72:0;6=u+2ed95`7<@::j7Et$3fe>4c43A9;m6F=e29'5<2=<=1b=5650;9j5=?=831b=5o50;9j5=d=831d>8750;9~f=d3290>6=4?{%0gb?7b;2B8>2900e<6n:188m4>e2900c?;6:188yg>>13:197>50z&1`c<6m:1C?=o4H3g0?!7><3>97d?78;29?l7?13:17d?7a;29?l7?j3:17b<:9;29?xd??00;684?:1y'6a`=9l90D>>n;I0f7>"61=0?>6g>8983>>o6000;66g>8`83>>o60k0;66a=5883>>{e018?5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj19n6=4::183!4cn3;n?6F<0`9K6`5<,83?69<4i0:;>5<5<5<55;294~"5lo0:i>5G31c8L7c43-;287:=;h3;5;h3;e?6=3`;3n7>5;n06=?6=3th3<<4?:483>5}#:ml1=h=4H22b?M4b;2.:594;2:k2<=<722c:444?::k2N5m:1/=4:5439j5=>=831b=5750;9j5=g=831b=5l50;9l60?=831vn5l<:186>5<7s-8oj7?j3:J04d=O:l90(<7;:508m4>?2900e<66:188m4>f2900e<6m:188k73>2900qo668;291?6=8r.9hk4>e29K75g<@;o87)?64;61?l7?03:17d?79;29?l7?i3:17d?7b;29?j4213:17pl77983>0<729q/>ih51d18L66f3A8n?6*>95876>o6010;66g>8883>>o60h0;66g>8c83>>i5=00;66sm84f94?3=83:p(?ji:0g0?M57i2B9i>5+186905=n9121<75f19;94?=n91k1<75f19`94?=h:<31<75rb91g>5<2290;w)N48h1C>h=4$0;7>145<5<5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;45<72<0;6=u+2ed95`5<@::j7Et$3fe>4c43A9;m6F=e29'5<2=<;1b=5650;9j5=?=831b=5o50;9j5=d=831d>8750;9~f=d5290>6=4?{%0gb?7b;2B8>2900e<6n:188m4>e2900c?;6:188yg>>?3:197>50z&1`c<6m:1C?=o4H3g0?!7><3>97d?78;29?l7?13:17d?7a;29?l7?j3:17b<:9;29?xd??>0;684?:1y'6a`=9l90D>>n;I0f7>"61=0?>6g>8983>>o6000;66g>8`83>>o60k0;66a=5883>>{e018=5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj19h6=4::183!4cn3;n?6F<0`9K6`5<,83?69<4i0:;>5<5<5<55;294~"5lo0:i>5G31c8L7c43-;287:=;h3;5;h3;e?6=3`;3n7>5;n06=?6=3th5}#:ml1=h=4H22b?M4b;2.:594;2:k2<=<722c:444?::k2N5m:1/=4:5439j5=>=831b=5750;9j5=g=831b=5l50;9l60?=831vn5l>:186>5<7s-8oj7?j3:J04d=O:l90(<7;:508m4>?2900e<66:188m4>f2900e<6m:188k73>2900qo666;291?6=8r.9hk4>e29K75g<@;o87)?64;61?l7?03:17d?79;29?l7?i3:17d?7b;29?j4213:17pl77783>0<729q/>ih51d18L66f3A8n?6*>95876>o6010;66g>8883>>o60h0;66g>8c83>>i5=00;66sm84`94?3=83:p(?ji:0g0?M57i2B9i>5+186905=n9121<75f19;94?=n91k1<75f19`94?=h:<31<75rb91a>5<2290;w)N48h1C>h=4$0;7>145<5<5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`4b`<72<0;6=u+2ed95`5<@::j7Et$3fe>4c43A9;m6F=e29'5<2=<;1b=5650;9j5=?=831b=5o50;9j5=d=831d>8750;9~f=d7290>6=4?{%0gb?7b;2B8>2900e<6n:188m4>e2900c?;6:188yg>>=3:197>50z&1`c<6m:1C?=o4H3g0?!7><3>97d?78;29?l7?13:17d?7a;29?l7?j3:17b<:9;29?xd??<0;684?:1y'6a`=9l90D>>n;I0f7>"61=0?>6g>8983>>o6000;66g>8`83>>o60k0;66a=5883>>{e018=5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj19j6=4::183!4cn3;n?6F<0`9K6`5<,83?69<4i0:;>5<5<5<55;294~"5lo0:i>5G31c8L7c43-;287:=;h3;5;h3;e?6=3`;3n7>5;n06=?6=3th5}#:ml1=h=4H22b?M4b;2.:594;2:k2<=<722c:444?::k2N5m:1/=4:5439j5=>=831b=5750;9j5=g=831b=5l50;9l60?=831vn::8:180>5<7s-8oj7?j1:J04d=O:l90(<7;:538m4>?2900e<66:188k73>2900qo8nc;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl84`83>1<729q/>ih51d08L66f3A8n?6*>9580f>o6010;66g>8883>>o60h0;66a=5883>>{e>hl1<7:50;2x 7ba28o97E=?a:J1a6=#90>1?o5f19:94?=n9131<75f19c94?=h:<31<75rb66`>5<2290;w)N48h1C>h=4$0;7>125<5<5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;ec<72<0;6=u+2ed95`5<@::j7Et$3fe>4c43A9;m6F=e29'5<2=<;1b=5650;9j5=?=831b=5o50;9j5=d=831d>8750;9~f=13290>6=4?{%0gb?7b;2B8>2900e<6n:188m4>e2900c?;6:188yg>213:197>50z&1`c<6m:1C?=o4H3g0?!7><3>;7d?78;29?l7?13:17d?7a;29?l7?j3:17b<:9;29?xd?;00;684?:1y'6a`=9l90D>>n;I0f7>"61=0?>6g>8983>>o6000;66g>8`83>>o60k0;66a=5883>>{e08o1<7;50;2x 7ba28o87E=?a:J1a6=#90>18?5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj>lh6=4::183!4cn3;n?6F<0`9K6`5<,83?69<4i0:;>5<5<5<55;294~"5lo0:i>5G31c8L7c43-;287:=;h3;5;h3;e?6=3`;3n7>5;n06=?6=3th3mh4?:483>5}#:ml1=h=4H22b?M4b;2.:594;2:k2<=<722c:444?::k2N5m:1/=4:5439j5=>=831b=5750;9j5=g=831b=5l50;9l60?=831vn59<:186>5<7s-8oj7?j3:J04d=O:l90(<7;:508m4>?2900e<66:188m4>f2900e<6m:188k73>2900qo6:8;291?6=8r.9hk4>e29K75g<@;o87)?64;1e?l7?03:17d?79;29?l7?i3:17d?7b;29?j4213:17pl73983>0<729q/>ih51d18L66f3A8n?6*>95876>o6010;66g>8883>>o60h0;66g>8c83>>i5=00;66sm80f94?3=83:p(?ji:0g0?M57i2B9i>5+186907=n9121<75f19;94?=n91k1<75f19`94?=h:<31<75rb6da>5<2290;w)N48h1C>h=4$0;7>145<5<5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b5<72=0;6=u+2ed95`4<@::j7EN5m:1/=4:5339j5=>=831b=5750;9j5=g=831d>8750;9~f=cb290?6=4?{%0gb?7b:2B8>2900e<6n:188k73>2900qo6jd;290?6=8r.9hk4>e39K75g<@;o87)?64;:8m4>?2900e<66:188m4>f2900c?;6:188yg>bk3:187>50z&1`c<6m;1C?=o4H3g0?!7><3997d?78;29?l7?13:17d?7a;29?j4213:17pl7ec83>1<729q/>ih51d08L66f3A8n?6*>958;?l7?03:17d?79;29?l7?i3:17b<:9;29?xd?mh0;694?:1y'6a`=9l80D>>n;I0f7>"61=037d?78;29?l7?13:17d?7a;29?j4213:17pl7e883>1<729q/>ih51d08L66f3A8n?6*>9585?l7?03:17d?79;29?l7?i3:17b<:9;29?xd0j90;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=08m6g>8983>>o6000;66a=5883>>{e?ho1<7;50;2x 7ba28o87E=?a:J1a6=#90>1?n5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj>;>6=4<:183!4cn3;n=6F<0`9K6`5<,83?6>o4i0:;>5<5<55;294~"5lo0:i>5G31c8L7c43-;287=l;h3;5;h3;e?6=3`;3n7>5;n06=?6=3th5}#:ml1=h<4H22b?M4b;2.:594;3:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=<:1b=5650;9j5=?=831b=5o50;9l60?=831vn:l<:186>5<7s-8oj7?j3:J04d=O:l90(<7;:2a8m4>?2900e<66:188m4>f2900e<6m:188k73>2900qo9;5;291?6=8r.9hk4>e29K75g<@;o87)?64;67?l7?03:17d?79;29?l7?i3:17d?7b;29?j4213:17pl81983>0<729q/>ih51d18L66f3A8n?6*>9580g>o6010;66g>8883>>o60h0;66g>8c83>>i5=00;66sm6`c94?3=83:p(?ji:0g0?M57i2B9i>5+186901=n9121<75f19;94?=n91k1<75f19`94?=h:<31<75rb6a5>5<4290;w)N48h1C>h=4$0;7>7?5<5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b2<72<0;6=u+2ed95`5<@::j7E5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b3<72<0;6=u+2ed95`5<@::j7E5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b0<72<0;6=u+2ed95`5<@::j7E3`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b1<72<0;6=u+2ed95`5<@::j7E5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b6<72<0;6=u+2ed95`5<@::j7E5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b7<72<0;6=u+2ed95`5<@::j7E3`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b4<72<0;6=u+2ed95`5<@::j7E5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`6gd<72;0;6=u+2ed95`6<@::j7EN5m:1/=4:5289j5=>=831d>8750;9~f0eb29096=4?{%0gb?7b82B82900qo;k0;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd2l;0;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm5e694?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj5<3`;347>5;n06=?6=3th>hl4?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::a1f2=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn8m9:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188yg3d03:1>7>50z&1`c<6m91C?=o4H3g0?!7><3827d?78;29?j4213:17pl:8783>7<729q/>ih51d28L66f3A8n?6*>9581=>o6010;66a=5883>>{e=121<7<50;2x 7ba28o;7E=?a:J1a6=#90>1>45f19:94?=h:<31<75rb4:b>5<5290;w)N48h1C>h=4$0;7>7?5<52;294~"5lo0:i=5G31c8L7c43-;287<6;h3;57>5;|`6<`<72;0;6=u+2ed95`6<@::j7E50;094?6|,;nm6N5m:1/=4:5289j5=>=831d>8750;9~f0?529096=4?{%0gb?7b82B82900qo;64;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd21?0;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm59294?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj<296=4=:183!4cn3;n<6F<0`9K6`5<,83?6?74i0:;>5<3`;347>5;n06=?6=3th?mn4?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::a0dc=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn9l?:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188yg2e:3:1>7>50z&1`c<6m91C?=o4H3g0?!7><3827d?78;29?j4213:17pl;b583>7<729q/>ih51d28L66f3A8n?6*>9581=>o6010;66a=5883>>{e1>45f19:94?=h:<31<75rb5`;>5<5290;w)N48h1C>h=4$0;7>7?5<im7>52;294~"5lo0:i=5G31c8L7c43-;287<6;h3;57>5;|`7ff<72;0;6=u+2ed95`6<@::j7EN5m:1/=4:5289j5=>=831d>8750;9~f1g?29096=4?{%0gb?7b82B82900qo:na;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd2<;0;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm55694?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj<>=6=4=:183!4cn3;n<6F<0`9K6`5<,83?6?74i0:;>5<3`;347>5;n06=?6=3th>8l4?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::a11e=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn8:j:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188yg3283:1>7>50z&1`c<6m91C?=o4H3g0?!7><3827d?78;29?j4213:17pl:5383>7<729q/>ih51d28L66f3A8n?6*>9581=>o6010;66a=5883>>{e=:i1<7<50;2x 7ba28o;7E=?a:J1a6=#90>1>45f19:94?=h:<31<75rb41f>5<5290;w)N48h1C>h=4$0;7>7?5<52;294~"5lo0:i=5G31c8L7c43-;287<6;h3;57>5;|`72=<72;0;6=u+2ed95`6<@::j7EN5m:1/=4:5289j5=>=831d>8750;9~f10d29096=4?{%0gb?7b82B82900qo:9e;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd3?90;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm46094?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj==?6=4=:183!4cn3;n<6F<0`9K6`5<,83?6?74i0:;>5<3`;347>5;n06=?6=3th?;54?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::a034=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn98;:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188yg21>3:1>7>50z&1`c<6m91C?=o4H3g0?!7><3827d?78;29?j4213:17pl;fd83>7<729q/>ih51d28L66f3A8n?6*>9581=>o6010;66a=5883>>{e=9:1<7<50;2x 7ba28o;7E=?a:J1a6=#90>1>45f19:94?=h:<31<75rb421>5<5290;w)N48h1C>h=4$0;7>7?5<52;294~"5lo0:i=5G31c8L7c43-;287<6;h3;57>5;|`643<72;0;6=u+2ed95`6<@::j7EN5m:1/=4:5289j5=>=831d>8750;9~f06f29096=4?{%0gb?7b82B82900qo;?c;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd28l0;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm4g:94?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj=lj6=4=:183!4cn3;n<6F<0`9K6`5<,83?6?74i0:;>5<3`;347>5;n06=?6=3th?>94?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::a070=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn9<7:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188yg25i3:1>7>50z&1`c<6m91C?=o4H3g0?!7><3827d?78;29?j4213:17pl;2b83>7<729q/>ih51d28L66f3A8n?6*>9581=>o6010;66a=5883>>{e<;o1<7<50;2x 7ba28o;7E=?a:J1a6=#90>1>45f19:94?=h:<31<75rb513>5<5290;w)N48h1C>h=4$0;7>7?5<8>7>52;294~"5lo0:i=5G31c8L7c43-;287<6;h3;57>5;|`771<72;0;6=u+2ed95`6<@::j7EN5m:1/=4:5289j5=>=831d>8750;9~f14729096=4?{%0gb?7b82B82900qo:=2;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd2m90;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm58a94?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj=i96=4=:183!4cn3;n<6F<0`9K6`5<,83?6?74i0:;>5<3`;347>5;n06=?6=3th?;h4?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::a142=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn9=n:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188ygd?83:187>50z&1`c<6m;1C?=o4H3g0?!7><3;8983>>o6000;66g>8`83>>i5=00;66sm7`d94?3=83:p(?ji:0g0?M57i2B9i>5+18697f=n9121<75f19;94?=n91k1<75f19`94?=h:<31<75rb637>5<2290;w)N48h1C>h=4$0;7>6e5<5<t$3fe>4c43A9;m6F=e29'5<2=9180e<67:188m4>>2900e<6n:188m4>e2900c?;6:188yg>703:197>50z&1`c<6m:1C?=o4H3g0?!7><3;8983>>o6000;66g>8`83>>o60k0;66a=5883>>{e?jh1<7;50;2x 7ba28o87E=?a:J1a6=#90>1=:l4i0:;>5<5<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo798;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1ji1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wi5k650;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188ygg7:3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm96c94?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo7i7;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1o?1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wi5:k50;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?0l3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9g494?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qoo?e;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1>l1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wi5n:50;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?d?3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9b794?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo770;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1j<1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wim<>50;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188ygg693:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm99094?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo7le;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1jh1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wi5k750;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?113:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9bf94?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo79a;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1oh1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wi5ko50;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?c;3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9e394?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo79c;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1m>1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wi5i950;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?1l3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9gg94?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo7ka;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1m21<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wi5kh50;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?1n3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9e`94?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo780;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{ei9;1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wim=>50;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?b93:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9eg94?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo783;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1l81<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wi5h:50;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?0<3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sma1794?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo7j7;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1l?1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wim=850;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?0>3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9d:94?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo787;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{ei921<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wim=950;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?bm3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9da94?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo789;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1ll1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<2c:454?::k2<<<722c:4l4?::m11<<722wi5k<50;694?6|,;nm6N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?0j3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sma1a94?2=83:p(?ji:0g1?M57i2B9i>5+18695205<5<54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k21<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo7i3;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1o>1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<5<5;h0g3?6=3f8>57>5;|`:0<<72=0;6=u+2ed95`0<@::j7E1<7>t$3fe>4c13A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<2f290?6=4?{%0gb?7b>2B8?2900e<66:188m7b02900c?;6:188yg?fn3:187>50z&1`c<6m?1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd>=<0;694?:1y'6a`=9l<0D>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e1kk1<7:50;2x 7ba28o=7E=?a:J1a6=n9121<75f19;94?=n:m=1<75`24;94?=zj0<;6=4;:183!4cn3;n:6F<0`9K6`55<5<54;294~"5lo0:i;5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3th2:?4?:583>5}#:ml1=h84H22b?M4b;2c:454?::k2<<<722c9h:4?::m11<<722wi5oj50;694?6|,;nm6N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn48<:187>5<7s-8oj7?j6:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7me;290?6=8r.9hk4>e79K75g<@;o87d?78;29?l7?13:17d1<729q/>ih51d48L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm9cd94?2=83:p(?ji:0g5?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb846>5<3290;w)N48h1C>h=4i0:;>5<5<5;h0g3?6=3f8>57>5;|`:23<72=0;6=u+2ed95`0<@::j7E1<7>t$3fe>4c13A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<00290?6=4?{%0gb?7b>2B8?2900e<66:188m7b02900c?;6:188yg?f>3:187>50z&1`c<6m?1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e1h=1<7:50;2x 7ba28o=7E=?a:J1a6=n9121<75f19;94?=n:m=1<75`24;94?=zj0>o6=4;:183!4cn3;n:6F<0`9K6`55<5<54;294~"5lo0:i;5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3th28h4?:583>5}#:ml1=h84H22b?M4b;2c:454?::k2<<<722c9h:4?::m11<<722wi5l750;694?6|,;nm6N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn4:i:187>5<7s-8oj7?j6:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7na;290?6=8r.9hk4>e79K75g<@;o87d?78;29?l7?13:17d1<729q/>ih51d48L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm9``94?2=83:p(?ji:0g5?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb872>5<3290;w)N48h1C>h=4i0:;>5<5<5;h0g3?6=3f8>57>5;|`:17<72=0;6=u+2ed95`0<@::j7E1<7>t$3fe>4c13A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<34290?6=4?{%0gb?7b>2B8?2900e<66:188m7b02900c?;6:188yg?fm3:187>50z&1`c<6m?1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd>==0;694?:1y'6a`=9l<0D>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e1k:1<7:50;2x 7ba28o=7E=?a:J1a6=n9121<75f19;94?=n:m=1<75`24;94?=zj0?=6=4;:183!4cn3;n:6F<0`9K6`55<5<7>54;294~"5lo0:i;5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3th2954?:583>5}#:ml1=h84H22b?M4b;2c:454?::k2<<<722c9h:4?::m11<<722wi5o=50;694?6|,;nm6N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn4;6:187>5<7s-8oj7?j6:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7m6;290?6=8r.9hk4>e79K75g<@;o87d?78;29?l7?13:17d1<729q/>ih51d48L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm9c594?2=83:p(?ji:0g5?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb87g>5<3290;w)N48h1C>h=4i0:;>5<5<5;h0g3?6=3f8>57>5;|`:1`<72=0;6=u+2ed95`0<@::j7E1<7>t$3fe>4c13A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<3a290?6=4?{%0gb?7b>2B8?2900e<66:188m7b02900c?;6:188yg?ej3:187>50z&1`c<6m?1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd>>80;694?:1y'6a`=9l<0D>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e19k1<7:50;2x 7ba28o<7E=?a:J1a6=n9121<75f19;94?=n:m=1<75`24;94?=zj0:i6=4;:183!4cn3;n;6F<0`9K6`55<5<54;294~"5lo0:i:5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3th2><4?:583>5}#:ml1=h94H22b?M4b;2c:454?::k2<<<722c9h:4?::m11<<722wi5?=50;694?6|,;nm6N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn4<;:187>5<7s-8oj7?j7:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7=5;290?6=8r.9hk4>e69K75g<@;o87d?78;29?l7?13:17d1<729q/>ih51d58L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm93594?2=83:p(?ji:0g4?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb80;>5<3290;w)N48h1C>h=4i0:;>5<5<5;h0g3?6=3f8>57>5;|`:4`<72=0;6=u+2ed95`1<@::j7E1<7>t$3fe>4c03A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<77290?6=4?{%0gb?7b?2B8?2900e<66:188m7b02900c?;6:188yg?693:187>50z&1`c<6m>1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd>9;0;694?:1y'6a`=9l=0D>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e1891<7:50;2x 7ba28o<7E=?a:J1a6=n9121<75f19;94?=n:m=1<75`24;94?=zj0;?6=4;:183!4cn3;n;6F<0`9K6`55<5<54;294~"5lo0:i:5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3th2=:4?:583>5}#:ml1=h94H22b?M4b;2c:454?::k2<<<722c9h:4?::m11<<722wi5<750;694?6|,;nm6N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn4?n:187>5<7s-8oj7?j7:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7>d;290?6=8r.9hk4>e69K75g<@;o87d?78;29?l7?13:17d1<729q/>ih51d58L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm90d94?2=83:p(?ji:0g4?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb803>5<3290;w)N48h1C>h=4i0:;>5<5<5;h0g3?6=3f8>57>5;|`;a0<72=0;6=u+2ed95=6<@::j7E5<52;294~"5lo0:h55G31c8L7c43`;3;7>5;n06=?6=3th?ni4?:383>5}#:ml1=i64H22b?M4b;2c:4:4?::m11<<722wi98=50;094?6|,;nm6N5m:1b=5950;9l60?=831vn996:181>5<7s-8oj7?k8:J04d=O:l90e<68:188k73>2900qo;?f;296?6=8r.9hk4>d99K75g<@;o87d?77;29?j4213:17pl;3483>7<729q/>ih51e:8L66f3A8n?6g>8683>>i5=00;66sm81794?4=83:p(?ji:0f;?M57i2B9i>5f19594?=h:<31<75rb6a;>5<5290;w)N48h1C>h=4i0:4>5<09476>852d81b?502:21qc?=8;28j42f291/>i6516a8 7be2:1/=4;54:&2=3<33-;2;7:4$0;;>1=#903186*>9`87?!7>j3>0(<7l:59'5"6i;0?7)?n3;68 4g32=1/=l;54:&2e3<33-;j;7:4$0c;>1=#9h3186*>a`87?!7fj3>0("6j;0?7)?m3;68 4d32=1/=o;54:&2f3<33-;i;7:4$0`;>1=#9k3186*>b`87?!7ej3>0("6k;0?7)?l3;68 4e32=1/=n;54:&2g3<33-;h;7:4$0a;>1=#9j3186*>c`87?!7dj3>0("6l;0?7)?k3;68 4b32=1/=i9524:8 4b22:1/=i853:&21=#91l186*>9187?!7>93>0(864n3f1>4=i:m91=6*=d8811==#908186*>9287?!4a:38m=6*=f281b4=i:o>1=6`=f482?!4ck390e;l50;9j2f<722c<=7>5;h51>5<5<6=44i05:>5<5<>o3j3:1(?;i:5c8j73b2910e9750;&11c<3i2d99h4>;:k77=h5=l0876g;6;29 73a2=k0b?;j:598m02=83.99k4;a:l11`<232c>?7>5$37e>1go293:1(?;i:5c8j73b2110e8>50;&11c<3i2d99h46;:k7b?6=,;?m69o4n37f>d=h5=l0i76g;d;29 73a2=k0b?;j:b98m1e=83.99k4;a:l11`5$37e>1gm6`=5d83?>o213:1(?;i:4c8j73b2810e8650;&11c<2i2d99h4=;:k63?6=,;?m68o4n37f>6=h5=l0?76g94;29 73a27>5$37e>0gm6`=5d8;?>o183:1(?;i:4c8j73b2010e8h50;&11c<2i2d99h4n;:k6a?6=,;?m68o4n37f>g=h5=l0h76g:c;29 73a25$37e>g46`=5d82?>ofn3:1(?;i:c08j73b2;10elk50;&11c1=h5=l0>76gnb;29 73a2k80b?;j:798mdg=83.99k4m2:l11`<032cj57>5$37e>g46`=5d8:?>of?3:1(?;i:c08j73b2h10el850;&11cf=h5=l0o76gn2;29 73a2k80b?;j:d98md7=83.99k4m2:l11`5$37e>g44;h;e>5<#:h5=l0:>65f9e83>!42n3h97c<:e;30?>o>k3:1(?;i:c08j73b28>07d7m:18'60`=j;1e>8k51498mgg=83.99k4m2:l11`<6>21bn44?:%06b?d53g8>i7?8;:ka4><3`h<6=4+24d9f7=i:6`=5d82e>=nj<0;6)<:f;`1?k42m3;i76gm4;29 73a2k80b?;j:0a8?ld7290/>8h5b39m60c=9m10el;50;&11ce:9j=d<72-8>j7l=;o06a?7a32co87>5$37e>a5oc83:1(?;i:e18j73b2;10enh50;&11c1=h5=l0>76glc;29 73a2m90b?;j:798mfd=83.99k4k3:l11`<032chm7>5$37e>a5od03:1(?;i:e18j73b2h10en950;&11cf=1<7*=5g8g7>h5=l0o76gl3;29 73a2m90b?;j:d98mf4=83.99k4k3:l11`5$37e>a54;ha3>5<#:5a24g954=h5=l0:>65fbd83>!42n3n87c<:e;30?>oel3:1(?;i:e18j73b28>07dll:18'60`=l:1e>8k51498mad=83.99k4k3:l11`<6>21bhl4?:%06b?b43g8>i7?8;:kg=?6=,;?m6i=4n37f>4><3`n36=4+24d9`6=i:=nl?0;6)<:f;f0?k42m3;i76gk5;29 73a2m90b?;j:0a8?lb6290/>8h5d29m60c=9m10en850;&11ce:9jfg<72-8>j7j<;o06a?7a32c:<<4?:%06b?7782d99h4?;:keb?6=,;?m6<>?;o06a?7<3`ln6=4+24d9556=n99<1<7*=5g8240=i:=n9991<7*=5g8240=i:65f11094?"5=o0:<85a24g97>=n:lh1<7*=5g81ad=i:=n:l21<7*=5g81ad=i:65f2d594?"5=o09il5a24g97>=n:o:1<7*=5g81ac=i:=n:ln1<7*=5g81ac=i:65f2da94?"5=o09ik5a24g97>=h9;:1<7*=5g825c=i:=h98i1<7*=5g825c=i:65`10`94?"5=o0:=k5a24g97>=h98k1<7*=5g825c=i:=h9821<7*=5g825c=i:=h98<1<7*=5g825c=i:=h98>1<7*=5g825c=i:=h98;1<7*=5g825c=i:=h99l1<7*=5g825c=i:=h99n1<7*=5g825c=i:5<#:47<3f;;n7>5$37e>47a3g8>i7?=;:m24d<72-8>j7?>f:l11`<6;21d==750;&11c<69o1e>8k51598k46?290/>8h510d8j73b28?07b?=7;29 73a28;m7c<:e;35?>i6:?0;6)<:f;32b>h5=l0:;65`13794?"5=o0:=k5a24g95==?4?:%06b?76n2d99h4>b:9l577=83.99k4>1g9m60c=9j10c0683>!42n3;:j6`=5d82b>=h9=81<7*=5g8204=i:=h9:o1<7*=5g8204=i:65`12f94?"5=o0:8<5a24g97>=h9:i1<7*=5g8204=i:=h9:k1<7*=5g8204=i:=h9:21<7*=5g8204=i:=h9:<1<7*=5g8204=i:=h9:91<7*=5g8204=i:=h9:;1<7*=5g8204=i:=h9;l1<7*=5g8204=i:5<#:47<3f;9h7>5$37e>4263g8>i7?=;:m26f<72-8>j7?;1:l11`<6;21d=?l50;&11c<6<81e>8k51598k44f290/>8h51538j73b28?07b?;9;29 73a28>:7c<:e;35?>i6<10;6)<:f;375>h5=l0:;65`15594?"5=o0:8<5a24g95===6=4+24d9517;o06a?7f32e:894?:%06b?7392d99h4>b:9l515=83.99k4>409m60c=9j10c<=i:18'60`=9=;0b?;j:0f8?j74<3:1(?;i:062?k42m3;n76a>2883>!42n3;?=6`=5d82b>=h9=l1<7*=5g820`=i:=h9=i1<7*=5g820`=i:65`15`94?"5=o0:8h5a24g97>=h9<>1<7*=5g8216=i:5a24g95>=h9<;1<7*=5g8216=i:65`14294?"5=o0:9>5a24g97>=zjk7g=:k08?7=;:27973<5m38m6>95398~j44?291e=9o50:&1`=<6?j1/>il53:&2=0<33-;2:7:4$0;4>1=#902186*>9887?!7>i3>0(<7m:59'5"6i80?7)?n2;68 4g42=1/=l:54:&2e0<33-;j:7:4$0c4>1=#9h2186*>a887?!7fi3>0("6j80?7)?m2;68 4d42=1/=o:54:&2f0<33-;i:7:4$0`4>1=#9k2186*>b887?!7ei3>0("6k80?7)?l2;68 4e42=1/=n:54:&2g0<33-;h:7:4$0a4>1=#9j2186*>c887?!7di3>0("6l80?7)?k2;68 4b42=1/=i:54:&2`2<5=11/=i;53:&2`3<43-;3o7:4$0:g>1=#91o186*>8g87?!7>83>0(<7>:59'5a?=9>i0(46*=c1811==i:m81=6`=d282?!4c138>46*>9387?!7>;3>0(?h=:3d2?!4a;38m=6`=f582?k4a=3;0(?jl:29j2g<722c=o7>5;h52>5<81<75f16:94?=n:m>1<75f2e794?=n9>31<75f2ef94?=n:mo1<75`6e83>>i1m3:17d:m:18'60`=8k50:9j0<<72-8>j7:n;o06a?7<3`>36=4+24d90d=i:65f4683>!42n3>j7c<:e;18?l21290/>8h54`9m60c=<21b994?:%06b?2f3g8>i7;4;h70>5<#:=n=;0;6)<:f;6b?k42m3=07d;>:18'60`=8k58:9j15<72-8>j7:n;o06a??<3`>m6=4+24d90d=i:!42n3>j7c<:e;`8?l2c290/>8h54`9m60c=k21b8n4?:%06b?2f3g8>i7j4;h66>5<#:=n=k0;6)<:f;7b?k42m3:07d;6:18'60`==h1e>8k51:9j1=<72-8>j7;n;o06a?4<3`?<6=4+24d91d=i:!42n3?j7c<:e;68?l03290/>8h55`9m60c==21b:>4?:%06b?3f3g8>i784;h41>5<#:=n>80;6)<:f;7b?k42m3207d8?:18'60`==h1e>8k59:9j1c<72-8>j7;n;o06a?g<3`?n6=4+24d91d=i:!42n3?j7c<:e;a8?l3d290/>8h55`9m60c=l21b984?:%06b?3f3g8>i7k4;h`0>5<#:=nj80;6)<:f;`1?k42m3;07doi:18'60`=j;1e>8k52:9je`<72-8>j7l=;o06a?5<3`ko6=4+24d9f7=i:!42n3h97c<:e;78?lge290/>8h5b39m60c=>21bml4?:%06b?d53g8>i794;hc:>5<#:=ni10;6)<:f;`1?k42m3307do8:18'60`=j;1e>8k5a:9je3<72-8>j7l=;o06a?d<3`k?6=4+24d9f7=i:!42n3h97c<:e;f8?lg5290/>8h5b39m60c=m21bm<4?:%06b?d53g8>i7h4;hc3>5<#:h5=l0:=65f9d83>!42n3h97c<:e;31?>o>l3:1(?;i:c08j73b28907d7l:18'60`=j;1e>8k51598mi7?9;:ka=?6=,;?m6o<4n37f>41<3`h36=4+24d9f7=i:6`=5d82=>=nj?0;6)<:f;`1?k42m3;j76gm5;29 73a2k80b?;j:0`8?ld3290/>8h5b39m60c=9j10eo>50;&11cd:9je0<72-8>j7l=;o06a?7b32c2m7>5$37e>g45<#:5a24g94>=nl;0;6)<:f;f0?k42m3;07dj?:18'60`=l:1e>8k52:9jgc<72-8>j7j<;o06a?5<3`in6=4+24d9`6=i:!42n3n87c<:e;78?led290/>8h5d29m60c=>21boo4?:%06b?b43g8>i794;hab>5<#:5a24g9<>=nk00;6)<:f;f0?k42m3307dm7:18'60`=l:1e>8k5a:9jg2<72-8>j7j<;o06a?d<3`i>6=4+24d9`6=i:!42n3n87c<:e;f8?le4290/>8h5d29m60c=m21bo?4?:%06b?b43g8>i7h4;ha2>5<#:5a24g955=h5=l0:=65fbg83>!42n3n87c<:e;31?>oem3:1(?;i:e18j73b28907dlk:18'60`=l:1e>8k51598mge=83.99k4k3:l11`<6=21bho4?:%06b?b43g8>i7?9;:kge?6=,;?m6i=4n37f>41<3`n26=4+24d9`6=i:=nl>0;6)<:f;f0?k42m3;j76gk6;29 73a2m90b?;j:0`8?lb2290/>8h5d29m60c=9j10ei?50;&11cd:9jg3<72-8>j7j<;o06a?7b32cin7>5$37e>a5?;o06a?6<3`lm6=4+24d9556=nnm0;6)<:f;334>h5=l0876g>0783>!42n3;;96`=5d83?>o68=0;6)<:f;331>h5=l0:76g>0283>!42n3;;96`=5d81?>o68;0;6)<:f;331>h5=l0876g=ec83>!42n38nm6`=5d83?>o5m00;6)<:f;0fe>h5=l0:76g=e983>!42n38nm6`=5d81?>o5m>0;6)<:f;0fe>h5=l0876g=f183>!42n38nj6`=5d83?>o5ml0;6)<:f;0fb>h5=l0:76g=ee83>!42n38nj6`=5d81?>o5mj0;6)<:f;0fb>h5=l0876a>2183>!42n3;:j6`=5d83?>i69l0;6)<:f;32b>h5=l0:76a>1b83>!42n3;:j6`=5d81?>i69k0;6)<:f;32b>h5=l0876a>1`83>!42n3;:j6`=5d87?>i6900;6)<:f;32b>h5=l0>76a>1983>!42n3;:j6`=5d85?>i69>0;6)<:f;32b>h5=l0<76a>1783>!42n3;:j6`=5d8;?>i69<0;6)<:f;32b>h5=l0276a>1583>!42n3;:j6`=5d8b?>i69:0;6)<:f;32b>h5=l0i76a>1083>!42n3;:j6`=5d8`?>i6990;6)<:f;32b>h5=l0o76a>0g83>!42n3;:j6`=5d8f?>i68l0;6)<:f;32b>h5=l0m76a>0e83>!42n3;:j6`=5d824>=h99i1<7*=5g825c=i:5<#:44<3f;;m7>5$37e>47a3g8>i7?<;:m24<<72-8>j7?>f:l11`<6<21d==650;&11c<69o1e>8k51498k440290/>8h510d8j73b28<07b?=6;29 73a28;m7c<:e;34?>i6:<0;6)<:f;32b>h5=l0:465`13694?"5=o0:=k5a24g95<=<4?:%06b?76n2d99h4>c:9l54b=83.99k4>1g9m60c=9m10c4383>!42n3;?=6`=5d83?>i6<90;6)<:f;375>h5=l0:76a>3d83>!42n3;?=6`=5d81?>i6;m0;6)<:f;375>h5=l0876a>3b83>!42n3;?=6`=5d87?>i6;k0;6)<:f;375>h5=l0>76a>3`83>!42n3;?=6`=5d85?>i6;00;6)<:f;375>h5=l0<76a>3983>!42n3;?=6`=5d8;?>i6;>0;6)<:f;375>h5=l0276a>3783>!42n3;?=6`=5d8b?>i6;<0;6)<:f;375>h5=l0i76a>3283>!42n3;?=6`=5d8`?>i6;;0;6)<:f;375>h5=l0o76a>3083>!42n3;?=6`=5d8f?>i6;90;6)<:f;375>h5=l0m76a>2g83>!42n3;?=6`=5d824>=h9;o1<7*=5g8204=i:5<#:44<3f;9o7>5$37e>4263g8>i7?<;:m26g<72-8>j7?;1:l11`<6<21d=?o50;&11c<6<81e>8k51498k42>290/>8h51538j73b28<07b?;8;29 73a28>:7c<:e;34?>i6<>0;6)<:f;375>h5=l0:465`15494?"5=o0:8<5a24g95<=>6=4+24d9517;o06a?7e32e:8>4?:%06b?7392d99h4>c:9l56`=83.99k4>409m60c=9m10c<=;:18'60`=9=;0b?;j:0g8?j7513:1(?;i:062?k42m3;m76a>4g83>!42n3;?i6`=5d83?>i6h5=l0:76a>4b83>!42n3;?i6`=5d81?>i6h5=l0876a>5583>!42n3;>?6`=5d83?>i6=;0;6)<:f;367>h5=l0:76a>5083>!42n3;>?6`=5d81?>i6=90;6)<:f;367>h5=l0876smb6594?e5290;w)N48h1C>h=4Z7;9g~402;21>l4=b;10>62=;<08:71=#90=186*>9987?!7>13>0(<7n:59'5"6i90?7)?n1;68 4g52=1/=l=54:&2e1<33-;j97:4$0c5>1=#9h=186*>a987?!7f13>0("6j90?7)?m1;68 4d52=1/=o=54:&2f1<33-;i97:4$0`5>1=#9k=186*>b987?!7e13>0("6k90?7)?l1;68 4e52=1/=n=54:&2g1<33-;h97:4$0a5>1=#9j=186*>c987?!7d13>0("6l90?7)?k1;68 4b52=1/=i=54:&2`1<33-;o;7<:8:&2`0<43-;o:7=4$0:`>1=#91n186*>8d87?!7?n3>0(<7?:59'5<7=<2.:h44>7b9'5ag=9>i0(?li:37;?!4d838>46`=d382?k4c;3;0(?j6:37;?!7>:3>0(<7<:59'6c4=:o;0(?h<:3d2?k4a<3;0b?h::09'6ae=;2c=n7>5;h4`>5<;1<75f7383>>o6?10;66g=d583>>o5l<0;66g>7883>>o5lm0;66g=dd83>>i1l3:17b8j:188m1d=83.99k4;a:l11`<732c?57>5$37e>1go3?3:1(?;i:5c8j73b2:10e9850;&11c<3i2d99h4;;:k60?6=,;?m69o4n37f>0=h5=l0=76g:2;29 73a2=k0b?;j:698m07=83.99k4;a:l11`<7>5$37e>1go3m3:1(?;i:5c8j73b2k10e9j50;&11c<3i2d99h4l;:k7g?6=,;?m69o4n37f>a=h5=l0n76g:b;29 73a247>5$37e>0gm6`=5d80?>o2>3:1(?;i:4c8j73b2=10e;:50;&11c<2i2d99h4:;:k57?6=,;?m68o4n37f>3=h5=l0<76g91;29 73a232c>j7>5$37e>0gm6`=5d8a?>o2l3:1(?;i:4c8j73b2j10e8m50;&11c<2i2d99h4k;:k61?6=,;?m68o4n37f>`=h5=l0;76gm1;29 73a2k80b?;j:098md`=83.99k4m2:l11`<532cji7>5$37e>g454i`f94?"5=o0i>6`=5d87?>ofk3:1(?;i:c08j73b2<10ell50;&11c2=h5=l0376gn8;29 73a2k80b?;j:898md1=83.99k4m2:l11`5$37e>g46`=5d8`?>of;3:1(?;i:c08j73b2m10el<50;&11cc=h5=l0:<65f9g83>!42n3h97c<:e;32?>o>m3:1(?;i:c08j73b28807d7k:18'60`=j;1e>8k51298mi7?:;:kae?6=,;?m6o<4n37f>40<3`h26=4+24d9f7=i:6`=5d82<>=nj>0;6)<:f;`1?k42m3;276gm6;29 73a2k80b?;j:0c8?ld2290/>8h5b39m60c=9k10eo:50;&11cc:9jf5<72-8>j7l=;o06a?7c32cj97>5$37e>g45<#:1<7*=5g8g7>h5=l0;76gk2;29 73a2m90b?;j:098ma6=83.99k4k3:l11`<532chj7>5$37e>a554ibg94?"5=o0o?6`=5d87?>odl3:1(?;i:e18j73b2<10enm50;&11c2=h5=l0376gl9;29 73a2m90b?;j:898mf>=83.99k4k3:l11`5$37e>a5od<3:1(?;i:e18j73b2m10en=50;&11cc=h5=l0:<65fc183>!42n3n87c<:e;32?>oen3:1(?;i:e18j73b28807dlj:18'60`=l:1e>8k51298mgb=83.99k4k3:l11`<6<21bnn4?:%06b?b43g8>i7?:;:kgf?6=,;?m6i=4n37f>40<3`nj6=4+24d9`6=i:=nl10;6)<:f;f0?k42m3;276gk7;29 73a2m90b?;j:0c8?lb1290/>8h5d29m60c=9k10ei;50;&11cc:9j`4<72-8>j7j<;o06a?7c32ch:7>5$37e>a55<#:5a24g95c==nnl0;6)<:f;334>h5=l0976gid;29 73a28:;7c<:e;18?l77>3:1(?;i:026?k42m3:07d??4;29 73a28:>7c<:e;38?l77;3:1(?;i:026?k42m3807d??2;29 73a28:>7c<:e;18?l4bj3:1(?;i:3gb?k42m3:07de;29 73a28;m7c<:e;38?j76k3:1(?;i:03e?k42m3807b?>b;29 73a28;m7c<:e;18?j76i3:1(?;i:03e?k42m3>07b?>9;29 73a28;m7c<:e;78?j7603:1(?;i:03e?k42m3<07b?>7;29 73a28;m7c<:e;58?j76>3:1(?;i:03e?k42m3207b?>5;29 73a28;m7c<:e;;8?j76<3:1(?;i:03e?k42m3k07b?>3;29 73a28;m7c<:e;`8?j7693:1(?;i:03e?k42m3i07b?>0;29 73a28;m7c<:e;f8?j77n3:1(?;i:03e?k42m3o07b??e;29 73a28;m7c<:e;d8?j77l3:1(?;i:03e?k42m3;;76a>0b83>!42n3;:j6`=5d825>=h99h1<7*=5g825c=i:5<#:45<3f;;57>5$37e>47a3g8>i7?;;:m24=<72-8>j7?>f:l11`<6=21d=?950;&11c<69o1e>8k51798k441290/>8h510d8j73b28=07b?=5;29 73a28;m7c<:e;3;?>i6:=0;6)<:f;32b>h5=l0:565`13194?"5=o0:=k5a24g95d=d:9l544=83.99k4>1g9m60c=9l10c<>8:18'60`=98l0b?;j:0d8?j73:3:1(?;i:062?k42m3:07b?;0;29 73a28>:7c<:e;38?j74m3:1(?;i:062?k42m3807b?:7c<:e;18?j74k3:1(?;i:062?k42m3>07b?:7c<:e;78?j74i3:1(?;i:062?k42m3<07b?<9;29 73a28>:7c<:e;58?j7403:1(?;i:062?k42m3207b?<7;29 73a28>:7c<:e;;8?j74>3:1(?;i:062?k42m3k07b?<5;29 73a28>:7c<:e;`8?j74;3:1(?;i:062?k42m3i07b?<2;29 73a28>:7c<:e;f8?j7493:1(?;i:062?k42m3o07b?<0;29 73a28>:7c<:e;d8?j75n3:1(?;i:062?k42m3;;76a>2d83>!42n3;?=6`=5d825>=h9;n1<7*=5g8204=i:5<#:45<3f;9n7>5$37e>4263g8>i7?;;:m26d<72-8>j7?;1:l11`<6=21d=9750;&11c<6<81e>8k51798k42?290/>8h51538j73b28=07b?;7;29 73a28>:7c<:e;3;?>i6h5=l0:565`15794?"5=o0:8<5a24g95d=?6=4+24d9517;o06a?7d32e:?k4?:%06b?7392d99h4>d:9l562=83.99k4>409m60c=9l10c<<6:18'60`=9=;0b?;j:0d8?j73n3:1(?;i:06f?k42m3:07b?;d;29 73a28>n7c<:e;38?j73k3:1(?;i:06f?k42m3807b?;b;29 73a28>n7c<:e;18?j72<3:1(?;i:070?k42m3:07b?:2;29 73a28?87c<:e;38?j7293:1(?;i:070?k42m3807b?:0;29 73a28?87c<:e;18?xde?10;6n<50;2x 7ba2;hn7E=?a:J1a6=]>00hw?952981e?4e2:91?94<5;15>7c=:o08;7=7:|l26=<73g;?m7>4$3f;>41d3-8on7=4$0;6>1=#90<186*>9687?!7>03>0(<76:59'5"61o0?7)?n0;68 4g62=1/=l<54:&2e6<33-;j87:4$0c6>1=#9h<186*>a687?!7f03>0("6io0?7)?m0;68 4d62=1/=o<54:&2f6<33-;i87:4$0`6>1=#9k<186*>b687?!7e03>0("6jo0?7)?l0;68 4e62=1/=n<54:&2g6<33-;h87:4$0a6>1=#9j<186*>c687?!7d03>0("6ko0?7)?k0;68 4b62=1/=i<54:&2`6<33-;o87:4$0f4>73?3-;o97=4$0f5>6=#91i186*>8e87?!7?m3>0(<6i:59'5<6=<2.:5<4;;%3g=?70k2.:hl4>7b9'6g`=:<20(?m?:37;?k4c:3;0b?j<:09'6a?=:<20(<7=:59'5<5=<2.9j?4=f09'6c5=:o;0b?h;:09m6c3=92.9hn4<;h4a>5<>o0:3:17d?88;29?l4c<3:17di7>4;h6:>5<#:=n<10;6)<:f;6b?k42m3807d:8:18'60`=8k53:9j03<72-8>j7:n;o06a?2<3`??6=4+24d90d=i:!42n3>j7c<:e;48?l35290/>8h54`9m60c=?21b9<4?:%06b?2f3g8>i764;h73>5<#:=n8k5b:9j0a<72-8>j7:n;o06a?e<3`>h6=4+24d90d=i:!42n3>j7c<:e;g8?l3e290/>8h55`9m60c=821b944?:%06b?3f3g8>i7?4;h7;>5<#:=n=>0;6)<:f;7b?k42m3907d;9:18'60`==h1e>8k54:9j21<72-8>j7;n;o06a?3<3`<86=4+24d91d=i:!42n3?j7c<:e;58?l06290/>8h55`9m60c=021b:=4?:%06b?3f3g8>i774;h7e>5<#:=n=l0;6)<:f;7b?k42m3h07d;k:18'60`==h1e>8k5c:9j1f<72-8>j7;n;o06a?b<3`?>6=4+24d91d=i:!42n3h97c<:e;28?ld6290/>8h5b39m60c=921bmk4?:%06b?d53g8>i7<4;hcf>5<#:=nim0;6)<:f;`1?k42m3>07dol:18'60`=j;1e>8k55:9jeg<72-8>j7l=;o06a?0<3`kj6=4+24d9f7=i:!42n3h97c<:e;:8?lg?290/>8h5b39m60c=121bm:4?:%06b?d53g8>i7o4;hc5>5<#:=ni=0;6)<:f;`1?k42m3i07do<:18'60`=j;1e>8k5d:9je7<72-8>j7l=;o06a?c<3`k:6=4+24d9f7=i:!42n3h97c<:e;33?>o>n3:1(?;i:c08j73b28;07d7j:18'60`=j;1e>8k51398mi7?;;:k:f?6=,;?m6o<4n37f>43<3`hj6=4+24d9f7=i:6`=5d823>=nj10;6)<:f;`1?k42m3;376gm7;29 73a2k80b?;j:0;8?ld1290/>8h5b39m60c=9h10eo;50;&11cb:9jf1<72-8>j7l=;o06a?7d32ci<7>5$37e>g45<#:h5=l0:j65fd583>!42n3n87c<:e;28?lb5290/>8h5d29m60c=921bh=4?:%06b?b43g8>i7<4;hae>5<#:5a24g97>=nkl0;6)<:f;f0?k42m3>07dmk:18'60`=l:1e>8k55:9jgf<72-8>j7j<;o06a?0<3`ii6=4+24d9`6=i:!42n3n87c<:e;:8?le>290/>8h5d29m60c=121bo54?:%06b?b43g8>i7o4;ha4>5<#:5a24g9f>=nk<0;6)<:f;f0?k42m3i07dm;:18'60`=l:1e>8k5d:9jg6<72-8>j7j<;o06a?c<3`i96=4+24d9`6=i:!42n3n87c<:e;33?>od83:1(?;i:e18j73b28;07dli:18'60`=l:1e>8k51398mgc=83.99k4k3:l11`<6;21bni4?:%06b?b43g8>i7?;;:kag?6=,;?m6i=4n37f>43<3`ni6=4+24d9`6=i:=nl00;6)<:f;f0?k42m3;376gk8;29 73a2m90b?;j:0;8?lb0290/>8h5d29m60c=9h10ei850;&11cb:9j`0<72-8>j7j<;o06a?7d32co=7>5$37e>a55<#:5a24g95`=h5=l0:j65f11394?"5=o0:<=5a24g94>=nno0;6)<:f;334>h5=l0:76gie;29 73a28:;7c<:e;08?l`c290/>8h51128j73b2:10e<>9:18'60`=99?0b?;j:198m463290/>8h51178j73b2810e<><:18'60`=99?0b?;j:398m465290/>8h51178j73b2:10e?km:18'60`=:lk0b?;j:198m7c>290/>8h52dc8j73b2810e?k7:18'60`=:lk0b?;j:398m7c0290/>8h52dc8j73b2:10e?h?:18'60`=:ll0b?;j:198m7cb290/>8h52dd8j73b2810e?kk:18'60`=:ll0b?;j:398m7cd290/>8h52dd8j73b2:10c<8h510d8j73b2810c8h510d8j73b2:10c290/>8h510d8j73b2<10c8h510d8j73b2>10c8h510d8j73b2010c8h510d8j73b2k10c:18'60`=98l0b?;j:b98k477290/>8h510d8j73b2m10c<>i:18'60`=98l0b?;j:d98k46b290/>8h510d8j73b2o10c<>k:18'60`=98l0b?;j:028?j77k3:1(?;i:03e?k42m3;:76a>0c83>!42n3;:j6`=5d826>=h99k1<7*=5g825c=i:54o02:>5<#:42<3f;;47>5$37e>47a3g8>i7?:;:m262<72-8>j7?>f:l11`<6>21d=?850;&11c<69o1e>8k51698k442290/>8h510d8j73b28207b?=4;29 73a28;m7c<:e;3:?>i6::0;6)<:f;32b>h5=l0:m65`13094?"5=o0:=k5a24g95g=e:9l551=83.99k4>1g9m60c=9o10c<:=:18'60`=9=;0b?;j:198k427290/>8h51538j73b2810c<=j:18'60`=9=;0b?;j:398k45c290/>8h51538j73b2:10c<=l:18'60`=9=;0b?;j:598k45e290/>8h51538j73b2<10c<=n:18'60`=9=;0b?;j:798k45>290/>8h51538j73b2>10c<=7:18'60`=9=;0b?;j:998k450290/>8h51538j73b2010c<=9:18'60`=9=;0b?;j:`98k452290/>8h51538j73b2k10c<=<:18'60`=9=;0b?;j:b98k455290/>8h51538j73b2m10c<=>:18'60`=9=;0b?;j:d98k457290/>8h51538j73b2o10c<2e83>!42n3;?=6`=5d826>=h9;i1<7*=5g8204=i:54o00a>5<#:42<3f;9m7>5$37e>4263g8>i7?:;:m20<<72-8>j7?;1:l11`<6>21d=9650;&11c<6<81e>8k51698k420290/>8h51538j73b28207b?;6;29 73a28>:7c<:e;3:?>i6<<0;6)<:f;375>h5=l0:m65`15694?"5=o0:8<5a24g95g=86=4+24d9517;o06a?7c32e:?94?:%06b?7392d99h4>e:9l57?=83.99k4>409m60c=9o10c<:i:18'60`=9=o0b?;j:198k42c290/>8h515g8j73b2810c<:l:18'60`=9=o0b?;j:398k42e290/>8h515g8j73b2:10c<;;:18'60`=9<90b?;j:198k435290/>8h51418j73b2810c<;>:18'60`=9<90b?;j:398k437290/>8h51418j73b2:10qol89;29g7<729q/>ih52cg8L66f3A8n?6T99;ax62<5038j6?l532800?522:<1>h4=f;14>6>=ug;947>4n06b>5=#:m21=:m4$3fa>6=#90?186*>9787?!7>?3>0(<77:59'5"61l0?7)?6f;68 4g72=1/=l?54:&2e7<33-;j?7:4$0c7>1=#9h?186*>a787?!7f?3>0("6il0?7)?nf;68 4d72=1/=o?54:&2f7<33-;i?7:4$0`7>1=#9k?186*>b787?!7e?3>0("6jl0?7)?mf;68 4e72=1/=n?54:&2g7<33-;h?7:4$0a7>1=#9j?186*>c787?!7d?3>0("6kl0?7)?lf;68 4b72=1/=i?54:&2`7<33-;o?7:4$0f7>1=#9m=1>864$0f6>6=#9m<1?6*>8b87?!7?l3>0(<6j:59'5=`=<2.:5=4;;%3:5?2<,8n26<9l;%3ge?70k2.9nk4=599'6f6=:<20b?j=:09m6a5=92.9h44=599'5<4=<2.:5>4;;%0e6?4a92.9j>4=f09m6c2=92d9j84>;%0gg?5>o093:17d9=:188m41?2900e?j;:188m7b22900e<96:188m7bc2900e?jj:188k3b=831d:h4?::k7f?6=,;?m69o4n37f>5=h5=l0:76g;8;29 73a2=k0b?;j:398m11=83.99k4;a:l11`<432c?:7>5$37e>1go2;3:1(?;i:5c8j73b2?10e8<50;&11c<3i2d99h48;:k65?6=,;?m69o4n37f>==h5=l0276g;f;29 73a2=k0b?;j:`98m1c=83.99k4;a:l11`5$37e>1go3=3:1(?;i:5c8j73b2l10e8l50;&11c<2i2d99h4?;:k6=?6=,;?m68o4n37f>4=h5=l0976g:7;29 73a25$37e>0gm6`=5d85?>o1:3:1(?;i:4c8j73b2>10e;?50;&11c<2i2d99h47;:k54?6=,;?m68o4n37f><=h5=l0j76g:e;29 73a2o7>5$37e>0gm6`=5d8f?>oe;3:1(?;i:c08j73b2910eo?50;&11c;:kbb?6=,;?m6o<4n37f>7=h5=l0876gnd;29 73a2k80b?;j:598mde=83.99k4m2:l11`<232cjn7>5$37e>g46`=5d84?>of13:1(?;i:c08j73b2110el650;&11cd=h5=l0i76gn4;29 73a2k80b?;j:b98md5=83.99k4m2:l11`7>5$37e>g46`=5d8e?>of83:1(?;i:c08j73b28:07d7i:18'60`=j;1e>8k51098mi7?<;:k:g?6=,;?m6o<4n37f>42<3`3i6=4+24d9f7=i:6`=5d822>=nj00;6)<:f;`1?k42m3;<76gm8;29 73a2k80b?;j:0:8?ld0290/>8h5b39m60c=9010eo850;&11ca:9jf0<72-8>j7l=;o06a?7e32ci87>5$37e>g45<#:h5=l0:i65f9`83>!42n3h97c<:e;3e?>oc<3:1(?;i:e18j73b2910ei<50;&11c;:kg4?6=,;?m6i=4n37f>7=h5=l0876gle;29 73a2m90b?;j:598mfb=83.99k4k3:l11`<232cho7>5$37e>a5odi3:1(?;i:e18j73b2110en750;&11cd=h5=l0i76gl5;29 73a2m90b?;j:b98mf2=83.99k4k3:l11`5$37e>a5od93:1(?;i:e18j73b28:07dm?:18'60`=l:1e>8k51098mg`=83.99k4k3:l11`<6:21bnh4?:%06b?b43g8>i7?<;:ka`?6=,;?m6i=4n37f>42<3`hh6=4+24d9`6=i:=nlh0;6)<:f;f0?k42m3;<76gk9;29 73a2m90b?;j:0:8?lb?290/>8h5d29m60c=9010ei950;&11ca:9j`3<72-8>j7j<;o06a?7e32co97>5$37e>a55<#:5a24g95a=h5=l0:i65fbc83>!42n3n87c<:e;3e?>o6880;6)<:f;334>h5=l0;76gif;29 73a28:;7c<:e;38?l`b290/>8h51128j73b2;10ekj50;&11c<6891e>8k53:9j550=83.99k4>049m60c=821b==:50;&11c<68<1e>8k51:9j555=83.99k4>049m60c=:21b==<50;&11c<68<1e>8k53:9j6`d=83.99k4=e`9m60c=821b>h750;&11c<5mh1e>8k51:9j6`>=83.99k4=e`9m60c=:21b>h950;&11c<5mh1e>8k53:9j6c6=83.99k4=eg9m60c=821b>hk50;&11c<5mo1e>8k51:9j6`b=83.99k4=eg9m60c=:21b>hm50;&11c<5mo1e>8k53:9l576=83.99k4>1g9m60c=821d=8k51:9l54e=83.99k4>1g9m60c=:21d=8k53:9l54g=83.99k4>1g9m60c=<21d=<750;&11c<69o1e>8k55:9l54>=83.99k4>1g9m60c=>21d=<950;&11c<69o1e>8k57:9l540=83.99k4>1g9m60c=021d=<;50;&11c<69o1e>8k59:9l542=83.99k4>1g9m60c=i21d=<=50;&11c<69o1e>8k5b:9l547=83.99k4>1g9m60c=k21d=<>50;&11c<69o1e>8k5d:9l55`=83.99k4>1g9m60c=m21d==k50;&11c<69o1e>8k5f:9l55b=83.99k4>1g9m60c=9910c<>l:18'60`=98l0b?;j:038?j77j3:1(?;i:03e?k42m3;976a>0`83>!42n3;:j6`=5d827>=h9931<7*=5g825c=i:5<#:43<3f;9;7>5$37e>47a3g8>i7?9;:m263<72-8>j7?>f:l11`<6?21d=?;50;&11c<69o1e>8k51998k443290/>8h510d8j73b28307b?=3;29 73a28;m7c<:e;3b?>i6:;0;6)<:f;32b>h5=l0:n65`13394?"5=o0:=k5a24g95f=f:9l514=83.99k4>409m60c=821d=9>50;&11c<6<81e>8k51:9l56c=83.99k4>409m60c=:21d=>j50;&11c<6<81e>8k53:9l56e=83.99k4>409m60c=<21d=>l50;&11c<6<81e>8k55:9l56g=83.99k4>409m60c=>21d=>750;&11c<6<81e>8k57:9l56>=83.99k4>409m60c=021d=>950;&11c<6<81e>8k59:9l560=83.99k4>409m60c=i21d=>;50;&11c<6<81e>8k5b:9l565=83.99k4>409m60c=k21d=><50;&11c<6<81e>8k5d:9l567=83.99k4>409m60c=m21d=>>50;&11c<6<81e>8k5f:9l57`=83.99k4>409m60c=9910c<2b83>!42n3;?=6`=5d827>=h9;h1<7*=5g8204=i:5<#:43<3f;?57>5$37e>4263g8>i7?9;:m20=<72-8>j7?;1:l11`<6?21d=9950;&11c<6<81e>8k51998k421290/>8h51538j73b28307b?;5;29 73a28>:7c<:e;3b?>i6<=0;6)<:f;375>h5=l0:n65`15194?"5=o0:8<5a24g95f=;o06a?7b32e:>44?:%06b?7392d99h4>f:9l51`=83.99k4>4d9m60c=821d=9j50;&11c<68k51:9l51e=83.99k4>4d9m60c=:21d=9l50;&11c<68k53:9l502=83.99k4>529m60c=821d=8<50;&11c<6=:1e>8k51:9l507=83.99k4>529m60c=:21d=8>50;&11c<6=:1e>8k53:9~fg1f290h>7>50z&1`c<5jl1C?=o4H3g0?_0>2jq9;7<7:3c96g<4;39?6>;53781a?4a2:=1?54r$0cf>1=#9hl186*>b187?!7e93>0("6j10?7)?m9;68 4df2=1/=ol54:&2ff<33-;ih7:4$0`f>1=#9kl186*>c187?!7d93>0("6k10?7)?l9;68 4ef2=1/=nl54:&2gf<33-;hh7:4$0af>1=#9jl186*>d187?!7c93>0("60l0?7)?7f;68 4?72=1/=4?54:&2`<<6?j1/=io516a8 7da2;?37)h5l;027c2;?37)?62;68 4?42=1/>k<52g38 7`42;l:7c1=#90n186*>9d87?!7>n3>0("6i?0?7)?n7;68 4g?2=1/=l754:&2ed<33-;jn7:4$0c`>1=#9hn186`>2983?k73i3:0(?j7:05`?!4cj390(<7::59'5<0=<2.:5:4;;%3:5f6c83>>o1k3:17d9>:188m24=831b=:650;9j6a2=831b>i;50;9j52?=831b>ij50;9j6ac=831d:i4?::m5a?6=3`>i6=4+24d90d=i:!42n3>j7c<:e;38?l2?290/>8h54`9m60c=:21b8:4?:%06b?2f3g8>i7=4;h65>5<#:=n==0;6)<:f;6b?k42m3?07d;<:18'60`=8k56:9j17<72-8>j7:n;o06a?1<3`?:6=4+24d90d=i:!42n3>j7c<:e;;8?l2a290/>8h54`9m60c=i21b8h4?:%06b?2f3g8>i7l4;h6g>5<#:=n8k5e:9j1g<72-8>j7;n;o06a?6<3`?26=4+24d91d=i:!42n3?j7c<:e;08?l30290/>8h55`9m60c=;21b9;4?:%06b?3f3g8>i7:4;h47>5<#:=n>:0;6)<:f;7b?k42m3<07d8=:18'60`==h1e>8k57:9j24<72-8>j7;n;o06a?><3`<;6=4+24d91d=i:!42n3?j7c<:e;c8?l3b290/>8h55`9m60c=j21b9i4?:%06b?3f3g8>i7m4;h7`>5<#:=n=<0;6)<:f;7b?k42m3o07dl<:18'60`=j;1e>8k50:9jf4<72-8>j7l=;o06a?7<3`km6=4+24d9f7=i:65fad83>!42n3h97c<:e;18?lgc290/>8h5b39m60c=<21bmn4?:%06b?d53g8>i7;4;hca>5<#:=nih0;6)<:f;`1?k42m3=07do6:18'60`=j;1e>8k58:9je=<72-8>j7l=;o06a??<3`k<6=4+24d9f7=i:!42n3h97c<:e;`8?lg3290/>8h5b39m60c=k21bm>4?:%06b?d53g8>i7j4;hc1>5<#:=ni80;6)<:f;`1?k42m3l07do?:18'60`=j;1e>8k51198m<`=83.99k4m2:l11`<6921b5h4?:%06b?d53g8>i7?=;:k:`?6=,;?m6o<4n37f>45<3`3h6=4+24d9f7=i:6`=5d821>=njh0;6)<:f;`1?k42m3;=76gm9;29 73a2k80b?;j:058?ld?290/>8h5b39m60c=9110eo950;&11c9:9jf3<72-8>j7l=;o06a?7f32ci97>5$37e>g45<#:h5=l0:h65fa483>!42n3h97c<:e;3f?>o>i3:1(?;i:c08j73b28l07dj;:18'60`=l:1e>8k50:9j`7<72-8>j7j<;o06a?7<3`n;6=4+24d9`6=i:65fcg83>!42n3n87c<:e;18?leb290/>8h5d29m60c=<21boi4?:%06b?b43g8>i7;4;ha`>5<#:5a24g92>=nkk0;6)<:f;f0?k42m3=07dmn:18'60`=l:1e>8k58:9jg<<72-8>j7j<;o06a??<3`i36=4+24d9`6=i:!42n3n87c<:e;`8?le2290/>8h5d29m60c=k21bo94?:%06b?b43g8>i7j4;ha0>5<#:5a24g9a>=nk;0;6)<:f;f0?k42m3l07dm>:18'60`=l:1e>8k51198mf6=83.99k4k3:l11`<6921bnk4?:%06b?b43g8>i7?=;:kaa?6=,;?m6i=4n37f>45<3`ho6=4+24d9`6=i:=nlk0;6)<:f;f0?k42m3;=76gka;29 73a2m90b?;j:058?lb>290/>8h5d29m60c=9110ei650;&11c9:9j`2<72-8>j7j<;o06a?7f32co:7>5$37e>a55<#:5a24g95f=h5=l0:h65fc783>!42n3n87c<:e;3f?>oej3:1(?;i:e18j73b28l07d??1;29 73a28:;7c<:e;28?l`a290/>8h51128j73b2810ekk50;&11c<6891e>8k52:9jba<72-8>j7??0:l11`<432c:<;4?:%06b?77=2d99h4?;:k241<72-8>j7??5:l11`<632c:<>4?:%06b?77=2d99h4=;:k247<72-8>j7??5:l11`<432c9io4?:%06b?4bi2d99h4?;:k1a<<72-8>j7j7j7j7=4?:%06b?76n2d99h4?;:m25`<72-8>j7?>f:l11`<632e:=n4?:%06b?76n2d99h4=;:m25g<72-8>j7?>f:l11`<432e:=l4?:%06b?76n2d99h4;;:m25<<72-8>j7?>f:l11`<232e:=54?:%06b?76n2d99h49;:m252<72-8>j7?>f:l11`<032e:=;4?:%06b?76n2d99h47;:m250<72-8>j7?>f:l11`<>32e:=94?:%06b?76n2d99h4n;:m256<72-8>j7?>f:l11`j7?>f:l11`j7?>f:l11`0:9l55e=83.99k4>1g9m60c=9810c<>m:18'60`=98l0b?;j:008?j77i3:1(?;i:03e?k42m3;876a>0883>!42n3;:j6`=5d820>=h9921<7*=5g825c=i:5<#:40<3f;9:7>5$37e>47a3g8>i7?8;:m260<72-8>j7?>f:l11`<6021d=?:50;&11c<69o1e>8k51898k444290/>8h510d8j73b28k07b?=2;29 73a28;m7c<:e;3a?>i6:80;6)<:f;32b>h5=l0:o65`10f94?"5=o0:=k5a24g95a=j7?;1:l11`<632e:?h4?:%06b?7392d99h4=;:m27a<72-8>j7?;1:l11`<432e:?n4?:%06b?7392d99h4;;:m27g<72-8>j7?;1:l11`<232e:?l4?:%06b?7392d99h49;:m27<<72-8>j7?;1:l11`<032e:?54?:%06b?7392d99h47;:m272<72-8>j7?;1:l11`<>32e:?;4?:%06b?7392d99h4n;:m270<72-8>j7?;1:l11`4?:%06b?7392d99h4l;:m277<72-8>j7?;1:l11`j7?;1:l11`k4?:%06b?7392d99h4>0:9l57c=83.99k4>409m60c=9810c<2c83>!42n3;?=6`=5d820>=h9;k1<7*=5g8204=i:5<#:40<3f;?47>5$37e>4263g8>i7?8;:m202<72-8>j7?;1:l11`<6021d=9850;&11c<6<81e>8k51898k422290/>8h51538j73b28k07b?;4;29 73a28>:7c<:e;3a?>i6<:0;6)<:f;375>h5=l0:o65`12d94?"5=o0:8<5a24g95a=;o06a?7a32e:8k4?:%06b?73m2d99h4?;:m20a<72-8>j7?;e:l11`<632e:8n4?:%06b?73m2d99h4=;:m20g<72-8>j7?;e:l11`<432e:994?:%06b?72;2d99h4?;:m217<72-8>j7?:3:l11`<632e:9<4?:%06b?72;2d99h4=;:m215<72-8>j7?:3:l11`<432win:l50;a1>5<7s-8oj77>=:h09n7=<:26970<4>38n6?h53680ag87?!7e83>0(:59'5g4=<2.:n>4;;%3a0?2<,8h>695+1c490>"6j>0?7)?m8;68 4d>2=1/=oo54:&2fg<33-;io7:4$0`g>1=#9ko186*>bg87?!7d83>0(:59'5f4=<2.:o>4;;%3`0?2<,8i>695+1b490>"6k>0?7)?l8;68 4e>2=1/=no54:&2gg<33-;ho7:4$0ag>1=#9jo186*>cg87?!7c83>0(:59'5a4=<2.:h>4;;%3g0?2<,8n<6?;7;%3g1?5<,8n=6>5+19a90>"60m0?7)?7e;68 4>a2=1/=4>54:&2=4<33-;o57?8c:&2`d<6?j1/>oh524:8 7e72;?37ci7524:8 4?52=1/=4=54:&1b7<5n81/>k=52g38j7`3201e>k;59:&2=<<33-;2m7:4$0;a>1=#90i186*>9e87?!7>m3>0(<7i:59'5d6=<2.:m<4;;%3b6?2<,8k8695+1`690>"6i<0?7)?n6;68 4g02=1/=l654:&2e<<33-;jm7:4$0ca>1=#9hi186*>ae87?k7503:0b<:n:19'6a>=9>i0(?jm:29'5<3=<2.:5;4;;%3:3?2<,833695+2ea97>o1j3:17d8l:188m27=831b;?4?::k23=<722c9h94?::k1`0<722c:;44?::k1`a<722c9hh4?::m5`?6=3fo313:1(?;i:5c8j73b2810e9650;&11c<3i2d99h4=;:k73?6=,;?m69o4n37f>6=h5=l0?76g:4;29 73a2=k0b?;j:498m05=83.99k4;a:l11`<132c>>7>5$37e>1go283:1(?;i:5c8j73b2010e9h50;&11c<3i2d99h4n;:k7a?6=,;?m69o4n37f>g=h5=l0h76g;c;29 73a2=k0b?;j:e98m13=83.99k4;a:l11`n7>5$37e>0gm6`=5d82?>o203:1(?;i:4c8j73b2;10e8950;&11c<2i2d99h4<;:k62?6=,;?m68o4n37f>1=1<7*=5g86e>h5=l0>76g93;29 73a25$37e>0gm6`=5d8:?>o2n3:1(?;i:4c8j73b2h10e8k50;&11c<2i2d99h4m;:k6`?6=,;?m68o4n37f>f=h5=l0o76g:5;29 73a25$37e>g46`=5d81?>ofm3:1(?;i:c08j73b2:10elj50;&11c0=h5=l0=76gna;29 73a2k80b?;j:698md?=83.99k4m2:l11`5$37e>g46`=5d8b?>of>3:1(?;i:c08j73b2k10el:50;&11ca=h5=l0n76gn1;29 73a2k80b?;j:g98md6=83.99k4m2:l11`<6821b5k4?:%06b?d53g8>i7?>;:k:a?6=,;?m6o<4n37f>44<3`3o6=4+24d9f7=i:54i8a94?"5=o0i>6`=5d820>=n1k0;6)<:f;`1?k42m3;>76gma;29 73a2k80b?;j:048?ld>290/>8h5b39m60c=9>10eo650;&11c8:9jf2<72-8>j7l=;o06a?7>32ci:7>5$37e>g45<#:1<7*=5g8a6>h5=l0:o65fb183>!42n3h97c<:e;3g?>of=3:1(?;i:c08j73b28o07d7n:18'60`=j;1e>8k51g98ma2=83.99k4k3:l11`<732co>7>5$37e>a5odn3:1(?;i:e18j73b2:10enk50;&11c0=h5=l0=76glb;29 73a2m90b?;j:698mfg=83.99k4k3:l11`5$37e>a5od?3:1(?;i:e18j73b2k10en;50;&11ca=h5=l0n76gl2;29 73a2m90b?;j:g98mf7=83.99k4k3:l11`<6821bo=4?:%06b?b43g8>i7?>;:kab?6=,;?m6i=4n37f>44<3`hn6=4+24d9`6=i:54icf94?"5=o0o?6`=5d820>=njj0;6)<:f;f0?k42m3;>76gkb;29 73a2m90b?;j:048?lbf290/>8h5d29m60c=9>10ei750;&11c8:9j`=<72-8>j7j<;o06a?7>32co;7>5$37e>a55<#:5a24g95g=h5=l0:o65fd083>!42n3n87c<:e;3g?>od>3:1(?;i:e18j73b28o07dlm:18'60`=l:1e>8k51g98m466290/>8h51128j73b2910ekh50;&11c<6891e>8k51:9jb`<72-8>j7??0:l11`<532cmh7>5$37e>4673g8>i7=4;h332?6=,;?m6<>:;o06a?6<3`;;87>5$37e>4623g8>i7?4;h337?6=,;?m6<>:;o06a?4<3`;;>7>5$37e>4623g8>i7=4;h0ff?6=,;?m6?kn;o06a?6<3`8n57>5$37e>7cf3g8>i7?4;h0f5$37e>7cf3g8>i7=4;h0e4?6=,;?m6?ki;o06a?6<3`8ni7>5$37e>7ca3g8>i7?4;h0f`?6=,;?m6?ki;o06a?4<3`8no7>5$37e>7ca3g8>i7=4;n314?6=,;?m65$37e>47a3g8>i7?4;n32g?6=,;?m65$37e>47a3g8>i7=4;n32e?6=,;?m65$37e>47a3g8>i7;4;n325$37e>47a3g8>i794;n322?6=,;?m6<3f;:97>5$37e>47a3g8>i774;n320?6=,;?m65$37e>47a3g8>i7l4;n325?6=,;?m65$37e>47a3g8>i7j4;n33b?6=,;?m65$37e>47a3g8>i7h4;n33`?6=,;?m61:9l55d=83.99k4>1g9m60c=9;10c<>n:18'60`=98l0b?;j:018?j7713:1(?;i:03e?k42m3;?76a>0983>!42n3;:j6`=5d821>=h9;=1<7*=5g825c=i:5<#:41<3f;997>5$37e>47a3g8>i7?7;:m261<72-8>j7?>f:l11`<6121d=?=50;&11c<69o1e>8k51`98k445290/>8h510d8j73b28h07b?=1;29 73a28;m7c<:e;3`?>i69m0;6)<:f;32b>h5=l0:h65`10094?"5=o0:=k5a24g95`=;o06a?6<3f;?<7>5$37e>4263g8>i7?4;n30a?6=,;?m6<:>;o06a?4<3f;8h7>5$37e>4263g8>i7=4;n30g?6=,;?m6<:>;o06a?2<3f;8n7>5$37e>4263g8>i7;4;n30e?6=,;?m6<:>;o06a?0<3f;857>5$37e>4263g8>i794;n30;o06a?><3f;8;7>5$37e>4263g8>i774;n302?6=,;?m6<:>;o06a?g<3f;897>5$37e>4263g8>i7l4;n307?6=,;?m6<:>;o06a?e<3f;8>7>5$37e>4263g8>i7j4;n305?6=,;?m6<:>;o06a?c<3f;8<7>5$37e>4263g8>i7h4;n31b?6=,;?m6<:>;o06a?7732e:>h4?:%06b?7392d99h4>1:9l57b=83.99k4>409m60c=9;10c<2`83>!42n3;?=6`=5d821>=h9=31<7*=5g8204=i:5<#:41<3f;?;7>5$37e>4263g8>i7?7;:m203<72-8>j7?;1:l11`<6121d=9;50;&11c<6<81e>8k51`98k423290/>8h51538j73b28h07b?;3;29 73a28>:7c<:e;3`?>i6;o0;6)<:f;375>h5=l0:h65`12694?"5=o0:8<5a24g95`=5$37e>42b3g8>i7?4;n37g?6=,;?m6<:j;o06a?4<3f;?n7>5$37e>42b3g8>i7=4;n360?6=,;?m6<;<;o06a?6<3f;>>7>5$37e>4343g8>i7?4;n365?6=,;?m6<;<;o06a?4<3f;><7>5$37e>4343g8>i7=4;|`a3f<72j81<7>t$3fe>7db3A9;m6F=e29Y2<54=a;0a>65=;=0897=9:3g96c<4?3936p*>ad87?!7fn3>0("6j?0?7)?m7;68 4d?2=1/=o754:&2fd<33-;in7:4$0``>1=#9kn186*>bd87?!7en3>0("6k?0?7)?l7;68 4e?2=1/=n754:&2gd<33-;hn7:4$0a`>1=#9jn186*>cd87?!7dn3>0(<,8n>6>5+1e497>"60j0?7)?7d;68 4>b2=1/=5h54:&2=5<33-;2=7:4$0f:>41d3-;om7?8c:&1fc<5=11/>n>524:8j7b5201e>i=59:&1`<<5=11/=4<54:&2=6<33-8m>7k:59:l1b0<>3-;257:4$0;b>1=#90h186*>9b87?!7>l3>0(<7j:59'5<`=<2.:m=4;;%3b5?2<,8k9695+1`190>"6i=0?7)?n5;68 4g12=1/=l954:&2e=<33-;j57:4$0cb>1=#9hh186*>ab87?!7fl3>0b<<7:19m51g=82.9h54>7b9'6ad=;2.:584;;%3:2?2<,83<695+18:90>"5lj087d8m:188m3e=831b;<4?::k46?6=3`;<47>5;h0g0?6=3`8o97>5;h34=?6=3`8oh7>5;h0ga?6=3f8k51:9j0=<72-8>j7:n;o06a?4<3`><6=4+24d90d=i:!42n3>j7c<:e;68?l33290/>8h54`9m60c==21b9>4?:%06b?2f3g8>i784;h71>5<#:=n=80;6)<:f;6b?k42m3207d;?:18'60`=8k59:9j0c<72-8>j7:n;o06a?g<3`>n6=4+24d90d=i:!42n3>j7c<:e;a8?l2d290/>8h54`9m60c=l21b884?:%06b?2f3g8>i7k4;h7a>5<#:=n=00;6)<:f;7b?k42m3;07d;7:18'60`==h1e>8k52:9j12<72-8>j7;n;o06a?5<3`?=6=4+24d91d=i:!42n3?j7c<:e;78?l04290/>8h55`9m60c=>21b:?4?:%06b?3f3g8>i794;h42>5<#:=n>90;6)<:f;7b?k42m3307d;i:18'60`==h1e>8k5a:9j1`<72-8>j7;n;o06a?d<3`?o6=4+24d91d=i:!42n3?j7c<:e;f8?l32290/>8h55`9m60c=m21bn>4?:%06b?d53g8>i7>4;h`2>5<#:=nio0;6)<:f;`1?k42m3807doj:18'60`=j;1e>8k53:9jea<72-8>j7l=;o06a?2<3`kh6=4+24d9f7=i:!42n3h97c<:e;48?lgf290/>8h5b39m60c=?21bm44?:%06b?d53g8>i764;hc;>5<#:=ni>0;6)<:f;`1?k42m3k07do9:18'60`=j;1e>8k5b:9je1<72-8>j7l=;o06a?e<3`k86=4+24d9f7=i:!42n3h97c<:e;g8?lg6290/>8h5b39m60c=n21bm=4?:%06b?d53g8>i7??;:k:b?6=,;?m6o<4n37f>47<3`3n6=4+24d9f7=i:6`=5d827>=n1j0;6)<:f;`1?k42m3;?76g6b;29 73a2k80b?;j:078?ldf290/>8h5b39m60c=9?10eo750;&11c7:9jf=<72-8>j7l=;o06a?7?32ci;7>5$37e>g45<#:h5=l0:n65fb583>!42n3h97c<:e;3`?>oe83:1(?;i:c08j73b28n07do::18'60`=j;1e>8k51d98mi7>4;hf1>5<#:5a24g95>=nl90;6)<:f;f0?k42m3807dmi:18'60`=l:1e>8k53:9jg`<72-8>j7j<;o06a?2<3`io6=4+24d9`6=i:!42n3n87c<:e;48?lee290/>8h5d29m60c=?21bol4?:%06b?b43g8>i764;ha:>5<#:5a24g9=>=nk10;6)<:f;f0?k42m3k07dm8:18'60`=l:1e>8k5b:9jg0<72-8>j7j<;o06a?e<3`i?6=4+24d9`6=i:!42n3n87c<:e;g8?le5290/>8h5d29m60c=n21bo<4?:%06b?b43g8>i7??;:k`4?6=,;?m6i=4n37f>47<3`hm6=4+24d9`6=i:=njm0;6)<:f;f0?k42m3;?76gmc;29 73a2m90b?;j:078?lbe290/>8h5d29m60c=9?10eio50;&11c7:9j`<<72-8>j7j<;o06a?7?32co47>5$37e>a55<#:5a24g95d=h5=l0:n65fd483>!42n3n87c<:e;3`?>oc93:1(?;i:e18j73b28n07dm9:18'60`=l:1e>8k51d98mgd=83.99k4k3:l11`<6n21b==?50;&11c<6891e>8k50:9jbc<72-8>j7??0:l11`<632cmi7>5$37e>4673g8>i7<4;hdg>5<#:4n37f>6=5<#:4=5<#:6=5<#:ho4n37f>4=5<#:ho4n37f>6=5<#:hh4n37f>4=5<#:hh4n37f>6=5<#:4=5<#:6=5<#:0=5<#:2=5<#:<=5<#:g=5<#:a=5<#:c=4;n33g?6=,;?m62:9l55g=83.99k4>1g9m60c=9:10c<>6:18'60`=98l0b?;j:068?j7703:1(?;i:03e?k42m3;>76a>2683>!42n3;:j6`=5d822>=h9;<1<7*=5g825c=i:5<#:4><3f;987>5$37e>47a3g8>i7?6;:m266<72-8>j7?>f:l11`<6i21d=?<50;&11c<69o1e>8k51c98k446290/>8h510d8j73b28i07b?>d;29 73a28;m7c<:e;3g?>i69;0;6)<:f;32b>h5=l0:i65`11594?"5=o0:=k5a24g95c=96=4+24d95175<#:4=5<#:6=5<#:0=5<#:2=5<#:<=5<#:g=5<#:a=5<#:c=4;n31a?6=,;?m6<:>;o06a?7632e:>i4?:%06b?7392d99h4>2:9l57e=83.99k4>409m60c=9:10c<76a>4883>!42n3;?=6`=5d822>=h9=21<7*=5g8204=i:5<#:4><3f;?:7>5$37e>4263g8>i7?6;:m200<72-8>j7?;1:l11`<6i21d=9:50;&11c<6<81e>8k51c98k424290/>8h51538j73b28i07b?:7c<:e;3g?>i6;=0;6)<:f;375>h5=l0:i65`13;94?"5=o0:8<5a24g95c=m6=4+24d951c5<#:4=h6=4+24d951c5<#:6=5<#:4=5<#:6=5c383>5}#:ml1>ok4H22b?M4b;2P=57mt26814<4;16>60=:l09j7=8:2:9y!7fm3>0("6j<0?7)?m6;68 4d02=1/=o654:&2f<<33-;im7:4$0`a>1=#9ki186*>be87?!7em3>0("6k<0?7)?l6;68 4e02=1/=n654:&2g<<33-;hm7:4$0aa>1=#9ji186*>ce87?!7dm3>0("6l>09955+1e797>"6l?087)?7c;68 4>c2=1/=5k54:&21=#9m31=:m4$0fb>41d3-8ij7<:8:&1g5<5=11e>i<59:l1`6<>3-8o57<:8:&2=7<33-;2?7:4$3d1>7`63-8m?73g8m9774$0;:>1=#90k186*>9c87?!7>k3>0(<7k:59'5"6i:0?7)?n4;68 4g22=1/=l854:&2e2<33-;j47:4$0c:>1=#9hk186*>ac87?!7fk3>0(=82d:8l4?;%0g"6110?7)5<5<5<l0;66g;b;29 73a2=k0b?;j:198m1?=83.99k4;a:l11`<632c?47>5$37e>1go3>3:1(?;i:5c8j73b2=10e8:50;&11c<3i2d99h4:;:k67?6=,;?m69o4n37f>3=h5=l0<76g:1;29 73a2=k0b?;j:998m06=83.99k4;a:l11`<>32c?j7>5$37e>1go3l3:1(?;i:5c8j73b2j10e9m50;&11c<3i2d99h4k;:k71?6=,;?m69o4n37f>`=h5=l0;76g:9;29 73a2=83.99k4:a:l11`<532c>;7>5$37e>0g54i4494?"5=o0>m6`=5d87?>o1<3:1(?;i:4c8j73b2<10e;=50;&11c<2i2d99h49;:k56?6=,;?m68o4n37f>2=h5=l0376g90;29 73a2i7>5$37e>0gm6`=5d8`?>o2k3:1(?;i:4c8j73b2m10e8;50;&11c<2i2d99h4j;:ka7?6=,;?m6o<4n37f>5=h5=l0:76gnf;29 73a2k80b?;j:398mdc=83.99k4m2:l11`<432cjh7>5$37e>g46`=5d86?>ofj3:1(?;i:c08j73b2?10elo50;&11c==h5=l0276gn7;29 73a2k80b?;j:`98md0=83.99k4m2:l11`5$37e>g46`=5d8g?>of:3:1(?;i:c08j73b2l10el?50;&11c46<3`3m6=4+24d9f7=i:6`=5d826>=n1m0;6)<:f;`1?k42m3;876g6c;29 73a2k80b?;j:068?l?e290/>8h5b39m60c=9<10eoo50;&11c6:9jf<<72-8>j7l=;o06a?7032ci47>5$37e>g45<#:h5=l0:m65fb483>!42n3h97c<:e;3a?>oe<3:1(?;i:c08j73b28i07dl?:18'60`=j;1e>8k51e98md3=83.99k4m2:l11`<6m21b5l4?:%06b?d53g8>i7?i;:kg0?6=,;?m6i=4n37f>5=h5=l0:76gk0;29 73a2m90b?;j:398mf`=83.99k4k3:l11`<432chi7>5$37e>a5odk3:1(?;i:e18j73b2?10enl50;&11c==h5=l0276gl8;29 73a2m90b?;j:`98mf1=83.99k4k3:l11`5$37e>a5od;3:1(?;i:e18j73b2l10en<50;&11c46<3`i;6=4+24d9`6=i:=njl0;6)<:f;f0?k42m3;876gmd;29 73a2m90b?;j:068?ldd290/>8h5d29m60c=9<10eil50;&11c6:9j`d<72-8>j7j<;o06a?7032co57>5$37e>a55<#:5a24g95<=h5=l0:m65fd783>!42n3n87c<:e;3a?>oc=3:1(?;i:e18j73b28i07dj>:18'60`=l:1e>8k51e98mf0=83.99k4k3:l11`<6m21bno4?:%06b?b43g8>i7?i;:k244<72-8>j7??0:l11`<732cmj7>5$37e>4673g8>i7?4;hdf>5<#:4n37f>7==n99>1<7*=5g8240=i:=n9981<7*=5g8240=i:=n:l31<7*=5g81ad=i:=n:l=1<7*=5g81ad=i:=n:lo1<7*=5g81ac=i:=n:li1<7*=5g81ac=i:=h98o1<7*=5g825c=i:=h98h1<7*=5g825c=i:=h9831<7*=5g825c=i:=h98=1<7*=5g825c=i:=h98?1<7*=5g825c=i:=h9891<7*=5g825c=i:=h98:1<7*=5g825c=i:=h99o1<7*=5g825c=i:3:9l55?=83.99k4>1g9m60c=9=10c<>7:18'60`=98l0b?;j:078?j75?3:1(?;i:03e?k42m3;=76a>2783>!42n3;:j6`=5d823>=h9;?1<7*=5g825c=i:5<#:4?<3f;9?7>5$37e>47a3g8>i7?n;:m267<72-8>j7?>f:l11`<6j21d=??50;&11c<69o1e>8k51b98k47c290/>8h510d8j73b28n07b?>2;29 73a28;m7c<:e;3f?>i68>0;6)<:f;32b>h5=l0:j65`15094?"5=o0:8<5a24g94>=h9=:1<7*=5g8204=i:=h9:n1<7*=5g8204=i:=h9:h1<7*=5g8204=i:=h9:31<7*=5g8204=i:=h9:=1<7*=5g8204=i:=h9:?1<7*=5g8204=i:=h9:81<7*=5g8204=i:=h9::1<7*=5g8204=i:;o06a?7532e:>n4?:%06b?7392d99h4>3:9l57d=83.99k4>409m60c=9=10c<4983>!42n3;?=6`=5d823>=h9==1<7*=5g8204=i:5<#:4?<3f;?97>5$37e>4263g8>i7?n;:m201<72-8>j7?;1:l11`<6j21d=9=50;&11c<6<81e>8k51b98k45a290/>8h51538j73b28n07b?<4;29 73a28>:7c<:e;3f?>i6:00;6)<:f;375>h5=l0:j65`15d94?"5=o0:8h5a24g94>=h9=n1<7*=5g820`=i:=h9=h1<7*=5g820`=i:5a24g94>=h9<81<7*=5g8216=i:5a24g96>=h9<:1<7*=5g8216=i:5f}5?3836?o52c807?532:?1?;4=e;0e>61=;10v("6j=0?7)?m5;68 4d12=1/=o954:&2f=<33-;i57:4$0`b>1=#9kh186*>bb87?!7el3>0("6k=0?7)?l5;68 4e12=1/=n954:&2g=<33-;h57:4$0ab>1=#9jh186*>cb87?!7dl3>0("6l=0?7)?k7;06<>"6l<087)?k6;18 4>d2=1/=5j54:&2<`<33-;3j7:4$0;3>1=#90;186*>d8823f=#9mk1=:m4$3`e>73?3-8h<7<:8:l1`7<>3g8o?774$3f:>73?3-;2>7:4$0;0>1=#:o81>k?4$3d0>7`63g8m8774n3d6><=#903186*>9`87?!7>j3>0(<7l:59'5"6i;0?7)?n3;68 4g32=1/=l;54:&2e3<33-;j;7:4$0c;>1=#9h3186*>a`87?!7fj3>0(54?;o37e?6<,;n36<9l;%0gf?5<,83>695+18490>"61>0?7)?68;68 7bd2:1b:o4?::k5g?6=3`=:6=44i6094?=n9>21<75f2e694?=n:m?1<75f16;94?=n:mn1<75f2eg94?=h>m0;66a9e;29?l2e290/>8h54`9m60c=821b844?:%06b?2f3g8>i7?4;h6;>5<#:=n<>0;6)<:f;6b?k42m3907d:9:18'60`=8k54:9j11<72-8>j7:n;o06a?3<3`?86=4+24d90d=i:!42n3>j7c<:e;58?l36290/>8h54`9m60c=021b9=4?:%06b?2f3g8>i774;h6e>5<#:=n8k5c:9j0f<72-8>j7:n;o06a?b<3`>>6=4+24d90d=i:!42n3?j7c<:e;28?l3>290/>8h55`9m60c=921b954?:%06b?3f3g8>i7<4;h74>5<#:=n=?0;6)<:f;7b?k42m3>07d8;:18'60`==h1e>8k55:9j26<72-8>j7;n;o06a?0<3`<96=4+24d91d=i:!42n3?j7c<:e;:8?l07290/>8h55`9m60c=121b9k4?:%06b?3f3g8>i7o4;h7f>5<#:=n=m0;6)<:f;7b?k42m3i07d;l:18'60`==h1e>8k5d:9j10<72-8>j7;n;o06a?c<3`h86=4+24d9f7=i:!42n3h97c<:e;38?lga290/>8h5b39m60c=:21bmh4?:%06b?d53g8>i7=4;hcg>5<#:=nij0;6)<:f;`1?k42m3?07dom:18'60`=j;1e>8k56:9jed<72-8>j7l=;o06a?1<3`k26=4+24d9f7=i:!42n3h97c<:e;;8?lg0290/>8h5b39m60c=i21bm;4?:%06b?d53g8>i7l4;hc7>5<#:=ni:0;6)<:f;`1?k42m3n07do=:18'60`=j;1e>8k5e:9je4<72-8>j7l=;o06a?`<3`k;6=4+24d9f7=i:6`=5d825>=n1l0;6)<:f;`1?k42m3;976g6d;29 73a2k80b?;j:018?l?d290/>8h5b39m60c=9=10e4l50;&11c5:9jfd<72-8>j7l=;o06a?7132ci57>5$37e>g45<#:h5=l0:565fb783>!42n3h97c<:e;3b?>oe=3:1(?;i:c08j73b28h07dl;:18'60`=j;1e>8k51b98mg6=83.99k4m2:l11`<6l21bm84?:%06b?d53g8>i7?j;:k:e?6=,;?m6o<4n37f>4`<3`n?6=4+24d9`6=i:!42n3n87c<:e;38?lb7290/>8h5d29m60c=:21bok4?:%06b?b43g8>i7=4;haf>5<#:5a24g90>=nkm0;6)<:f;f0?k42m3?07dml:18'60`=l:1e>8k56:9jgg<72-8>j7j<;o06a?1<3`ij6=4+24d9`6=i:!42n3n87c<:e;;8?le?290/>8h5d29m60c=i21bo:4?:%06b?b43g8>i7l4;ha6>5<#:5a24g9g>=nk=0;6)<:f;f0?k42m3n07dm<:18'60`=l:1e>8k5e:9jg7<72-8>j7j<;o06a?`<3`i:6=4+24d9`6=i:=njo0;6)<:f;f0?k42m3;976gme;29 73a2m90b?;j:018?ldc290/>8h5d29m60c=9=10eom50;&11c5:9j`g<72-8>j7j<;o06a?7132com7>5$37e>a55<#:5a24g95==h5=l0:565fd683>!42n3n87c<:e;3b?>oc>3:1(?;i:e18j73b28h07dj::18'60`=l:1e>8k51b98ma7=83.99k4k3:l11`<6l21bo;4?:%06b?b43g8>i7?j;:kaf?6=,;?m6i=4n37f>4`<3`;;=7>5$37e>4673g8>i7>4;hde>5<#:4n37f>4=65ffe83>!42n3;;<6`=5d80?>o68?0;6)<:f;331>h5=l0;76g>0583>!42n3;;96`=5d82?>o68:0;6)<:f;331>h5=l0976g>0383>!42n3;;96`=5d80?>o5mk0;6)<:f;0fe>h5=l0;76g=e883>!42n38nm6`=5d82?>o5m10;6)<:f;0fe>h5=l0976g=e683>!42n38nm6`=5d80?>o5n90;6)<:f;0fb>h5=l0;76g=ed83>!42n38nj6`=5d82?>o5mm0;6)<:f;0fb>h5=l0976g=eb83>!42n38nj6`=5d80?>i6:90;6)<:f;32b>h5=l0;76a>1d83>!42n3;:j6`=5d82?>i69j0;6)<:f;32b>h5=l0976a>1c83>!42n3;:j6`=5d80?>i69h0;6)<:f;32b>h5=l0?76a>1883>!42n3;:j6`=5d86?>i6910;6)<:f;32b>h5=l0=76a>1683>!42n3;:j6`=5d84?>i69?0;6)<:f;32b>h5=l0376a>1483>!42n3;:j6`=5d8:?>i69=0;6)<:f;32b>h5=l0j76a>1283>!42n3;:j6`=5d8a?>i6980;6)<:f;32b>h5=l0h76a>1183>!42n3;:j6`=5d8g?>i68o0;6)<:f;32b>h5=l0n76a>0d83>!42n3;:j6`=5d8e?>i68m0;6)<:f;32b>h5=l0:<65`11a94?"5=o0:=k5a24g954=4:9l55>=83.99k4>1g9m60c=9<10c<<8:18'60`=98l0b?;j:048?j75>3:1(?;i:03e?k42m3;<76a>2483>!42n3;:j6`=5d82<>=h9;>1<7*=5g825c=i:5<#:4g<3f;9>7>5$37e>47a3g8>i7?m;:m264<72-8>j7?>f:l11`<6k21d=8k51e98k475290/>8h510d8j73b28o07b??7;29 73a28;m7c<:e;3e?>i6<;0;6)<:f;375>h5=l0;76a>4183>!42n3;?=6`=5d82?>i6;l0;6)<:f;375>h5=l0976a>3e83>!42n3;?=6`=5d80?>i6;j0;6)<:f;375>h5=l0?76a>3c83>!42n3;?=6`=5d86?>i6;h0;6)<:f;375>h5=l0=76a>3883>!42n3;?=6`=5d84?>i6;10;6)<:f;375>h5=l0376a>3683>!42n3;?=6`=5d8:?>i6;?0;6)<:f;375>h5=l0j76a>3483>!42n3;?=6`=5d8a?>i6;:0;6)<:f;375>h5=l0h76a>3383>!42n3;?=6`=5d8g?>i6;80;6)<:f;375>h5=l0n76a>3183>!42n3;?=6`=5d8e?>i6:o0;6)<:f;375>h5=l0:<65`13g94?"5=o0:8<5a24g954=;o06a?7432e:>o4?:%06b?7392d99h4>4:9l57g=83.99k4>409m60c=9<10c<:6:18'60`=9=;0b?;j:048?j7303:1(?;i:062?k42m3;<76a>4683>!42n3;?=6`=5d82<>=h9=<1<7*=5g8204=i:5<#:4g<3f;?87>5$37e>4263g8>i7?m;:m206<72-8>j7?;1:l11`<6k21d=>h50;&11c<6<81e>8k51e98k453290/>8h51538j73b28o07b?=9;29 73a28>:7c<:e;3e?>i6h5=l0;76a>4e83>!42n3;?i6`=5d82?>i6h5=l0976a>4c83>!42n3;?i6`=5d80?>i6==0;6)<:f;367>h5=l0;76a>5383>!42n3;>?6`=5d82?>i6=80;6)<:f;367>h5=l0976a>5183>!42n3;>?6`=5d80?>{ej?91<7m=:183!4cn38ii6F<0`9K6`5:534802?4b2;l1?:4<8;'5dc=<2.:mk4;;%3a4?2<,8h:695+1c090>"6j:0?7)?m4;68 4d22=1/=o854:&2f2<33-;i47:4$0`:>1=#9kk186*>bc87?!7ek3>0("6k:0?7)?l4;68 4e22=1/=n854:&2g2<33-;h47:4$0a:>1=#9jk186*>cc87?!7dk3>0("6l:0?7)?k4;68 4b02;?37)?k5;18 4b12:1/=5m54:&21=#90:186*>9087?!7c13;d`823f=#:kl1>864$3a3>73?3g8o>774n3f0><=#:m31>864$0;1>1=#909186*=f381b4=#:o91>k?4n3d7><=i:o?156*>9887?!7>i3>0(<7m:59'5"6i80?7)?n2;68 4g42=1/=l:54:&2e0<33-;j:7:4$0c4>1=#9h2186*>a887?!7fi3>0(j6=5+2e:952e<,;ni6>5+18790>"61?0?7)?67;68 4??2=1/>im53:k5f?6=3`7983>>o5l=0;66g=d483>>o6?00;66g=de83>>o5ll0;66a9d;29?j0b2900e9l50;&11c<3i2d99h4?;:k7=?6=,;?m69o4n37f>4=h5=l0976g;7;29 73a2=k0b?;j:298m10=83.99k4;a:l11`<332c>87>5$37e>1go2:3:1(?;i:5c8j73b2>10e8?50;&11c<3i2d99h47;:k64?6=,;?m69o4n37f><=h5=l0j76g;e;29 73a2=k0b?;j:c98m1b=83.99k4;a:l11`5$37e>1go2j3:1(?;i:4c8j73b2910e8750;&11c<2i2d99h4>;:k67=h5=l0876g:6;29 73a25$37e>0gm6`=5d84?>o193:1(?;i:4c8j73b2110e;>50;&11c<2i2d99h46;:k6b?6=,;?m68o4n37f>d=h5=l0i76g:d;29 73a297>5$37e>0g6`=5d83?>oe93:1(?;i:c08j73b2810elh50;&11c6=h5=l0?76gnc;29 73a2k80b?;j:498mdd=83.99k4m2:l11`<132cjm7>5$37e>g46`=5d8;?>of03:1(?;i:c08j73b2010el950;&11cg=1<7*=5g8a6>h5=l0h76gn3;29 73a2k80b?;j:e98md4=83.99k4m2:l11`5$37e>g46`=5d824>=n1o0;6)<:f;`1?k42m3;:76g6e;29 73a2k80b?;j:008?l?c290/>8h5b39m60c=9:10e4m50;&11c4:9j=g<72-8>j7l=;o06a?7232cim7>5$37e>g45<#:h5=l0:465fb683>!42n3h97c<:e;3:?>oe>3:1(?;i:c08j73b28k07dl::18'60`=j;1e>8k51c98mg2=83.99k4m2:l11`<6k21bn=4?:%06b?d53g8>i7?k;:kb1?6=,;?m6o<4n37f>4c<3`3j6=4+24d9f7=i:oc:3:1(?;i:e18j73b2810ei>50;&11c6=h5=l0?76gld;29 73a2m90b?;j:498mfe=83.99k4k3:l11`<132chn7>5$37e>a5od13:1(?;i:e18j73b2010en650;&11cg=h5=l0h76gl4;29 73a2m90b?;j:e98mf5=83.99k4k3:l11`7>5$37e>a5=nk90;6)<:f;f0?k42m3;:76gmf;29 73a2m90b?;j:008?ldb290/>8h5d29m60c=9:10eoj50;&11c4:9jff<72-8>j7j<;o06a?7232con7>5$37e>a55<#:5a24g952=h5=l0:465fd983>!42n3n87c<:e;3:?>oc?3:1(?;i:e18j73b28k07dj9:18'60`=l:1e>8k51c98ma3=83.99k4k3:l11`<6k21bh<4?:%06b?b43g8>i7?k;:k`2?6=,;?m6i=4n37f>4c<3`hi6=4+24d9`6=i:5<#:4n37f>5=!42n3;;<6`=5d81?>oal3:1(?;i:023?k42m3907d??6;29 73a28:>7c<:e;28?l77<3:1(?;i:026?k42m3;07d??3;29 73a28:>7c<:e;08?l77:3:1(?;i:026?k42m3907dc;29 73a28;m7c<:e;08?j76j3:1(?;i:03e?k42m3907b?>a;29 73a28;m7c<:e;68?j7613:1(?;i:03e?k42m3?07b?>8;29 73a28;m7c<:e;48?j76?3:1(?;i:03e?k42m3=07b?>6;29 73a28;m7c<:e;:8?j76=3:1(?;i:03e?k42m3307b?>4;29 73a28;m7c<:e;c8?j76;3:1(?;i:03e?k42m3h07b?>1;29 73a28;m7c<:e;a8?j7683:1(?;i:03e?k42m3n07b??f;29 73a28;m7c<:e;g8?j77m3:1(?;i:03e?k42m3l07b??d;29 73a28;m7c<:e;33?>i68j0;6)<:f;32b>h5=l0:=65`11`94?"5=o0:=k5a24g957=5:9l571=83.99k4>1g9m60c=9?10c<<9:18'60`=98l0b?;j:058?j75=3:1(?;i:03e?k42m3;376a>2583>!42n3;:j6`=5d82=>=h9;91<7*=5g825c=i:5<#:4d<3f;9=7>5$37e>47a3g8>i7?l;:m25a<72-8>j7?>f:l11`<6l21d=<<50;&11c<69o1e>8k51d98k460290/>8h510d8j73b28l07b?;2;29 73a28>:7c<:e;28?j7383:1(?;i:062?k42m3;07b?:7c<:e;08?j74l3:1(?;i:062?k42m3907b?:7c<:e;68?j74j3:1(?;i:062?k42m3?07b?:7c<:e;48?j7413:1(?;i:062?k42m3=07b?<8;29 73a28>:7c<:e;:8?j74?3:1(?;i:062?k42m3307b?<6;29 73a28>:7c<:e;c8?j74=3:1(?;i:062?k42m3h07b?<3;29 73a28>:7c<:e;a8?j74:3:1(?;i:062?k42m3n07b?<1;29 73a28>:7c<:e;g8?j7483:1(?;i:062?k42m3l07b?=f;29 73a28>:7c<:e;33?>i6:l0;6)<:f;375>h5=l0:=65`13f94?"5=o0:8<5a24g957=;o06a?7332e:>l4?:%06b?7392d99h4>5:9l51?=83.99k4>409m60c=9?10c<:7:18'60`=9=;0b?;j:058?j73?3:1(?;i:062?k42m3;376a>4783>!42n3;?=6`=5d82=>=h9=?1<7*=5g8204=i:5<#:4d<3f;??7>5$37e>4263g8>i7?l;:m27c<72-8>j7?;1:l11`<6l21d=>:50;&11c<6<81e>8k51d98k44>290/>8h51538j73b28l07b?;f;29 73a28>n7c<:e;28?j73l3:1(?;i:06f?k42m3;07b?;c;29 73a28>n7c<:e;08?j73j3:1(?;i:06f?k42m3907b?:4;29 73a28?87c<:e;28?j72:3:1(?;i:070?k42m3;07b?:1;29 73a28?87c<:e;08?j7283:1(?;i:070?k42m3907plm6583>f4=83:p(?ji:3`f?M57i2B9i>5U688`71=:109m7"6j;0?7)?m3;68 4d32=1/=o;54:&2f3<33-;i;7:4$0`;>1=#9k3186*>b`87?!7ej3>0("6k;0?7)?l3;68 4e32=1/=n;54:&2g3<33-;h;7:4$0a;>1=#9j3186*>c`87?!7dj3>0("6l;0?7)?k3;68 4b32=1/=i9524:8 4b22:1/=i853:&21=#91l186*>9187?!7>93>0(864n3f1><=i:m9156*=d8811==#908186*>9287?!4a:38m=6*=f281b4=i:o>156`=f48:?!7>13>0(<7n:59'5"6i90?7)?n1;68 4g52=1/=l=54:&2e1<33-;j97:4$0c5>1=#9h=186*>a987?!7f13>0("5l10:;n5+2e`97>"61<0?7)?66;68 4?02=1/=4654:&1`f<43`j7:n;o06a?6<3`>26=4+24d90d=i:!42n3>j7c<:e;08?l20290/>8h54`9m60c=;21b8;4?:%06b?2f3g8>i7:4;h77>5<#:=n=:0;6)<:f;6b?k42m3<07d;=:18'60`=8k57:9j14<72-8>j7:n;o06a?><3`?;6=4+24d90d=i:!42n3>j7c<:e;c8?l2b290/>8h54`9m60c=j21b8i4?:%06b?2f3g8>i7m4;h6`>5<#:=n<<0;6)<:f;6b?k42m3o07d;m:18'60`==h1e>8k50:9j1<<72-8>j7;n;o06a?7<3`?36=4+24d91d=i:65f5683>!42n3?j7c<:e;18?l31290/>8h55`9m60c=<21b:94?:%06b?3f3g8>i7;4;h40>5<#:=n>;0;6)<:f;7b?k42m3=07d8>:18'60`==h1e>8k58:9j25<72-8>j7;n;o06a??<3`?m6=4+24d91d=i:!42n3?j7c<:e;`8?l3c290/>8h55`9m60c=k21b9n4?:%06b?3f3g8>i7j4;h76>5<#:=nj:0;6)<:f;`1?k42m3:07dl>:18'60`=j;1e>8k51:9jec<72-8>j7l=;o06a?4<3`kn6=4+24d9f7=i:!42n3h97c<:e;68?lgd290/>8h5b39m60c==21bmo4?:%06b?d53g8>i784;hcb>5<#:=ni00;6)<:f;`1?k42m3207do7:18'60`=j;1e>8k59:9je2<72-8>j7l=;o06a?g<3`k=6=4+24d9f7=i:!42n3h97c<:e;a8?lg4290/>8h5b39m60c=l21bm?4?:%06b?d53g8>i7k4;hc2>5<#:=ni90;6)<:f;`1?k42m3;;76g6f;29 73a2k80b?;j:038?l?b290/>8h5b39m60c=9;10e4j50;&11c3:9j=f<72-8>j7l=;o06a?7332c2n7>5$37e>g45<#:h5=l0:;65fb983>!42n3h97c<:e;3;?>oe?3:1(?;i:c08j73b28307dl9:18'60`=j;1e>8k51`98mg3=83.99k4m2:l11`<6j21bn94?:%06b?d53g8>i7?l;:ka4?6=,;?m6o<4n37f>4b<3`k>6=4+24d9f7=i:6`=5d82b>=nl=0;6)<:f;f0?k42m3:07dj=:18'60`=l:1e>8k51:9j`5<72-8>j7j<;o06a?4<3`im6=4+24d9`6=i:!42n3n87c<:e;68?lec290/>8h5d29m60c==21bon4?:%06b?b43g8>i784;haa>5<#:5a24g93>=nkh0;6)<:f;f0?k42m3207dm6:18'60`=l:1e>8k59:9jg=<72-8>j7j<;o06a?g<3`i<6=4+24d9`6=i:!42n3n87c<:e;a8?le3290/>8h5d29m60c=l21bo>4?:%06b?b43g8>i7k4;ha1>5<#:5a24g9b>=nk80;6)<:f;f0?k42m3;;76gl0;29 73a2m90b?;j:038?lda290/>8h5d29m60c=9;10eok50;&11c3:9jfa<72-8>j7j<;o06a?7332cio7>5$37e>a55<#:5a24g953=h5=l0:;65fd883>!42n3n87c<:e;3;?>oc03:1(?;i:e18j73b28307dj8:18'60`=l:1e>8k51`98ma0=83.99k4k3:l11`<6j21bh84?:%06b?b43g8>i7?l;:kg5?6=,;?m6i=4n37f>4b<3`i=6=4+24d9`6=i:=n99;1<7*=5g8245=i:!42n3;;<6`=5d82?>oam3:1(?;i:023?k42m3807dhk:18'60`=99:0b?;j:298m461290/>8h51178j73b2910e<>;:18'60`=99?0b?;j:098m464290/>8h51178j73b2;10e<>=:18'60`=99?0b?;j:298m7ce290/>8h52dc8j73b2910e?k6:18'60`=:lk0b?;j:098m7c?290/>8h52dc8j73b2;10e?k8:18'60`=:lk0b?;j:298m7`7290/>8h52dd8j73b2910e?kj:18'60`=:ll0b?;j:098m7cc290/>8h52dd8j73b2;10e?kl:18'60`=:ll0b?;j:298k447290/>8h510d8j73b2910c8h510d8j73b2;10c8h510d8j73b2=10c8h510d8j73b2?10c8h510d8j73b2110c8h510d8j73b2h10c8h510d8j73b2j10c8h510d8j73b2l10c<>j:18'60`=98l0b?;j:g98k46c290/>8h510d8j73b28:07b??c;29 73a28;m7c<:e;32?>i68k0;6)<:f;32b>h5=l0:>65`11c94?"5=o0:=k5a24g956=:4?:%06b?76n2d99h4>6:9l570=83.99k4>1g9m60c=9>10c<<::18'60`=98l0b?;j:0:8?j75<3:1(?;i:03e?k42m3;276a>2283>!42n3;:j6`=5d82e>=h9;81<7*=5g825c=i:5<#:4e<3f;:h7>5$37e>47a3g8>i7?k;:m257<72-8>j7?>f:l11`<6m21d==950;&11c<69o1e>8k51g98k425290/>8h51538j73b2910c<:?:18'60`=9=;0b?;j:098k45b290/>8h51538j73b2;10c<=k:18'60`=9=;0b?;j:298k45d290/>8h51538j73b2=10c<=m:18'60`=9=;0b?;j:498k45f290/>8h51538j73b2?10c<=6:18'60`=9=;0b?;j:698k45?290/>8h51538j73b2110c<=8:18'60`=9=;0b?;j:898k451290/>8h51538j73b2h10c<=::18'60`=9=;0b?;j:c98k454290/>8h51538j73b2j10c<==:18'60`=9=;0b?;j:e98k456290/>8h51538j73b2l10c<=?:18'60`=9=;0b?;j:g98k44a290/>8h51538j73b28:07b?=e;29 73a28>:7c<:e;32?>i6:m0;6)<:f;375>h5=l0:>65`13a94?"5=o0:8<5a24g956=;o06a?7232e:844?:%06b?7392d99h4>6:9l51>=83.99k4>409m60c=9>10c<:8:18'60`=9=;0b?;j:0:8?j73>3:1(?;i:062?k42m3;276a>4483>!42n3;?=6`=5d82e>=h9=>1<7*=5g8204=i:5<#:4e<3f;8j7>5$37e>4263g8>i7?k;:m271<72-8>j7?;1:l11`<6m21d=?750;&11c<6<81e>8k51g98k42a290/>8h515g8j73b2910c<:k:18'60`=9=o0b?;j:098k42d290/>8h515g8j73b2;10c<:m:18'60`=9=o0b?;j:298k433290/>8h51418j73b2910c<;=:18'60`=9<90b?;j:098k436290/>8h51418j73b2;10c<;?:18'60`=9<90b?;j:298ygd1=3:1o?4?:1y'6a`=:ko0D>>n;I0f7>\113ip>:4=8;0b>7d=;:0887=::2496`<5n39<6>65}%3ba?2<,8km695+1c290>"6j80?7)?m2;68 4d42=1/=o:54:&2f0<33-;i:7:4$0`4>1=#9k2186*>b887?!7ei3>0("6k80?7)?l2;68 4e42=1/=n:54:&2g0<33-;h:7:4$0a4>1=#9j2186*>c887?!7di3>0("6l80?7)?k2;68 4b42=1/=i:54:&2`2<5=11/=i;53:&2`3<43-;3o7:4$0:g>1=#91o186*>8g87?!7>83>0(<7>:59'5a?=9>i0(46*=c1811==i:m8156`=d28:?!4c138>46*>9387?!7>;3>0(?h=:3d2?!4a;38m=6`=f58:?k4a=330(<76:59'5"61o0?7)?n0;68 4g62=1/=l<54:&2e6<33-;j87:4$0c6>1=#9h<186*>a687?!7f03>0(h6"5lk087)?65;68 4?12=1/=4954:&2==<33-8oo7=4i7`94?=n>j0;66g81;29?l152900e<97:188m7b32900e?j::188m41>2900e?jk:188m7bb2900c;j50;9l2`<722c?n7>5$37e>1go303:1(?;i:5c8j73b2;10e9950;&11c<3i2d99h4<;:k72?6=,;?m69o4n37f>1=1<7*=5g87e>h5=l0>76g:3;29 73a2=k0b?;j:798m04=83.99k4;a:l11`<032c>=7>5$37e>1go3n3:1(?;i:5c8j73b2h10e9k50;&11c<3i2d99h4m;:k7`?6=,;?m69o4n37f>f=h5=l0o76g;5;29 73a2=k0b?;j:d98m0d=83.99k4:a:l11`<732c>57>5$37e>0gm6`=5d81?>o2?3:1(?;i:4c8j73b2:10e8850;&11c<2i2d99h4;;:k50?6=,;?m68o4n37f>0=h5=l0=76g92;29 73a25$37e>0gm6`=5d8b?>o2m3:1(?;i:4c8j73b2k10e8j50;&11c<2i2d99h4l;:k6g?6=,;?m68o4n37f>a=h5=l0n76gm3;29 73a2k80b?;j:198mg7=83.99k4m2:l11`<632cjj7>5$37e>g46`=5d80?>ofl3:1(?;i:c08j73b2=10elm50;&11c3=h5=l0<76gn9;29 73a2k80b?;j:998md>=83.99k4m2:l11`<>32cj;7>5$37e>g46`=5d8a?>of<3:1(?;i:c08j73b2j10el=50;&11c`=h5=l0m76gn0;29 73a2k80b?;j:028?l?a290/>8h5b39m60c=9810e4k50;&11c2:9j=a<72-8>j7l=;o06a?7432c2o7>5$37e>g45<#:h5=l0::65fb883>!42n3h97c<:e;34?>oe03:1(?;i:c08j73b28207dl8:18'60`=j;1e>8k51898mg0=83.99k4m2:l11`<6i21bn84?:%06b?d53g8>i7?m;:ka0?6=,;?m6o<4n37f>4e<3`h;6=4+24d9f7=i:6`=5d82a>=n1h0;6)<:f;`1?k42m3;m76gk4;29 73a2m90b?;j:198ma4=83.99k4k3:l11`<632co<7>5$37e>a5odm3:1(?;i:e18j73b2=10enj50;&11c3=h5=l0<76gla;29 73a2m90b?;j:998mf?=83.99k4k3:l11`<>32ch47>5$37e>a5od=3:1(?;i:e18j73b2j10en:50;&11c`=h5=l0m76gl1;29 73a2m90b?;j:028?le7290/>8h5d29m60c=9810eoh50;&11c2:9jf`<72-8>j7j<;o06a?7432cih7>5$37e>a55<#:5a24g950=h5=l0::65fd`83>!42n3n87c<:e;34?>oc13:1(?;i:e18j73b28207dj7:18'60`=l:1e>8k51898ma1=83.99k4k3:l11`<6i21bh;4?:%06b?b43g8>i7?m;:kg1?6=,;?m6i=4n37f>4e<3`n:6=4+24d9`6=i:=njk0;6)<:f;f0?k42m3;m76g>0083>!42n3;;<6`=5d83?>oan3:1(?;i:023?k42m3;07dhj:18'60`=99:0b?;j:398mcb=83.99k4>019m60c=;21b==850;&11c<68<1e>8k50:9j552=83.99k4>049m60c=921b===50;&11c<68<1e>8k52:9j554=83.99k4>049m60c=;21b>hl50;&11c<5mh1e>8k50:9j6`?=83.99k4=e`9m60c=921b>h650;&11c<5mh1e>8k52:9j6`1=83.99k4=e`9m60c=;21b>k>50;&11c<5mo1e>8k50:9j6`c=83.99k4=eg9m60c=921b>hj50;&11c<5mo1e>8k52:9j6`e=83.99k4=eg9m60c=;21d=?>50;&11c<69o1e>8k50:9l54c=83.99k4>1g9m60c=921d=8k52:9l54d=83.99k4>1g9m60c=;21d=8k54:9l54?=83.99k4>1g9m60c==21d=<650;&11c<69o1e>8k56:9l541=83.99k4>1g9m60c=?21d=<850;&11c<69o1e>8k58:9l543=83.99k4>1g9m60c=121d=<:50;&11c<69o1e>8k5a:9l545=83.99k4>1g9m60c=j21d=8k5c:9l546=83.99k4>1g9m60c=l21d==h50;&11c<69o1e>8k5e:9l55c=83.99k4>1g9m60c=n21d==j50;&11c<69o1e>8k51198k46d290/>8h510d8j73b28;07b??b;29 73a28;m7c<:e;31?>i68h0;6)<:f;32b>h5=l0:?65`11;94?"5=o0:=k5a24g951=;4?:%06b?76n2d99h4>7:9l573=83.99k4>1g9m60c=9110c<<;:18'60`=98l0b?;j:0;8?j75;3:1(?;i:03e?k42m3;j76a>2383>!42n3;:j6`=5d82f>=h9;;1<7*=5g825c=i:5<#:4b<3f;:>7>5$37e>47a3g8>i7?j;:m242<72-8>j7?>f:l11`<6n21d=9<50;&11c<6<81e>8k50:9l516=83.99k4>409m60c=921d=>k50;&11c<6<81e>8k52:9l56b=83.99k4>409m60c=;21d=>m50;&11c<6<81e>8k54:9l56d=83.99k4>409m60c==21d=>o50;&11c<6<81e>8k56:9l56?=83.99k4>409m60c=?21d=>650;&11c<6<81e>8k58:9l561=83.99k4>409m60c=121d=>850;&11c<6<81e>8k5a:9l563=83.99k4>409m60c=j21d=>=50;&11c<6<81e>8k5c:9l564=83.99k4>409m60c=l21d=>?50;&11c<6<81e>8k5e:9l566=83.99k4>409m60c=n21d=?h50;&11c<6<81e>8k51198k44b290/>8h51538j73b28;07b?=d;29 73a28>:7c<:e;31?>i6:j0;6)<:f;375>h5=l0:?65`13`94?"5=o0:8<5a24g951=;o06a?7132e:854?:%06b?7392d99h4>7:9l511=83.99k4>409m60c=9110c<:9:18'60`=9=;0b?;j:0;8?j73=3:1(?;i:062?k42m3;j76a>4583>!42n3;?=6`=5d82f>=h9=91<7*=5g8204=i:5<#:4b<3f;887>5$37e>4263g8>i7?j;:m26<<72-8>j7?;1:l11`<6n21d=9h50;&11c<68k50:9l51b=83.99k4>4d9m60c=921d=9m50;&11c<68k52:9l51d=83.99k4>4d9m60c=;21d=8:50;&11c<6=:1e>8k50:9l504=83.99k4>529m60c=921d=8?50;&11c<6=:1e>8k52:9l506=83.99k4>529m60c=;21vno89:18`6?6=8r.9hk4=bd9K75g<@;o87W86:by13?4?2;k1>o4<3;17>63=;?09i7"6j90?7)?m1;68 4d52=1/=o=54:&2f1<33-;i97:4$0`5>1=#9k=186*>b987?!7e13>0("6k90?7)?l1;68 4e52=1/=n=54:&2g1<33-;h97:4$0a5>1=#9j=186*>c987?!7d13>0("6l90?7)?k1;68 4b52=1/=i=54:&2`1<33-;o;7<:8:&2`0<43-;o:7=4$0:`>1=#91n186*>8d87?!7?n3>0(<7?:59'5<7=<2.:h44>7b9'5ag=9>i0(?li:37;?!4d838>46`=d38:?k4c;330(?j6:37;?!7>:3>0(<7<:59'6c4=:o;0(?h<:3d2?k4a<330b?h::89'5"61l0?7)?6f;68 4g72=1/=l?54:&2e7<33-;j?7:4$0c7>1=#9h?186*>a787?!7f?3>0(h6:10;7c?;a;28 7b?28=h7)6=n>k0;66g9c;29?l162900e:<50;9j52>=831b>i:50;9j6a3=831b=:750;9j6ab=831b>ik50;9l2a<722e=i7>5;h6a>5<#:=n<00;6)<:f;6b?k42m3;07d:7:18'60`=8k52:9j02<72-8>j7:n;o06a?5<3`>=6=4+24d90d=i:!42n3>j7c<:e;78?l34290/>8h54`9m60c=>21b9?4?:%06b?2f3g8>i794;h72>5<#:=n=90;6)<:f;6b?k42m3307d:i:18'60`=8k5a:9j0`<72-8>j7:n;o06a?d<3`>o6=4+24d90d=i:!42n3>j7c<:e;f8?l22290/>8h54`9m60c=m21b9o4?:%06b?3f3g8>i7>4;h7:>5<#:=n=10;6)<:f;7b?k42m3807d;8:18'60`==h1e>8k53:9j13<72-8>j7;n;o06a?2<3`!42n3?j7c<:e;48?l05290/>8h55`9m60c=?21b:<4?:%06b?3f3g8>i764;h43>5<#:=n=o0;6)<:f;7b?k42m3k07d;j:18'60`==h1e>8k5b:9j1a<72-8>j7;n;o06a?e<3`?h6=4+24d91d=i:!42n3?j7c<:e;g8?ld4290/>8h5b39m60c=821bn<4?:%06b?d53g8>i7?4;hce>5<#:=nil0;6)<:f;`1?k42m3907dok:18'60`=j;1e>8k54:9jef<72-8>j7l=;o06a?3<3`ki6=4+24d9f7=i:!42n3h97c<:e;58?lg>290/>8h5b39m60c=021bm54?:%06b?d53g8>i774;hc4>5<#:=ni?0;6)<:f;`1?k42m3h07do;:18'60`=j;1e>8k5c:9je6<72-8>j7l=;o06a?b<3`k96=4+24d9f7=i:!42n3h97c<:e;d8?lg7290/>8h5b39m60c=9910e4h50;&11c1:9j=`<72-8>j7l=;o06a?7532c2h7>5$37e>g45<#:h5=l0:965fb`83>!42n3h97c<:e;35?>oe13:1(?;i:c08j73b28=07dl7:18'60`=j;1e>8k51998mg1=83.99k4m2:l11`<6121bn;4?:%06b?d53g8>i7?n;:ka1?6=,;?m6o<4n37f>4d<3`h?6=4+24d9f7=i:6`=5d82`>=ni<0;6)<:f;`1?k42m3;n76g6a;29 73a2k80b?;j:0d8?lb3290/>8h5d29m60c=821bh?4?:%06b?b43g8>i7?4;hf3>5<#:5a24g96>=nko0;6)<:f;f0?k42m3907dmj:18'60`=l:1e>8k54:9jga<72-8>j7j<;o06a?3<3`ih6=4+24d9`6=i:!42n3n87c<:e;58?lef290/>8h5d29m60c=021bo44?:%06b?b43g8>i774;ha;>5<#:5a24g9e>=nk>0;6)<:f;f0?k42m3h07dm::18'60`=l:1e>8k5c:9jg1<72-8>j7j<;o06a?b<3`i86=4+24d9`6=i:!42n3n87c<:e;d8?le6290/>8h5d29m60c=9910en>50;&11c1:9jfc<72-8>j7j<;o06a?7532cii7>5$37e>a55<#:5a24g951=h5=l0:965fdc83>!42n3n87c<:e;35?>oci3:1(?;i:e18j73b28=07dj6:18'60`=l:1e>8k51998ma>=83.99k4k3:l11`<6121bh:4?:%06b?b43g8>i7?n;:kg2?6=,;?m6i=4n37f>4d<3`n>6=4+24d9`6=i:=nk?0;6)<:f;f0?k42m3;n76gmb;29 73a2m90b?;j:0d8?l7793:1(?;i:023?k42m3:07dhi:18'60`=99:0b?;j:098mcc=83.99k4>019m60c=:21bji4?:%06b?7782d99h4<;:k243<72-8>j7??5:l11`<732c:<94?:%06b?77=2d99h4>;:k246<72-8>j7??5:l11`<532c:j7;:k1a=<72-8>j7j7;:k1aa<72-8>j7j7?>f:l11`<732e:=h4?:%06b?76n2d99h4>;:m25f<72-8>j7?>f:l11`<532e:=o4?:%06b?76n2d99h4<;:m25d<72-8>j7?>f:l11`<332e:=44?:%06b?76n2d99h4:;:m25=<72-8>j7?>f:l11`<132e:=:4?:%06b?76n2d99h48;:m253<72-8>j7?>f:l11`j7?>f:l11`4?:%06b?76n2d99h4m;:m254<72-8>j7?>f:l11`j7?>f:l11`j7?>f:l11`<6821d==m50;&11c<69o1e>8k51098k46e290/>8h510d8j73b28807b??a;29 73a28;m7c<:e;30?>i6800;6)<:f;32b>h5=l0:865`11:94?"5=o0:=k5a24g950=84?:%06b?76n2d99h4>8:9l572=83.99k4>1g9m60c=9010c<<<:18'60`=98l0b?;j:0c8?j75:3:1(?;i:03e?k42m3;i76a>2083>!42n3;:j6`=5d82g>=h98n1<7*=5g825c=i:5<#:4c<3f;;;7>5$37e>47a3g8>i7?i;:m207<72-8>j7?;1:l11`<732e:8=4?:%06b?7392d99h4>;:m27`<72-8>j7?;1:l11`<532e:?i4?:%06b?7392d99h4<;:m27f<72-8>j7?;1:l11`<332e:?o4?:%06b?7392d99h4:;:m27d<72-8>j7?;1:l11`<132e:?44?:%06b?7392d99h48;:m27=<72-8>j7?;1:l11`j7?;1:l11`j7?;1:l11`j7?;1:l11`j7?;1:l11`<6821d=?k50;&11c<6<81e>8k51098k44c290/>8h51538j73b28807b?=c;29 73a28>:7c<:e;30?>i6:k0;6)<:f;375>h5=l0:865`13c94?"5=o0:8<5a24g950=26=4+24d9517;o06a?7032e:8:4?:%06b?7392d99h4>8:9l510=83.99k4>409m60c=9010c<:::18'60`=9=;0b?;j:0c8?j73<3:1(?;i:062?k42m3;i76a>4283>!42n3;?=6`=5d82g>=h9:l1<7*=5g8204=i:5<#:4c<3f;957>5$37e>4263g8>i7?i;:m20c<72-8>j7?;e:l11`<732e:8i4?:%06b?73m2d99h4>;:m20f<72-8>j7?;e:l11`<532e:8o4?:%06b?73m2d99h4<;:m211<72-8>j7?:3:l11`<732e:9?4?:%06b?72;2d99h4>;:m214<72-8>j7?:3:l11`<532e:9=4?:%06b?72;2d99h4<;:af31=83i96=4?{%0gb?4em2B81?84<6;0f>7`=;>0847s+1`g90>"6io0?7)?m0;68 4d62=1/=o<54:&2f6<33-;i87:4$0`6>1=#9k<186*>b687?!7e03>0("6jo0?7)?l0;68 4e62=1/=n<54:&2g6<33-;h87:4$0a6>1=#9j<186*>c687?!7d03>0("6ko0?7)?k0;68 4b62=1/=i<54:&2`6<33-;o87:4$0f4>73?3-;o97=4$0f5>6=#91i186*>8e87?!7?m3>0(<6i:59'5<6=<2.:5<4;;%3g=?70k2.:hl4>7b9'6g`=:<20(?m?:37;?k4c:330b?j<:89'6a?=:<20(<7=:59'5<5=<2.9j?4=f09'6c5=:o;0b?h;:89m6c3=12.:544;;%3:e?2<,83i695+18a90>"61m0?7)?6e;68 4?a2=1/=l>54:&2e4<33-;j>7:4$0c0>1=#9h>186*>a487?!7f>3>0(=<2.:m44;;%3be?2<,8ki695+1`a90>"6im0?7c?=8;28j42f291/>i6516a8 7be2:1/=4;54:&2=3<33-;2;7:4$0;;>1=#:mi1?6g9b;29?l0d2900e:?50;9j37<722c:;54?::k1`1<722c9h84?::k23<<722c9hi4?::k1``<722e=h7>5;n4f>5<h5=l0;76g;9;29 73a2=k0b?;j:098m1>=83.99k4;a:l11`<532c?;7>5$37e>1g54i5494?"5=o0?m6`=5d87?>o2<3:1(?;i:5c8j73b2<10e8=50;&11c<3i2d99h49;:k66?6=,;?m69o4n37f>2=h5=l0376g:0;29 73a2=k0b?;j:898m1`=83.99k4;a:l11`5$37e>1go3k3:1(?;i:5c8j73b2m10e9;50;&11c<3i2d99h4j;:k6f?6=,;?m68o4n37f>5=h5=l0:76g:8;29 73a2:7>5$37e>0gm6`=5d86?>o1;3:1(?;i:4c8j73b2?10e;<50;&11c<2i2d99h48;:k55?6=,;?m68o4n37f>==h5=l0276g:f;29 73a2h7>5$37e>0gm6`=5d8g?>o2=3:1(?;i:4c8j73b2l10eo=50;&11c4=h5=l0976gne;29 73a2k80b?;j:298mdb=83.99k4m2:l11`<332cjo7>5$37e>g46`=5d85?>ofi3:1(?;i:c08j73b2>10el750;&11c<=h5=l0j76gn6;29 73a2k80b?;j:c98md2=83.99k4m2:l11`5$37e>g46`=5d8f?>of93:1(?;i:c08j73b2o10el>50;&11c0:9j=c<72-8>j7l=;o06a?7632c2i7>5$37e>g45<#:h5=l0:865f9c83>!42n3h97c<:e;36?>oei3:1(?;i:c08j73b28<07dl6:18'60`=j;1e>8k51698mg>=83.99k4m2:l11`<6021bn:4?:%06b?d53g8>i7?6;:ka2?6=,;?m6o<4n37f>4g<3`h>6=4+24d9f7=i:6`=5d82g>=nj90;6)<:f;`1?k42m3;o76gn5;29 73a2k80b?;j:0g8?l?f290/>8h5b39m60c=9o10ei:50;&11c4=h5=l0976glf;29 73a2m90b?;j:298mfc=83.99k4k3:l11`<332chh7>5$37e>a5odj3:1(?;i:e18j73b2>10eno50;&11c<=h5=l0j76gl7;29 73a2m90b?;j:c98mf3=83.99k4k3:l11`5$37e>a5od:3:1(?;i:e18j73b2o10en?50;&11c0:9jg5<72-8>j7j<;o06a?7632cij7>5$37e>a55<#:5a24g956=h5=l0:865fbb83>!42n3n87c<:e;36?>ocj3:1(?;i:e18j73b28<07djn:18'60`=l:1e>8k51698ma?=83.99k4k3:l11`<6021bh54?:%06b?b43g8>i7?6;:kg3?6=,;?m6i=4n37f>4g<3`n=6=4+24d9`6=i:=nl80;6)<:f;f0?k42m3;o76gl6;29 73a2m90b?;j:0g8?lde290/>8h5d29m60c=9o10e<>>:18'60`=99:0b?;j:198mc`=83.99k4>019m60c=921bjh4?:%06b?7782d99h4=;:ke`?6=,;?m6<>?;o06a?5<3`;;:7>5$37e>4623g8>i7>4;h330?6=,;?m6<>:;o06a?7<3`;;?7>5$37e>4623g8>i7<4;h336?6=,;?m6<>:;o06a?5<3`8nn7>5$37e>7cf3g8>i7>4;h0f=?6=,;?m6?kn;o06a?7<3`8n47>5$37e>7cf3g8>i7<4;h0f3?6=,;?m6?kn;o06a?5<3`8m<7>5$37e>7ca3g8>i7>4;h0fa?6=,;?m6?ki;o06a?7<3`8nh7>5$37e>7ca3g8>i7<4;h0fg?6=,;?m6?ki;o06a?5<3f;9<7>5$37e>47a3g8>i7>4;n32a?6=,;?m65$37e>47a3g8>i7<4;n32f?6=,;?m65$37e>47a3g8>i7:4;n32=?6=,;?m65$37e>47a3g8>i784;n323?6=,;?m65$37e>47a3g8>i764;n321?6=,;?m65$37e>47a3g8>i7o4;n327?6=,;?m65$37e>47a3g8>i7m4;n324?6=,;?m65$37e>47a3g8>i7k4;n33a?6=,;?m65$37e>47a3g8>i7??;:m24f<72-8>j7?>f:l11`<6921d==l50;&11c<69o1e>8k51398k46f290/>8h510d8j73b28907b??9;29 73a28;m7c<:e;37?>i6810;6)<:f;32b>h5=l0:965`13594?"5=o0:=k5a24g953=94?:%06b?76n2d99h4>9:9l575=83.99k4>1g9m60c=9h10c<<=:18'60`=98l0b?;j:0`8?j7593:1(?;i:03e?k42m3;h76a>1e83>!42n3;:j6`=5d82`>=h9881<7*=5g825c=i:5<#:4`<3f;?>7>5$37e>4263g8>i7>4;n374?6=,;?m6<:>;o06a?7<3f;8i7>5$37e>4263g8>i7<4;n30`?6=,;?m6<:>;o06a?5<3f;8o7>5$37e>4263g8>i7:4;n30f?6=,;?m6<:>;o06a?3<3f;8m7>5$37e>4263g8>i784;n30=?6=,;?m6<:>;o06a?1<3f;847>5$37e>4263g8>i764;n303?6=,;?m6<:>;o06a??<3f;8:7>5$37e>4263g8>i7o4;n301?6=,;?m6<:>;o06a?d<3f;8?7>5$37e>4263g8>i7m4;n306?6=,;?m6<:>;o06a?b<3f;8=7>5$37e>4263g8>i7k4;n304?6=,;?m6<:>;o06a?`<3f;9j7>5$37e>4263g8>i7??;:m26`<72-8>j7?;1:l11`<6921d=?j50;&11c<6<81e>8k51398k44d290/>8h51538j73b28907b?=b;29 73a28>:7c<:e;37?>i6:h0;6)<:f;375>h5=l0:965`15;94?"5=o0:8<5a24g953=36=4+24d9517;o06a?7?32e:8;4?:%06b?7392d99h4>9:9l513=83.99k4>409m60c=9h10c<:;:18'60`=9=;0b?;j:0`8?j73;3:1(?;i:062?k42m3;h76a>3g83>!42n3;?=6`=5d82`>=h9:>1<7*=5g8204=i:5<#:4`<3f;?j7>5$37e>42b3g8>i7>4;n37`?6=,;?m6<:j;o06a?7<3f;?o7>5$37e>42b3g8>i7<4;n37f?6=,;?m6<:j;o06a?5<3f;>87>5$37e>4343g8>i7>4;n366?6=,;?m6<;<;o06a?7<3f;>=7>5$37e>4343g8>i7<4;n364?6=,;?m6<;<;o06a?5<3thi:54?:b094?6|,;nm6?lj;I13e>N5m:1Q:44l{3596=<5i38i6>=535801?512;o1>k4<7;1;>x"6il0?7)?nf;68 4d72=1/=o?54:&2f7<33-;i?7:4$0`7>1=#9k?186*>b787?!7e?3>0("6jl0?7)?mf;68 4e72=1/=n?54:&2g7<33-;h?7:4$0a7>1=#9j?186*>c787?!7d?3>0("6kl0?7)?lf;68 4b72=1/=i?54:&2`7<33-;o?7:4$0f7>1=#9m=1>864$0f6>6=#9m<1?6*>8b87?!7?l3>0(<6j:59'5=`=<2.:5=4;;%3:5?2<,8n26<9l;%3ge?70k2.9nk4=599'6f6=:<20b?j=:89m6a5=12.9h44=599'5<4=<2.:5>4;;%0e6?4a92.9j>4=f09m6c2=12d9j846;%3:=?2<,83j695+18`90>"61j0?7)?6d;68 4?b2=1/=4h54:&2e5<33-;j=7:4$0c1>1=#9h9186*>a587?!7f=3>0("6ij0?7)?nd;68j44?291e=9o50:&1`=<6?j1/>il53:&2=0<33-;2:7:4$0;4>1=#902186*=db80?l0e2900e;m50;9j34<722c<>7>5;h345;h0g1?6=3`;<57>5;h0g`?6=3`8oi7>5;n4g>5<!42n3>j7c<:e;28?l2>290/>8h54`9m60c=921b854?:%06b?2f3g8>i7<4;h64>5<#:=n07d;;:18'60`=8k55:9j16<72-8>j7:n;o06a?0<3`?96=4+24d90d=i:!42n3>j7c<:e;:8?l37290/>8h54`9m60c=121b8k4?:%06b?2f3g8>i7o4;h6f>5<#:=n8k5d:9j00<72-8>j7:n;o06a?c<3`?i6=4+24d91d=i:!42n3?j7c<:e;38?l3?290/>8h55`9m60c=:21b9:4?:%06b?3f3g8>i7=4;h75>5<#:=n>=0;6)<:f;7b?k42m3?07d8<:18'60`==h1e>8k56:9j27<72-8>j7;n;o06a?1<3`<:6=4+24d91d=i:!42n3?j7c<:e;;8?l3a290/>8h55`9m60c=i21b9h4?:%06b?3f3g8>i7l4;h7g>5<#:=n=j0;6)<:f;7b?k42m3n07d;::18'60`==h1e>8k5e:9jf6<72-8>j7l=;o06a?6<3`h:6=4+24d9f7=i:!42n3h97c<:e;08?lgb290/>8h5b39m60c=;21bmi4?:%06b?d53g8>i7:4;hc`>5<#:=nik0;6)<:f;`1?k42m3<07don:18'60`=j;1e>8k57:9je<<72-8>j7l=;o06a?><3`k36=4+24d9f7=i:!42n3h97c<:e;c8?lg1290/>8h5b39m60c=j21bm94?:%06b?d53g8>i7m4;hc0>5<#:=ni;0;6)<:f;`1?k42m3o07do>:18'60`=j;1e>8k5f:9je5<72-8>j7l=;o06a?7732c2j7>5$37e>g45<#:h5=l0:?65f9b83>!42n3h97c<:e;37?>o>j3:1(?;i:c08j73b28?07dln:18'60`=j;1e>8k51798mg?=83.99k4m2:l11`<6?21bn54?:%06b?d53g8>i7?7;:ka3?6=,;?m6o<4n37f>4?<3`h=6=4+24d9f7=i:6`=5d82f>=nj=0;6)<:f;`1?k42m3;h76gm0;29 73a2k80b?;j:0f8?lg2290/>8h5b39m60c=9l10e4o50;&11cf:9j`1<72-8>j7j<;o06a?6<3`n96=4+24d9`6=i:!42n3n87c<:e;08?lea290/>8h5d29m60c=;21boh4?:%06b?b43g8>i7:4;hag>5<#:5a24g91>=nkj0;6)<:f;f0?k42m3<07dmm:18'60`=l:1e>8k57:9jgd<72-8>j7j<;o06a?><3`i26=4+24d9`6=i:!42n3n87c<:e;c8?le0290/>8h5d29m60c=j21bo84?:%06b?b43g8>i7m4;ha7>5<#:5a24g9`>=nk:0;6)<:f;f0?k42m3o07dm=:18'60`=l:1e>8k5f:9jg4<72-8>j7j<;o06a?7732ch<7>5$37e>a55<#:5a24g957=h5=l0:?65fbe83>!42n3n87c<:e;37?>oek3:1(?;i:e18j73b28?07djm:18'60`=l:1e>8k51798mag=83.99k4k3:l11`<6?21bh44?:%06b?b43g8>i7?7;:kg4?<3`n<6=4+24d9`6=i:=nl<0;6)<:f;f0?k42m3;h76gk1;29 73a2m90b?;j:0f8?le1290/>8h5d29m60c=9l10eol50;&11cf:9j557=83.99k4>019m60c=821bjk4?:%06b?7782d99h4>;:kea?6=,;?m6<>?;o06a?4<3`lo6=4+24d955654i025>5<#:5=5<#:7=54i3ga>5<#:ho4n37f>5=5<#:ho4n37f>7=54i3d3>5<#:hh4n37f>5=5<#:hh4n37f>7=54o003>5<#:5=5<#:7=54o03b>5<#:1=5<#:3=5<#:==6=4+24d954`5<#:d=5<#:f=5<#:`=5<#:46<3f;;o7>5$37e>47a3g8>i7?>;:m24g<72-8>j7?>f:l11`<6:21d==o50;&11c<69o1e>8k51298k46>290/>8h510d8j73b28>07b??8;29 73a28;m7c<:e;36?>i6:>0;6)<:f;32b>h5=l0::65`13494?"5=o0:=k5a24g952=6=4+24d954`32e:>>4?:%06b?76n2d99h4>a:9l574=83.99k4>1g9m60c=9k10c<<>:18'60`=98l0b?;j:0a8?j76l3:1(?;i:03e?k42m3;o76a>1383>!42n3;:j6`=5d82a>=h99=1<7*=5g825c=i:5<#:5=;6=4+24d95175<#:7=54o01`>5<#:1=5<#:3=5<#:==5<#:d=6=4+24d95175<#:f=5<#:`=5<#:46<3f;9i7>5$37e>4263g8>i7?>;:m26a<72-8>j7?;1:l11`<6:21d=?m50;&11c<6<81e>8k51298k44e290/>8h51538j73b28>07b?=a;29 73a28>:7c<:e;36?>i6<00;6)<:f;375>h5=l0::65`15:94?"5=o0:8<5a24g952=<6=4+24d9517;o06a?7>32e:884?:%06b?7392d99h4>a:9l512=83.99k4>409m60c=9k10c<:<:18'60`=9=;0b?;j:0a8?j74n3:1(?;i:062?k42m3;o76a>3583>!42n3;?=6`=5d82a>=h9;31<7*=5g8204=i:5<#:5=o6=4+24d951c5<#:7=i6=4+24d951c54o077>5<#:5=5<#:7=54}c`5=?6=k;0;6=u+2ed96gc<@::j7E09476>852d81b?502:21q)?ne;68 4ga2=1/=o>54:&2f4<33-;i>7:4$0`0>1=#9k>186*>b487?!7e>3>0(=<2.:n44;;%3ae?2<,8hi695+1ca90>"6jm0?7)?me;68 4da2=1/=n>54:&2g4<33-;h>7:4$0a0>1=#9j>186*>c487?!7d>3>0(=<2.:o44;;%3`e?2<,8ii695+1ba90>"6km0?7)?le;68 4ea2=1/=i>54:&2`4<33-;o>7:4$0f0>1=#9m>186*>d6811==#9m?1?6*>d780?!7?k3>0(<6k:59'5=c=<2.:4k4;;%3:4?2<,83:695+1e;952e<,8nj6<9l;%0ab?4202.9o=4=599m6a4=12d9h>46;%0g=?4202.:5?4;;%3:7?2<,;l96?h>;%0e7?4a92d9j946;o0e1??<,832695+18c90>"61k0?7)?6c;68 4?c2=1/=4k54:&2=c<33-;j<7:4$0c2>1=#9h8186*>a287?!7f<3>0("6ik0?7)?nc;68 4gc2=1e=?650:l20d<73-8o47?8c:&1`g<43-;297:4$0;5>1=#90=186*>9987?!4ck390e;l50;9j2f<722c<=7>5;h51>5<5<6=44i05:>5<5<>o3j3:1(?;i:5c8j73b2910e9750;&11c<3i2d99h4>;:k77=h5=l0876g;6;29 73a2=k0b?;j:598m02=83.99k4;a:l11`<232c>?7>5$37e>1go293:1(?;i:5c8j73b2110e8>50;&11c<3i2d99h46;:k7b?6=,;?m69o4n37f>d=h5=l0i76g;d;29 73a2=k0b?;j:b98m1e=83.99k4;a:l11`5$37e>1gm6`=5d83?>o213:1(?;i:4c8j73b2810e8650;&11c<2i2d99h4=;:k63?6=,;?m68o4n37f>6=h5=l0?76g94;29 73a27>5$37e>0gm6`=5d8;?>o183:1(?;i:4c8j73b2010e8h50;&11c<2i2d99h4n;:k6a?6=,;?m68o4n37f>g=h5=l0h76g:c;29 73a25$37e>g46`=5d82?>ofn3:1(?;i:c08j73b2;10elk50;&11c1=h5=l0>76gnb;29 73a2k80b?;j:798mdg=83.99k4m2:l11`<032cj57>5$37e>g46`=5d8:?>of?3:1(?;i:c08j73b2h10el850;&11cf=h5=l0o76gn2;29 73a2k80b?;j:d98md7=83.99k4m2:l11`5$37e>g44;h;e>5<#:h5=l0:>65f9e83>!42n3h97c<:e;30?>o>k3:1(?;i:c08j73b28>07d7m:18'60`=j;1e>8k51498mgg=83.99k4m2:l11`<6>21bn44?:%06b?d53g8>i7?8;:ka4><3`h<6=4+24d9f7=i:6`=5d82e>=nj<0;6)<:f;`1?k42m3;i76gm4;29 73a2k80b?;j:0a8?ld7290/>8h5b39m60c=9m10el;50;&11ce:9j=d<72-8>j7l=;o06a?7a32co87>5$37e>a5oc83:1(?;i:e18j73b2;10enh50;&11c1=h5=l0>76glc;29 73a2m90b?;j:798mfd=83.99k4k3:l11`<032chm7>5$37e>a5od03:1(?;i:e18j73b2h10en950;&11cf=1<7*=5g8g7>h5=l0o76gl3;29 73a2m90b?;j:d98mf4=83.99k4k3:l11`5$37e>a54;ha3>5<#:5a24g954=h5=l0:>65fbd83>!42n3n87c<:e;30?>oel3:1(?;i:e18j73b28>07dll:18'60`=l:1e>8k51498mad=83.99k4k3:l11`<6>21bhl4?:%06b?b43g8>i7?8;:kg=?6=,;?m6i=4n37f>4><3`n36=4+24d9`6=i:=nl?0;6)<:f;f0?k42m3;i76gk5;29 73a2m90b?;j:0a8?lb6290/>8h5d29m60c=9m10en850;&11ce:9jfg<72-8>j7j<;o06a?7a32c:<<4?:%06b?7782d99h4?;:keb?6=,;?m6<>?;o06a?7<3`ln6=4+24d9556=n99<1<7*=5g8240=i:=n9991<7*=5g8240=i:65f11094?"5=o0:<85a24g97>=n:lh1<7*=5g81ad=i:=n:l21<7*=5g81ad=i:65f2d594?"5=o09il5a24g97>=n:o:1<7*=5g81ac=i:=n:ln1<7*=5g81ac=i:65f2da94?"5=o09ik5a24g97>=h9;:1<7*=5g825c=i:=h98i1<7*=5g825c=i:65`10`94?"5=o0:=k5a24g97>=h98k1<7*=5g825c=i:=h9821<7*=5g825c=i:=h98<1<7*=5g825c=i:=h98>1<7*=5g825c=i:=h98;1<7*=5g825c=i:=h99l1<7*=5g825c=i:=h99n1<7*=5g825c=i:5<#:47<3f;;n7>5$37e>47a3g8>i7?=;:m24d<72-8>j7?>f:l11`<6;21d==750;&11c<69o1e>8k51598k46?290/>8h510d8j73b28?07b?=7;29 73a28;m7c<:e;35?>i6:?0;6)<:f;32b>h5=l0:;65`13794?"5=o0:=k5a24g95==?4?:%06b?76n2d99h4>b:9l577=83.99k4>1g9m60c=9j10c0683>!42n3;:j6`=5d82b>=h9=81<7*=5g8204=i:=h9:o1<7*=5g8204=i:65`12f94?"5=o0:8<5a24g97>=h9:i1<7*=5g8204=i:=h9:k1<7*=5g8204=i:=h9:21<7*=5g8204=i:=h9:<1<7*=5g8204=i:=h9:91<7*=5g8204=i:=h9:;1<7*=5g8204=i:=h9;l1<7*=5g8204=i:5<#:47<3f;9h7>5$37e>4263g8>i7?=;:m26f<72-8>j7?;1:l11`<6;21d=?l50;&11c<6<81e>8k51598k44f290/>8h51538j73b28?07b?;9;29 73a28>:7c<:e;35?>i6<10;6)<:f;375>h5=l0:;65`15594?"5=o0:8<5a24g95===6=4+24d9517;o06a?7f32e:894?:%06b?7392d99h4>b:9l515=83.99k4>409m60c=9j10c<=i:18'60`=9=;0b?;j:0f8?j74<3:1(?;i:062?k42m3;n76a>2883>!42n3;?=6`=5d82b>=h9=l1<7*=5g820`=i:=h9=i1<7*=5g820`=i:65`15`94?"5=o0:8h5a24g97>=h9<>1<7*=5g8216=i:5a24g95>=h9<;1<7*=5g8216=i:65`14294?"5=o0:9>5a24g97>=zjk7g=:k08?7=;:27973<5m38m6>95398~ 4gb2=1/=lh54:&2f5<33-;i=7:4$0`1>1=#9k9186*>b587?!7e=3>0("6jj0?7)?md;68 4db2=1/=oh54:&2g5<33-;h=7:4$0a1>1=#9j9186*>c587?!7d=3>0("6kj0?7)?ld;68 4eb2=1/=nh54:&2`5<33-;o=7:4$0f1>1=#9m9186*>d587?!7c?38>46*>d480?!7c>390(<6l:59'5=b=<2.:4h4;;%3;b?2<,83;695+18390>"6l00:;n5+1ec952e<,;hm6?;7;%0`4?4202d9h?46;o0g7??<,;n26?;7;%3:6?2<,838695+2g096c7<,;l86?h>;o0e0??645+18;90>"61h0?7)?6b;68 4?d2=1/=4j54:&2=`<33-;2j7:4$0c3>1=#9h;186*>a387?!7f;3>0("6ih0?7)?nb;68 4gd2=1/=lj54:l26=<73g;?m7>4$3f;>41d3-8on7=4$0;6>1=#90<186*>9687?!7>03>0(?jl:29j2g<722c=o7>5;h52>5<81<75f16:94?=n:m>1<75f2e794?=n9>31<75f2ef94?=n:mo1<75`6e83>>i1m3:17d:m:18'60`=8k50:9j0<<72-8>j7:n;o06a?7<3`>36=4+24d90d=i:65f4683>!42n3>j7c<:e;18?l21290/>8h54`9m60c=<21b994?:%06b?2f3g8>i7;4;h70>5<#:=n=;0;6)<:f;6b?k42m3=07d;>:18'60`=8k58:9j15<72-8>j7:n;o06a??<3`>m6=4+24d90d=i:!42n3>j7c<:e;`8?l2c290/>8h54`9m60c=k21b8n4?:%06b?2f3g8>i7j4;h66>5<#:=n=k0;6)<:f;7b?k42m3:07d;6:18'60`==h1e>8k51:9j1=<72-8>j7;n;o06a?4<3`?<6=4+24d91d=i:!42n3?j7c<:e;68?l03290/>8h55`9m60c==21b:>4?:%06b?3f3g8>i784;h41>5<#:=n>80;6)<:f;7b?k42m3207d8?:18'60`==h1e>8k59:9j1c<72-8>j7;n;o06a?g<3`?n6=4+24d91d=i:!42n3?j7c<:e;a8?l3d290/>8h55`9m60c=l21b984?:%06b?3f3g8>i7k4;h`0>5<#:=nj80;6)<:f;`1?k42m3;07doi:18'60`=j;1e>8k52:9je`<72-8>j7l=;o06a?5<3`ko6=4+24d9f7=i:!42n3h97c<:e;78?lge290/>8h5b39m60c=>21bml4?:%06b?d53g8>i794;hc:>5<#:=ni10;6)<:f;`1?k42m3307do8:18'60`=j;1e>8k5a:9je3<72-8>j7l=;o06a?d<3`k?6=4+24d9f7=i:!42n3h97c<:e;f8?lg5290/>8h5b39m60c=m21bm<4?:%06b?d53g8>i7h4;hc3>5<#:h5=l0:=65f9d83>!42n3h97c<:e;31?>o>l3:1(?;i:c08j73b28907d7l:18'60`=j;1e>8k51598mi7?9;:ka=?6=,;?m6o<4n37f>41<3`h36=4+24d9f7=i:6`=5d82=>=nj?0;6)<:f;`1?k42m3;j76gm5;29 73a2k80b?;j:0`8?ld3290/>8h5b39m60c=9j10eo>50;&11cd:9je0<72-8>j7l=;o06a?7b32c2m7>5$37e>g45<#:5a24g94>=nl;0;6)<:f;f0?k42m3;07dj?:18'60`=l:1e>8k52:9jgc<72-8>j7j<;o06a?5<3`in6=4+24d9`6=i:!42n3n87c<:e;78?led290/>8h5d29m60c=>21boo4?:%06b?b43g8>i794;hab>5<#:5a24g9<>=nk00;6)<:f;f0?k42m3307dm7:18'60`=l:1e>8k5a:9jg2<72-8>j7j<;o06a?d<3`i>6=4+24d9`6=i:!42n3n87c<:e;f8?le4290/>8h5d29m60c=m21bo?4?:%06b?b43g8>i7h4;ha2>5<#:5a24g955=h5=l0:=65fbg83>!42n3n87c<:e;31?>oem3:1(?;i:e18j73b28907dlk:18'60`=l:1e>8k51598mge=83.99k4k3:l11`<6=21bho4?:%06b?b43g8>i7?9;:kge?6=,;?m6i=4n37f>41<3`n26=4+24d9`6=i:=nl>0;6)<:f;f0?k42m3;j76gk6;29 73a2m90b?;j:0`8?lb2290/>8h5d29m60c=9j10ei?50;&11cd:9jg3<72-8>j7j<;o06a?7b32cin7>5$37e>a5?;o06a?6<3`lm6=4+24d9556=nnm0;6)<:f;334>h5=l0876g>0783>!42n3;;96`=5d83?>o68=0;6)<:f;331>h5=l0:76g>0283>!42n3;;96`=5d81?>o68;0;6)<:f;331>h5=l0876g=ec83>!42n38nm6`=5d83?>o5m00;6)<:f;0fe>h5=l0:76g=e983>!42n38nm6`=5d81?>o5m>0;6)<:f;0fe>h5=l0876g=f183>!42n38nj6`=5d83?>o5ml0;6)<:f;0fb>h5=l0:76g=ee83>!42n38nj6`=5d81?>o5mj0;6)<:f;0fb>h5=l0876a>2183>!42n3;:j6`=5d83?>i69l0;6)<:f;32b>h5=l0:76a>1b83>!42n3;:j6`=5d81?>i69k0;6)<:f;32b>h5=l0876a>1`83>!42n3;:j6`=5d87?>i6900;6)<:f;32b>h5=l0>76a>1983>!42n3;:j6`=5d85?>i69>0;6)<:f;32b>h5=l0<76a>1783>!42n3;:j6`=5d8;?>i69<0;6)<:f;32b>h5=l0276a>1583>!42n3;:j6`=5d8b?>i69:0;6)<:f;32b>h5=l0i76a>1083>!42n3;:j6`=5d8`?>i6990;6)<:f;32b>h5=l0o76a>0g83>!42n3;:j6`=5d8f?>i68l0;6)<:f;32b>h5=l0m76a>0e83>!42n3;:j6`=5d824>=h99i1<7*=5g825c=i:5<#:44<3f;;m7>5$37e>47a3g8>i7?<;:m24<<72-8>j7?>f:l11`<6<21d==650;&11c<69o1e>8k51498k440290/>8h510d8j73b28<07b?=6;29 73a28;m7c<:e;34?>i6:<0;6)<:f;32b>h5=l0:465`13694?"5=o0:=k5a24g95<=<4?:%06b?76n2d99h4>c:9l54b=83.99k4>1g9m60c=9m10c4383>!42n3;?=6`=5d83?>i6<90;6)<:f;375>h5=l0:76a>3d83>!42n3;?=6`=5d81?>i6;m0;6)<:f;375>h5=l0876a>3b83>!42n3;?=6`=5d87?>i6;k0;6)<:f;375>h5=l0>76a>3`83>!42n3;?=6`=5d85?>i6;00;6)<:f;375>h5=l0<76a>3983>!42n3;?=6`=5d8;?>i6;>0;6)<:f;375>h5=l0276a>3783>!42n3;?=6`=5d8b?>i6;<0;6)<:f;375>h5=l0i76a>3283>!42n3;?=6`=5d8`?>i6;;0;6)<:f;375>h5=l0o76a>3083>!42n3;?=6`=5d8f?>i6;90;6)<:f;375>h5=l0m76a>2g83>!42n3;?=6`=5d824>=h9;o1<7*=5g8204=i:5<#:44<3f;9o7>5$37e>4263g8>i7?<;:m26g<72-8>j7?;1:l11`<6<21d=?o50;&11c<6<81e>8k51498k42>290/>8h51538j73b28<07b?;8;29 73a28>:7c<:e;34?>i6<>0;6)<:f;375>h5=l0:465`15494?"5=o0:8<5a24g95<=>6=4+24d9517;o06a?7e32e:8>4?:%06b?7392d99h4>c:9l56`=83.99k4>409m60c=9m10c<=;:18'60`=9=;0b?;j:0g8?j7513:1(?;i:062?k42m3;m76a>4g83>!42n3;?i6`=5d83?>i6h5=l0:76a>4b83>!42n3;?i6`=5d81?>i6h5=l0876a>5583>!42n3;>?6`=5d83?>i6=;0;6)<:f;367>h5=l0:76a>5083>!42n3;>?6`=5d81?>i6=90;6)<:f;367>h5=l0876smb7`94?e5290;w)N48h1C>h=4Z7;9g~402;21>l4=b;10>62=;<08:71=#9k8186*>b287?!7e<3>0("6jk0?7)?mc;68 4dc2=1/=ok54:&2fc<33-;h<7:4$0a2>1=#9j8186*>c287?!7d<3>0("6kk0?7)?lc;68 4ec2=1/=nk54:&2gc<33-;o<7:4$0f2>1=#9m8186*>d287?!7c<3>0("6180?7)?k9;34g>"6lh0:;n5+2cd960><,;i;6?;7;o0g6??<,839695+18190>"5n;09j<5+2g196c7"6100?7)?6a;68 4?e2=1/=4m54:&2=a<33-;2i7:4$0;e>1=#9h:186*>a087?!7f:3>0("6i00?7)?na;68 4ge2=1/=lm54:&2ea<33g;947>4n06b>5=#:m21=:m4$3fa>6=#90?186*>9787?!7>?3>0(<77:59'6ae=;2c=n7>5;h4`>5<;1<75f7383>>o6?10;66g=d583>>o5l<0;66g>7883>>o5lm0;66g=dd83>>i1l3:17b8j:188m1d=83.99k4;a:l11`<732c?57>5$37e>1go3?3:1(?;i:5c8j73b2:10e9850;&11c<3i2d99h4;;:k60?6=,;?m69o4n37f>0=h5=l0=76g:2;29 73a2=k0b?;j:698m07=83.99k4;a:l11`<7>5$37e>1go3m3:1(?;i:5c8j73b2k10e9j50;&11c<3i2d99h4l;:k7g?6=,;?m69o4n37f>a=h5=l0n76g:b;29 73a247>5$37e>0gm6`=5d80?>o2>3:1(?;i:4c8j73b2=10e;:50;&11c<2i2d99h4:;:k57?6=,;?m68o4n37f>3=h5=l0<76g91;29 73a232c>j7>5$37e>0gm6`=5d8a?>o2l3:1(?;i:4c8j73b2j10e8m50;&11c<2i2d99h4k;:k61?6=,;?m68o4n37f>`=h5=l0;76gm1;29 73a2k80b?;j:098md`=83.99k4m2:l11`<532cji7>5$37e>g454i`f94?"5=o0i>6`=5d87?>ofk3:1(?;i:c08j73b2<10ell50;&11c2=h5=l0376gn8;29 73a2k80b?;j:898md1=83.99k4m2:l11`5$37e>g46`=5d8`?>of;3:1(?;i:c08j73b2m10el<50;&11cc=h5=l0:<65f9g83>!42n3h97c<:e;32?>o>m3:1(?;i:c08j73b28807d7k:18'60`=j;1e>8k51298mi7?:;:kae?6=,;?m6o<4n37f>40<3`h26=4+24d9f7=i:6`=5d82<>=nj>0;6)<:f;`1?k42m3;276gm6;29 73a2k80b?;j:0c8?ld2290/>8h5b39m60c=9k10eo:50;&11cc:9jf5<72-8>j7l=;o06a?7c32cj97>5$37e>g45<#:1<7*=5g8g7>h5=l0;76gk2;29 73a2m90b?;j:098ma6=83.99k4k3:l11`<532chj7>5$37e>a554ibg94?"5=o0o?6`=5d87?>odl3:1(?;i:e18j73b2<10enm50;&11c2=h5=l0376gl9;29 73a2m90b?;j:898mf>=83.99k4k3:l11`5$37e>a5od<3:1(?;i:e18j73b2m10en=50;&11cc=h5=l0:<65fc183>!42n3n87c<:e;32?>oen3:1(?;i:e18j73b28807dlj:18'60`=l:1e>8k51298mgb=83.99k4k3:l11`<6<21bnn4?:%06b?b43g8>i7?:;:kgf?6=,;?m6i=4n37f>40<3`nj6=4+24d9`6=i:=nl10;6)<:f;f0?k42m3;276gk7;29 73a2m90b?;j:0c8?lb1290/>8h5d29m60c=9k10ei;50;&11cc:9j`4<72-8>j7j<;o06a?7c32ch:7>5$37e>a55<#:5a24g95c==nnl0;6)<:f;334>h5=l0976gid;29 73a28:;7c<:e;18?l77>3:1(?;i:026?k42m3:07d??4;29 73a28:>7c<:e;38?l77;3:1(?;i:026?k42m3807d??2;29 73a28:>7c<:e;18?l4bj3:1(?;i:3gb?k42m3:07de;29 73a28;m7c<:e;38?j76k3:1(?;i:03e?k42m3807b?>b;29 73a28;m7c<:e;18?j76i3:1(?;i:03e?k42m3>07b?>9;29 73a28;m7c<:e;78?j7603:1(?;i:03e?k42m3<07b?>7;29 73a28;m7c<:e;58?j76>3:1(?;i:03e?k42m3207b?>5;29 73a28;m7c<:e;;8?j76<3:1(?;i:03e?k42m3k07b?>3;29 73a28;m7c<:e;`8?j7693:1(?;i:03e?k42m3i07b?>0;29 73a28;m7c<:e;f8?j77n3:1(?;i:03e?k42m3o07b??e;29 73a28;m7c<:e;d8?j77l3:1(?;i:03e?k42m3;;76a>0b83>!42n3;:j6`=5d825>=h99h1<7*=5g825c=i:5<#:45<3f;;57>5$37e>47a3g8>i7?;;:m24=<72-8>j7?>f:l11`<6=21d=?950;&11c<69o1e>8k51798k441290/>8h510d8j73b28=07b?=5;29 73a28;m7c<:e;3;?>i6:=0;6)<:f;32b>h5=l0:565`13194?"5=o0:=k5a24g95d=d:9l544=83.99k4>1g9m60c=9l10c<>8:18'60`=98l0b?;j:0d8?j73:3:1(?;i:062?k42m3:07b?;0;29 73a28>:7c<:e;38?j74m3:1(?;i:062?k42m3807b?:7c<:e;18?j74k3:1(?;i:062?k42m3>07b?:7c<:e;78?j74i3:1(?;i:062?k42m3<07b?<9;29 73a28>:7c<:e;58?j7403:1(?;i:062?k42m3207b?<7;29 73a28>:7c<:e;;8?j74>3:1(?;i:062?k42m3k07b?<5;29 73a28>:7c<:e;`8?j74;3:1(?;i:062?k42m3i07b?<2;29 73a28>:7c<:e;f8?j7493:1(?;i:062?k42m3o07b?<0;29 73a28>:7c<:e;d8?j75n3:1(?;i:062?k42m3;;76a>2d83>!42n3;?=6`=5d825>=h9;n1<7*=5g8204=i:5<#:45<3f;9n7>5$37e>4263g8>i7?;;:m26d<72-8>j7?;1:l11`<6=21d=9750;&11c<6<81e>8k51798k42?290/>8h51538j73b28=07b?;7;29 73a28>:7c<:e;3;?>i6h5=l0:565`15794?"5=o0:8<5a24g95d=?6=4+24d9517;o06a?7d32e:?k4?:%06b?7392d99h4>d:9l562=83.99k4>409m60c=9l10c<<6:18'60`=9=;0b?;j:0d8?j73n3:1(?;i:06f?k42m3:07b?;d;29 73a28>n7c<:e;38?j73k3:1(?;i:06f?k42m3807b?;b;29 73a28>n7c<:e;18?j72<3:1(?;i:070?k42m3:07b?:2;29 73a28?87c<:e;38?j7293:1(?;i:070?k42m3807b?:0;29 73a28?87c<:e;18?xde>j0;6n<50;2x 7ba2;hn7E=?a:J1a6=]>00hw?952981e?4e2:91?94<5;15>7c=:o08;7=7:|&2e`<33-;jj7:4$0`3>1=#9k;186*>b387?!7e;3>0("6jh0?7)?mb;68 4dd2=1/=oj54:&2f`<33-;ij7:4$0a3>1=#9j;186*>c387?!7d;3>0("6kh0?7)?lb;68 4ed2=1/=nj54:&2g`<33-;hj7:4$0f3>1=#9m;186*>d387?!7c;3>0("6190?7)?61;68 4b>28=h7)?ka;34g>"5jo09955+2b2960>"5l009955+18090>"61:0?7)"5n:09j<5a2g69=>h5n<027)?69;68 4?f2=1/=4l54:&2=f<33-;2h7:4$0;f>1=#90l186*>a187?!7f93>0("6i10?7)?n9;68 4gf2=1/=ll54:&2ef<33-;jh7:4n00;>5=i9=k1<6*=d9823f=#:mh1?6*>9487?!7>>3>0(<78:59'5<>=<2.9hn4<;h4a>5<>o0:3:17d?88;29?l4c<3:17di7>4;h6:>5<#:=n<10;6)<:f;6b?k42m3807d:8:18'60`=8k53:9j03<72-8>j7:n;o06a?2<3`??6=4+24d90d=i:!42n3>j7c<:e;48?l35290/>8h54`9m60c=?21b9<4?:%06b?2f3g8>i764;h73>5<#:=n8k5b:9j0a<72-8>j7:n;o06a?e<3`>h6=4+24d90d=i:!42n3>j7c<:e;g8?l3e290/>8h55`9m60c=821b944?:%06b?3f3g8>i7?4;h7;>5<#:=n=>0;6)<:f;7b?k42m3907d;9:18'60`==h1e>8k54:9j21<72-8>j7;n;o06a?3<3`<86=4+24d91d=i:!42n3?j7c<:e;58?l06290/>8h55`9m60c=021b:=4?:%06b?3f3g8>i774;h7e>5<#:=n=l0;6)<:f;7b?k42m3h07d;k:18'60`==h1e>8k5c:9j1f<72-8>j7;n;o06a?b<3`?>6=4+24d91d=i:!42n3h97c<:e;28?ld6290/>8h5b39m60c=921bmk4?:%06b?d53g8>i7<4;hcf>5<#:=nim0;6)<:f;`1?k42m3>07dol:18'60`=j;1e>8k55:9jeg<72-8>j7l=;o06a?0<3`kj6=4+24d9f7=i:!42n3h97c<:e;:8?lg?290/>8h5b39m60c=121bm:4?:%06b?d53g8>i7o4;hc5>5<#:=ni=0;6)<:f;`1?k42m3i07do<:18'60`=j;1e>8k5d:9je7<72-8>j7l=;o06a?c<3`k:6=4+24d9f7=i:!42n3h97c<:e;33?>o>n3:1(?;i:c08j73b28;07d7j:18'60`=j;1e>8k51398mi7?;;:k:f?6=,;?m6o<4n37f>43<3`hj6=4+24d9f7=i:6`=5d823>=nj10;6)<:f;`1?k42m3;376gm7;29 73a2k80b?;j:0;8?ld1290/>8h5b39m60c=9h10eo;50;&11cb:9jf1<72-8>j7l=;o06a?7d32ci<7>5$37e>g45<#:h5=l0:j65fd583>!42n3n87c<:e;28?lb5290/>8h5d29m60c=921bh=4?:%06b?b43g8>i7<4;hae>5<#:5a24g97>=nkl0;6)<:f;f0?k42m3>07dmk:18'60`=l:1e>8k55:9jgf<72-8>j7j<;o06a?0<3`ii6=4+24d9`6=i:!42n3n87c<:e;:8?le>290/>8h5d29m60c=121bo54?:%06b?b43g8>i7o4;ha4>5<#:5a24g9f>=nk<0;6)<:f;f0?k42m3i07dm;:18'60`=l:1e>8k5d:9jg6<72-8>j7j<;o06a?c<3`i96=4+24d9`6=i:!42n3n87c<:e;33?>od83:1(?;i:e18j73b28;07dli:18'60`=l:1e>8k51398mgc=83.99k4k3:l11`<6;21bni4?:%06b?b43g8>i7?;;:kag?6=,;?m6i=4n37f>43<3`ni6=4+24d9`6=i:=nl00;6)<:f;f0?k42m3;376gk8;29 73a2m90b?;j:0;8?lb0290/>8h5d29m60c=9h10ei850;&11cb:9j`0<72-8>j7j<;o06a?7d32co=7>5$37e>a55<#:5a24g95`=h5=l0:j65f11394?"5=o0:<=5a24g94>=nno0;6)<:f;334>h5=l0:76gie;29 73a28:;7c<:e;08?l`c290/>8h51128j73b2:10e<>9:18'60`=99?0b?;j:198m463290/>8h51178j73b2810e<><:18'60`=99?0b?;j:398m465290/>8h51178j73b2:10e?km:18'60`=:lk0b?;j:198m7c>290/>8h52dc8j73b2810e?k7:18'60`=:lk0b?;j:398m7c0290/>8h52dc8j73b2:10e?h?:18'60`=:ll0b?;j:198m7cb290/>8h52dd8j73b2810e?kk:18'60`=:ll0b?;j:398m7cd290/>8h52dd8j73b2:10c<8h510d8j73b2810c8h510d8j73b2:10c290/>8h510d8j73b2<10c8h510d8j73b2>10c8h510d8j73b2010c8h510d8j73b2k10c:18'60`=98l0b?;j:b98k477290/>8h510d8j73b2m10c<>i:18'60`=98l0b?;j:d98k46b290/>8h510d8j73b2o10c<>k:18'60`=98l0b?;j:028?j77k3:1(?;i:03e?k42m3;:76a>0c83>!42n3;:j6`=5d826>=h99k1<7*=5g825c=i:54o02:>5<#:42<3f;;47>5$37e>47a3g8>i7?:;:m262<72-8>j7?>f:l11`<6>21d=?850;&11c<69o1e>8k51698k442290/>8h510d8j73b28207b?=4;29 73a28;m7c<:e;3:?>i6::0;6)<:f;32b>h5=l0:m65`13094?"5=o0:=k5a24g95g=e:9l551=83.99k4>1g9m60c=9o10c<:=:18'60`=9=;0b?;j:198k427290/>8h51538j73b2810c<=j:18'60`=9=;0b?;j:398k45c290/>8h51538j73b2:10c<=l:18'60`=9=;0b?;j:598k45e290/>8h51538j73b2<10c<=n:18'60`=9=;0b?;j:798k45>290/>8h51538j73b2>10c<=7:18'60`=9=;0b?;j:998k450290/>8h51538j73b2010c<=9:18'60`=9=;0b?;j:`98k452290/>8h51538j73b2k10c<=<:18'60`=9=;0b?;j:b98k455290/>8h51538j73b2m10c<=>:18'60`=9=;0b?;j:d98k457290/>8h51538j73b2o10c<2e83>!42n3;?=6`=5d826>=h9;i1<7*=5g8204=i:54o00a>5<#:42<3f;9m7>5$37e>4263g8>i7?:;:m20<<72-8>j7?;1:l11`<6>21d=9650;&11c<6<81e>8k51698k420290/>8h51538j73b28207b?;6;29 73a28>:7c<:e;3:?>i6<<0;6)<:f;375>h5=l0:m65`15694?"5=o0:8<5a24g95g=86=4+24d9517;o06a?7c32e:?94?:%06b?7392d99h4>e:9l57?=83.99k4>409m60c=9o10c<:i:18'60`=9=o0b?;j:198k42c290/>8h515g8j73b2810c<:l:18'60`=9=o0b?;j:398k42e290/>8h515g8j73b2:10c<;;:18'60`=9<90b?;j:198k435290/>8h51418j73b2810c<;>:18'60`=9<90b?;j:398k437290/>8h51418j73b2:10qol9e;29g7<729q/>ih52cg8L66f3A8n?6T99;ax62<5038j6?l532800?522:<1>h4=f;14>6>=u-;ji7:4$0ce>1=#9k:186*>b087?!7e:3>0("6j00?7)?ma;68 4de2=1/=om54:&2fa<33-;ii7:4$0`e>1=#9j:186*>c087?!7d:3>0("6k00?7)?la;68 4ee2=1/=nm54:&2ga<33-;hi7:4$0ae>1=#9m:186*>d087?!7c:3>0("60o0?7)?60;68 4?62=1/=i7516a8 4bf28=h7)"5k909955a2e09=>h5l:027)"61;0?7)?63;68 7`52;l:7)h5n=027c2=1/=4o54:&2=g<33-;2o7:4$0;g>1=#90o186*>9g87?!7f83>0(:59'5d4=<2.:m>4;;%3b0?2<,8k>695+1`490>"6i>0?7)?n8;68 4g>2=1/=lo54:&2eg<33-;jo7:4$0cg>1=i9;21<6`>4`83?!4c03;=3>0(<79:59'5<1=<2.:554;;%0gg?5>o093:17d9=:188m41?2900e?j;:188m7b22900e<96:188m7bc2900e?jj:188k3b=831d:h4?::k7f?6=,;?m69o4n37f>5=h5=l0:76g;8;29 73a2=k0b?;j:398m11=83.99k4;a:l11`<432c?:7>5$37e>1go2;3:1(?;i:5c8j73b2?10e8<50;&11c<3i2d99h48;:k65?6=,;?m69o4n37f>==h5=l0276g;f;29 73a2=k0b?;j:`98m1c=83.99k4;a:l11`5$37e>1go3=3:1(?;i:5c8j73b2l10e8l50;&11c<2i2d99h4?;:k6=?6=,;?m68o4n37f>4=h5=l0976g:7;29 73a25$37e>0gm6`=5d85?>o1:3:1(?;i:4c8j73b2>10e;?50;&11c<2i2d99h47;:k54?6=,;?m68o4n37f><=h5=l0j76g:e;29 73a2o7>5$37e>0gm6`=5d8f?>oe;3:1(?;i:c08j73b2910eo?50;&11c;:kbb?6=,;?m6o<4n37f>7=h5=l0876gnd;29 73a2k80b?;j:598mde=83.99k4m2:l11`<232cjn7>5$37e>g46`=5d84?>of13:1(?;i:c08j73b2110el650;&11cd=h5=l0i76gn4;29 73a2k80b?;j:b98md5=83.99k4m2:l11`7>5$37e>g46`=5d8e?>of83:1(?;i:c08j73b28:07d7i:18'60`=j;1e>8k51098mi7?<;:k:g?6=,;?m6o<4n37f>42<3`3i6=4+24d9f7=i:6`=5d822>=nj00;6)<:f;`1?k42m3;<76gm8;29 73a2k80b?;j:0:8?ld0290/>8h5b39m60c=9010eo850;&11ca:9jf0<72-8>j7l=;o06a?7e32ci87>5$37e>g45<#:h5=l0:i65f9`83>!42n3h97c<:e;3e?>oc<3:1(?;i:e18j73b2910ei<50;&11c;:kg4?6=,;?m6i=4n37f>7=h5=l0876gle;29 73a2m90b?;j:598mfb=83.99k4k3:l11`<232cho7>5$37e>a5odi3:1(?;i:e18j73b2110en750;&11cd=h5=l0i76gl5;29 73a2m90b?;j:b98mf2=83.99k4k3:l11`5$37e>a5od93:1(?;i:e18j73b28:07dm?:18'60`=l:1e>8k51098mg`=83.99k4k3:l11`<6:21bnh4?:%06b?b43g8>i7?<;:ka`?6=,;?m6i=4n37f>42<3`hh6=4+24d9`6=i:=nlh0;6)<:f;f0?k42m3;<76gk9;29 73a2m90b?;j:0:8?lb?290/>8h5d29m60c=9010ei950;&11ca:9j`3<72-8>j7j<;o06a?7e32co97>5$37e>a55<#:5a24g95a=h5=l0:i65fbc83>!42n3n87c<:e;3e?>o6880;6)<:f;334>h5=l0;76gif;29 73a28:;7c<:e;38?l`b290/>8h51128j73b2;10ekj50;&11c<6891e>8k53:9j550=83.99k4>049m60c=821b==:50;&11c<68<1e>8k51:9j555=83.99k4>049m60c=:21b==<50;&11c<68<1e>8k53:9j6`d=83.99k4=e`9m60c=821b>h750;&11c<5mh1e>8k51:9j6`>=83.99k4=e`9m60c=:21b>h950;&11c<5mh1e>8k53:9j6c6=83.99k4=eg9m60c=821b>hk50;&11c<5mo1e>8k51:9j6`b=83.99k4=eg9m60c=:21b>hm50;&11c<5mo1e>8k53:9l576=83.99k4>1g9m60c=821d=8k51:9l54e=83.99k4>1g9m60c=:21d=8k53:9l54g=83.99k4>1g9m60c=<21d=<750;&11c<69o1e>8k55:9l54>=83.99k4>1g9m60c=>21d=<950;&11c<69o1e>8k57:9l540=83.99k4>1g9m60c=021d=<;50;&11c<69o1e>8k59:9l542=83.99k4>1g9m60c=i21d=<=50;&11c<69o1e>8k5b:9l547=83.99k4>1g9m60c=k21d=<>50;&11c<69o1e>8k5d:9l55`=83.99k4>1g9m60c=m21d==k50;&11c<69o1e>8k5f:9l55b=83.99k4>1g9m60c=9910c<>l:18'60`=98l0b?;j:038?j77j3:1(?;i:03e?k42m3;976a>0`83>!42n3;:j6`=5d827>=h9931<7*=5g825c=i:5<#:43<3f;9;7>5$37e>47a3g8>i7?9;:m263<72-8>j7?>f:l11`<6?21d=?;50;&11c<69o1e>8k51998k443290/>8h510d8j73b28307b?=3;29 73a28;m7c<:e;3b?>i6:;0;6)<:f;32b>h5=l0:n65`13394?"5=o0:=k5a24g95f=f:9l514=83.99k4>409m60c=821d=9>50;&11c<6<81e>8k51:9l56c=83.99k4>409m60c=:21d=>j50;&11c<6<81e>8k53:9l56e=83.99k4>409m60c=<21d=>l50;&11c<6<81e>8k55:9l56g=83.99k4>409m60c=>21d=>750;&11c<6<81e>8k57:9l56>=83.99k4>409m60c=021d=>950;&11c<6<81e>8k59:9l560=83.99k4>409m60c=i21d=>;50;&11c<6<81e>8k5b:9l565=83.99k4>409m60c=k21d=><50;&11c<6<81e>8k5d:9l567=83.99k4>409m60c=m21d=>>50;&11c<6<81e>8k5f:9l57`=83.99k4>409m60c=9910c<2b83>!42n3;?=6`=5d827>=h9;h1<7*=5g8204=i:5<#:43<3f;?57>5$37e>4263g8>i7?9;:m20=<72-8>j7?;1:l11`<6?21d=9950;&11c<6<81e>8k51998k421290/>8h51538j73b28307b?;5;29 73a28>:7c<:e;3b?>i6<=0;6)<:f;375>h5=l0:n65`15194?"5=o0:8<5a24g95f=;o06a?7b32e:>44?:%06b?7392d99h4>f:9l51`=83.99k4>4d9m60c=821d=9j50;&11c<68k51:9l51e=83.99k4>4d9m60c=:21d=9l50;&11c<68k53:9l502=83.99k4>529m60c=821d=8<50;&11c<6=:1e>8k51:9l507=83.99k4>529m60c=:21d=8>50;&11c<6=:1e>8k53:9~fg0a290h>7>50z&1`c<5jl1C?=o4H3g0?_0>2jq9;7<7:3c96g<4;39?6>;53781a?4a2:=1?54r$0cf>1=#9hl186*>b187?!7e93>0("6j10?7)?m9;68 4df2=1/=ol54:&2ff<33-;ih7:4$0`f>1=#9kl186*>c187?!7d93>0("6k10?7)?l9;68 4ef2=1/=nl54:&2gf<33-;hh7:4$0af>1=#9jl186*>d187?!7c93>0("60l0?7)?7f;68 4?72=1/=4?54:&2`<<6?j1/=io516a8 7da2;?37)h5l;027c2;?37)?62;68 4?42=1/>k<52g38 7`42;l:7c1=#90n186*>9d87?!7>n3>0("6i?0?7)?n7;68 4g?2=1/=l754:&2ed<33-;jn7:4$0c`>1=#9hn186`>2983?k73i3:0(?j7:05`?!4cj390(<7::59'5<0=<2.:5:4;;%3:5f6c83>>o1k3:17d9>:188m24=831b=:650;9j6a2=831b>i;50;9j52?=831b>ij50;9j6ac=831d:i4?::m5a?6=3`>i6=4+24d90d=i:!42n3>j7c<:e;38?l2?290/>8h54`9m60c=:21b8:4?:%06b?2f3g8>i7=4;h65>5<#:=n==0;6)<:f;6b?k42m3?07d;<:18'60`=8k56:9j17<72-8>j7:n;o06a?1<3`?:6=4+24d90d=i:!42n3>j7c<:e;;8?l2a290/>8h54`9m60c=i21b8h4?:%06b?2f3g8>i7l4;h6g>5<#:=n8k5e:9j1g<72-8>j7;n;o06a?6<3`?26=4+24d91d=i:!42n3?j7c<:e;08?l30290/>8h55`9m60c=;21b9;4?:%06b?3f3g8>i7:4;h47>5<#:=n>:0;6)<:f;7b?k42m3<07d8=:18'60`==h1e>8k57:9j24<72-8>j7;n;o06a?><3`<;6=4+24d91d=i:!42n3?j7c<:e;c8?l3b290/>8h55`9m60c=j21b9i4?:%06b?3f3g8>i7m4;h7`>5<#:=n=<0;6)<:f;7b?k42m3o07dl<:18'60`=j;1e>8k50:9jf4<72-8>j7l=;o06a?7<3`km6=4+24d9f7=i:65fad83>!42n3h97c<:e;18?lgc290/>8h5b39m60c=<21bmn4?:%06b?d53g8>i7;4;hca>5<#:=nih0;6)<:f;`1?k42m3=07do6:18'60`=j;1e>8k58:9je=<72-8>j7l=;o06a??<3`k<6=4+24d9f7=i:!42n3h97c<:e;`8?lg3290/>8h5b39m60c=k21bm>4?:%06b?d53g8>i7j4;hc1>5<#:=ni80;6)<:f;`1?k42m3l07do?:18'60`=j;1e>8k51198m<`=83.99k4m2:l11`<6921b5h4?:%06b?d53g8>i7?=;:k:`?6=,;?m6o<4n37f>45<3`3h6=4+24d9f7=i:6`=5d821>=njh0;6)<:f;`1?k42m3;=76gm9;29 73a2k80b?;j:058?ld?290/>8h5b39m60c=9110eo950;&11c9:9jf3<72-8>j7l=;o06a?7f32ci97>5$37e>g45<#:h5=l0:h65fa483>!42n3h97c<:e;3f?>o>i3:1(?;i:c08j73b28l07dj;:18'60`=l:1e>8k50:9j`7<72-8>j7j<;o06a?7<3`n;6=4+24d9`6=i:65fcg83>!42n3n87c<:e;18?leb290/>8h5d29m60c=<21boi4?:%06b?b43g8>i7;4;ha`>5<#:5a24g92>=nkk0;6)<:f;f0?k42m3=07dmn:18'60`=l:1e>8k58:9jg<<72-8>j7j<;o06a??<3`i36=4+24d9`6=i:!42n3n87c<:e;`8?le2290/>8h5d29m60c=k21bo94?:%06b?b43g8>i7j4;ha0>5<#:5a24g9a>=nk;0;6)<:f;f0?k42m3l07dm>:18'60`=l:1e>8k51198mf6=83.99k4k3:l11`<6921bnk4?:%06b?b43g8>i7?=;:kaa?6=,;?m6i=4n37f>45<3`ho6=4+24d9`6=i:=nlk0;6)<:f;f0?k42m3;=76gka;29 73a2m90b?;j:058?lb>290/>8h5d29m60c=9110ei650;&11c9:9j`2<72-8>j7j<;o06a?7f32co:7>5$37e>a55<#:5a24g95f=h5=l0:h65fc783>!42n3n87c<:e;3f?>oej3:1(?;i:e18j73b28l07d??1;29 73a28:;7c<:e;28?l`a290/>8h51128j73b2810ekk50;&11c<6891e>8k52:9jba<72-8>j7??0:l11`<432c:<;4?:%06b?77=2d99h4?;:k241<72-8>j7??5:l11`<632c:<>4?:%06b?77=2d99h4=;:k247<72-8>j7??5:l11`<432c9io4?:%06b?4bi2d99h4?;:k1a<<72-8>j7j7j7j7=4?:%06b?76n2d99h4?;:m25`<72-8>j7?>f:l11`<632e:=n4?:%06b?76n2d99h4=;:m25g<72-8>j7?>f:l11`<432e:=l4?:%06b?76n2d99h4;;:m25<<72-8>j7?>f:l11`<232e:=54?:%06b?76n2d99h49;:m252<72-8>j7?>f:l11`<032e:=;4?:%06b?76n2d99h47;:m250<72-8>j7?>f:l11`<>32e:=94?:%06b?76n2d99h4n;:m256<72-8>j7?>f:l11`j7?>f:l11`j7?>f:l11`0:9l55e=83.99k4>1g9m60c=9810c<>m:18'60`=98l0b?;j:008?j77i3:1(?;i:03e?k42m3;876a>0883>!42n3;:j6`=5d820>=h9921<7*=5g825c=i:5<#:40<3f;9:7>5$37e>47a3g8>i7?8;:m260<72-8>j7?>f:l11`<6021d=?:50;&11c<69o1e>8k51898k444290/>8h510d8j73b28k07b?=2;29 73a28;m7c<:e;3a?>i6:80;6)<:f;32b>h5=l0:o65`10f94?"5=o0:=k5a24g95a=j7?;1:l11`<632e:?h4?:%06b?7392d99h4=;:m27a<72-8>j7?;1:l11`<432e:?n4?:%06b?7392d99h4;;:m27g<72-8>j7?;1:l11`<232e:?l4?:%06b?7392d99h49;:m27<<72-8>j7?;1:l11`<032e:?54?:%06b?7392d99h47;:m272<72-8>j7?;1:l11`<>32e:?;4?:%06b?7392d99h4n;:m270<72-8>j7?;1:l11`4?:%06b?7392d99h4l;:m277<72-8>j7?;1:l11`j7?;1:l11`k4?:%06b?7392d99h4>0:9l57c=83.99k4>409m60c=9810c<2c83>!42n3;?=6`=5d820>=h9;k1<7*=5g8204=i:5<#:40<3f;?47>5$37e>4263g8>i7?8;:m202<72-8>j7?;1:l11`<6021d=9850;&11c<6<81e>8k51898k422290/>8h51538j73b28k07b?;4;29 73a28>:7c<:e;3a?>i6<:0;6)<:f;375>h5=l0:o65`12d94?"5=o0:8<5a24g95a=;o06a?7a32e:8k4?:%06b?73m2d99h4?;:m20a<72-8>j7?;e:l11`<632e:8n4?:%06b?73m2d99h4=;:m20g<72-8>j7?;e:l11`<432e:994?:%06b?72;2d99h4?;:m217<72-8>j7?:3:l11`<632e:9<4?:%06b?72;2d99h4=;:m215<72-8>j7?:3:l11`<432win:>50;a1>5<7s-8oj77>=:h09n7=<:26970<4>38n6?h53680ag87?!7e83>0(:59'5g4=<2.:n>4;;%3a0?2<,8h>695+1c490>"6j>0?7)?m8;68 4d>2=1/=oo54:&2fg<33-;io7:4$0`g>1=#9ko186*>bg87?!7d83>0(:59'5f4=<2.:o>4;;%3`0?2<,8i>695+1b490>"6k>0?7)?l8;68 4e>2=1/=no54:&2gg<33-;ho7:4$0ag>1=#9jo186*>cg87?!7c83>0(:59'5a4=<2.:h>4;;%3g0?2<,8n<6?;7;%3g1?5<,8n=6>5+19a90>"60m0?7)?7e;68 4>a2=1/=4>54:&2=4<33-;o57?8c:&2`d<6?j1/>oh524:8 7e72;?37ci7524:8 4?52=1/=4=54:&1b7<5n81/>k=52g38j7`3201e>k;59:&2=<<33-;2m7:4$0;a>1=#90i186*>9e87?!7>m3>0(<7i:59'5d6=<2.:m<4;;%3b6?2<,8k8695+1`690>"6i<0?7)?n6;68 4g02=1/=l654:&2e<<33-;jm7:4$0ca>1=#9hi186*>ae87?k7503:0b<:n:19'6a>=9>i0(?jm:29'5<3=<2.:5;4;;%3:3?2<,833695+2ea97>o1j3:17d8l:188m27=831b;?4?::k23=<722c9h94?::k1`0<722c:;44?::k1`a<722c9hh4?::m5`?6=3fo313:1(?;i:5c8j73b2810e9650;&11c<3i2d99h4=;:k73?6=,;?m69o4n37f>6=h5=l0?76g:4;29 73a2=k0b?;j:498m05=83.99k4;a:l11`<132c>>7>5$37e>1go283:1(?;i:5c8j73b2010e9h50;&11c<3i2d99h4n;:k7a?6=,;?m69o4n37f>g=h5=l0h76g;c;29 73a2=k0b?;j:e98m13=83.99k4;a:l11`n7>5$37e>0gm6`=5d82?>o203:1(?;i:4c8j73b2;10e8950;&11c<2i2d99h4<;:k62?6=,;?m68o4n37f>1=1<7*=5g86e>h5=l0>76g93;29 73a25$37e>0gm6`=5d8:?>o2n3:1(?;i:4c8j73b2h10e8k50;&11c<2i2d99h4m;:k6`?6=,;?m68o4n37f>f=h5=l0o76g:5;29 73a25$37e>g46`=5d81?>ofm3:1(?;i:c08j73b2:10elj50;&11c0=h5=l0=76gna;29 73a2k80b?;j:698md?=83.99k4m2:l11`5$37e>g46`=5d8b?>of>3:1(?;i:c08j73b2k10el:50;&11ca=h5=l0n76gn1;29 73a2k80b?;j:g98md6=83.99k4m2:l11`<6821b5k4?:%06b?d53g8>i7?>;:k:a?6=,;?m6o<4n37f>44<3`3o6=4+24d9f7=i:54i8a94?"5=o0i>6`=5d820>=n1k0;6)<:f;`1?k42m3;>76gma;29 73a2k80b?;j:048?ld>290/>8h5b39m60c=9>10eo650;&11c8:9jf2<72-8>j7l=;o06a?7>32ci:7>5$37e>g45<#:1<7*=5g8a6>h5=l0:o65fb183>!42n3h97c<:e;3g?>of=3:1(?;i:c08j73b28o07d7n:18'60`=j;1e>8k51g98ma2=83.99k4k3:l11`<732co>7>5$37e>a5odn3:1(?;i:e18j73b2:10enk50;&11c0=h5=l0=76glb;29 73a2m90b?;j:698mfg=83.99k4k3:l11`5$37e>a5od?3:1(?;i:e18j73b2k10en;50;&11ca=h5=l0n76gl2;29 73a2m90b?;j:g98mf7=83.99k4k3:l11`<6821bo=4?:%06b?b43g8>i7?>;:kab?6=,;?m6i=4n37f>44<3`hn6=4+24d9`6=i:54icf94?"5=o0o?6`=5d820>=njj0;6)<:f;f0?k42m3;>76gkb;29 73a2m90b?;j:048?lbf290/>8h5d29m60c=9>10ei750;&11c8:9j`=<72-8>j7j<;o06a?7>32co;7>5$37e>a55<#:5a24g95g=h5=l0:o65fd083>!42n3n87c<:e;3g?>od>3:1(?;i:e18j73b28o07dlm:18'60`=l:1e>8k51g98m466290/>8h51128j73b2910ekh50;&11c<6891e>8k51:9jb`<72-8>j7??0:l11`<532cmh7>5$37e>4673g8>i7=4;h332?6=,;?m6<>:;o06a?6<3`;;87>5$37e>4623g8>i7?4;h337?6=,;?m6<>:;o06a?4<3`;;>7>5$37e>4623g8>i7=4;h0ff?6=,;?m6?kn;o06a?6<3`8n57>5$37e>7cf3g8>i7?4;h0f5$37e>7cf3g8>i7=4;h0e4?6=,;?m6?ki;o06a?6<3`8ni7>5$37e>7ca3g8>i7?4;h0f`?6=,;?m6?ki;o06a?4<3`8no7>5$37e>7ca3g8>i7=4;n314?6=,;?m65$37e>47a3g8>i7?4;n32g?6=,;?m65$37e>47a3g8>i7=4;n32e?6=,;?m65$37e>47a3g8>i7;4;n325$37e>47a3g8>i794;n322?6=,;?m6<3f;:97>5$37e>47a3g8>i774;n320?6=,;?m65$37e>47a3g8>i7l4;n325?6=,;?m65$37e>47a3g8>i7j4;n33b?6=,;?m65$37e>47a3g8>i7h4;n33`?6=,;?m61:9l55d=83.99k4>1g9m60c=9;10c<>n:18'60`=98l0b?;j:018?j7713:1(?;i:03e?k42m3;?76a>0983>!42n3;:j6`=5d821>=h9;=1<7*=5g825c=i:5<#:41<3f;997>5$37e>47a3g8>i7?7;:m261<72-8>j7?>f:l11`<6121d=?=50;&11c<69o1e>8k51`98k445290/>8h510d8j73b28h07b?=1;29 73a28;m7c<:e;3`?>i69m0;6)<:f;32b>h5=l0:h65`10094?"5=o0:=k5a24g95`=;o06a?6<3f;?<7>5$37e>4263g8>i7?4;n30a?6=,;?m6<:>;o06a?4<3f;8h7>5$37e>4263g8>i7=4;n30g?6=,;?m6<:>;o06a?2<3f;8n7>5$37e>4263g8>i7;4;n30e?6=,;?m6<:>;o06a?0<3f;857>5$37e>4263g8>i794;n30;o06a?><3f;8;7>5$37e>4263g8>i774;n302?6=,;?m6<:>;o06a?g<3f;897>5$37e>4263g8>i7l4;n307?6=,;?m6<:>;o06a?e<3f;8>7>5$37e>4263g8>i7j4;n305?6=,;?m6<:>;o06a?c<3f;8<7>5$37e>4263g8>i7h4;n31b?6=,;?m6<:>;o06a?7732e:>h4?:%06b?7392d99h4>1:9l57b=83.99k4>409m60c=9;10c<2`83>!42n3;?=6`=5d821>=h9=31<7*=5g8204=i:5<#:41<3f;?;7>5$37e>4263g8>i7?7;:m203<72-8>j7?;1:l11`<6121d=9;50;&11c<6<81e>8k51`98k423290/>8h51538j73b28h07b?;3;29 73a28>:7c<:e;3`?>i6;o0;6)<:f;375>h5=l0:h65`12694?"5=o0:8<5a24g95`=5$37e>42b3g8>i7?4;n37g?6=,;?m6<:j;o06a?4<3f;?n7>5$37e>42b3g8>i7=4;n360?6=,;?m6<;<;o06a?6<3f;>>7>5$37e>4343g8>i7?4;n365?6=,;?m6<;<;o06a?4<3f;><7>5$37e>4343g8>i7=4;|`a34<72j81<7>t$3fe>7db3A9;m6F=e29Y2<54=a;0a>65=;=0897=9:3g96c<4?3936p*>ad87?!7fn3>0("6j?0?7)?m7;68 4d?2=1/=o754:&2fd<33-;in7:4$0``>1=#9kn186*>bd87?!7en3>0("6k?0?7)?l7;68 4e?2=1/=n754:&2gd<33-;hn7:4$0a`>1=#9jn186*>cd87?!7dn3>0(<,8n>6>5+1e497>"60j0?7)?7d;68 4>b2=1/=5h54:&2=5<33-;2=7:4$0f:>41d3-;om7?8c:&1fc<5=11/>n>524:8j7b5201e>i=59:&1`<<5=11/=4<54:&2=6<33-8m>7k:59:l1b0<>3-;257:4$0;b>1=#90h186*>9b87?!7>l3>0(<7j:59'5<`=<2.:m=4;;%3b5?2<,8k9695+1`190>"6i=0?7)?n5;68 4g12=1/=l954:&2e=<33-;j57:4$0cb>1=#9hh186*>ab87?!7fl3>0b<<7:19m51g=82.9h54>7b9'6ad=;2.:584;;%3:2?2<,83<695+18:90>"5lj087d8m:188m3e=831b;<4?::k46?6=3`;<47>5;h0g0?6=3`8o97>5;h34=?6=3`8oh7>5;h0ga?6=3f8k51:9j0=<72-8>j7:n;o06a?4<3`><6=4+24d90d=i:!42n3>j7c<:e;68?l33290/>8h54`9m60c==21b9>4?:%06b?2f3g8>i784;h71>5<#:=n=80;6)<:f;6b?k42m3207d;?:18'60`=8k59:9j0c<72-8>j7:n;o06a?g<3`>n6=4+24d90d=i:!42n3>j7c<:e;a8?l2d290/>8h54`9m60c=l21b884?:%06b?2f3g8>i7k4;h7a>5<#:=n=00;6)<:f;7b?k42m3;07d;7:18'60`==h1e>8k52:9j12<72-8>j7;n;o06a?5<3`?=6=4+24d91d=i:!42n3?j7c<:e;78?l04290/>8h55`9m60c=>21b:?4?:%06b?3f3g8>i794;h42>5<#:=n>90;6)<:f;7b?k42m3307d;i:18'60`==h1e>8k5a:9j1`<72-8>j7;n;o06a?d<3`?o6=4+24d91d=i:!42n3?j7c<:e;f8?l32290/>8h55`9m60c=m21bn>4?:%06b?d53g8>i7>4;h`2>5<#:=nio0;6)<:f;`1?k42m3807doj:18'60`=j;1e>8k53:9jea<72-8>j7l=;o06a?2<3`kh6=4+24d9f7=i:!42n3h97c<:e;48?lgf290/>8h5b39m60c=?21bm44?:%06b?d53g8>i764;hc;>5<#:=ni>0;6)<:f;`1?k42m3k07do9:18'60`=j;1e>8k5b:9je1<72-8>j7l=;o06a?e<3`k86=4+24d9f7=i:!42n3h97c<:e;g8?lg6290/>8h5b39m60c=n21bm=4?:%06b?d53g8>i7??;:k:b?6=,;?m6o<4n37f>47<3`3n6=4+24d9f7=i:6`=5d827>=n1j0;6)<:f;`1?k42m3;?76g6b;29 73a2k80b?;j:078?ldf290/>8h5b39m60c=9?10eo750;&11c7:9jf=<72-8>j7l=;o06a?7?32ci;7>5$37e>g45<#:h5=l0:n65fb583>!42n3h97c<:e;3`?>oe83:1(?;i:c08j73b28n07do::18'60`=j;1e>8k51d98mi7>4;hf1>5<#:5a24g95>=nl90;6)<:f;f0?k42m3807dmi:18'60`=l:1e>8k53:9jg`<72-8>j7j<;o06a?2<3`io6=4+24d9`6=i:!42n3n87c<:e;48?lee290/>8h5d29m60c=?21bol4?:%06b?b43g8>i764;ha:>5<#:5a24g9=>=nk10;6)<:f;f0?k42m3k07dm8:18'60`=l:1e>8k5b:9jg0<72-8>j7j<;o06a?e<3`i?6=4+24d9`6=i:!42n3n87c<:e;g8?le5290/>8h5d29m60c=n21bo<4?:%06b?b43g8>i7??;:k`4?6=,;?m6i=4n37f>47<3`hm6=4+24d9`6=i:=njm0;6)<:f;f0?k42m3;?76gmc;29 73a2m90b?;j:078?lbe290/>8h5d29m60c=9?10eio50;&11c7:9j`<<72-8>j7j<;o06a?7?32co47>5$37e>a55<#:5a24g95d=h5=l0:n65fd483>!42n3n87c<:e;3`?>oc93:1(?;i:e18j73b28n07dm9:18'60`=l:1e>8k51d98mgd=83.99k4k3:l11`<6n21b==?50;&11c<6891e>8k50:9jbc<72-8>j7??0:l11`<632cmi7>5$37e>4673g8>i7<4;hdg>5<#:4n37f>6=5<#:4=5<#:6=5<#:ho4n37f>4=5<#:ho4n37f>6=5<#:hh4n37f>4=5<#:hh4n37f>6=5<#:4=5<#:6=5<#:0=5<#:2=5<#:<=5<#:g=5<#:a=5<#:c=4;n33g?6=,;?m62:9l55g=83.99k4>1g9m60c=9:10c<>6:18'60`=98l0b?;j:068?j7703:1(?;i:03e?k42m3;>76a>2683>!42n3;:j6`=5d822>=h9;<1<7*=5g825c=i:5<#:4><3f;987>5$37e>47a3g8>i7?6;:m266<72-8>j7?>f:l11`<6i21d=?<50;&11c<69o1e>8k51c98k446290/>8h510d8j73b28i07b?>d;29 73a28;m7c<:e;3g?>i69;0;6)<:f;32b>h5=l0:i65`11594?"5=o0:=k5a24g95c=96=4+24d95175<#:4=5<#:6=5<#:0=5<#:2=5<#:<=5<#:g=5<#:a=5<#:c=4;n31a?6=,;?m6<:>;o06a?7632e:>i4?:%06b?7392d99h4>2:9l57e=83.99k4>409m60c=9:10c<76a>4883>!42n3;?=6`=5d822>=h9=21<7*=5g8204=i:5<#:4><3f;?:7>5$37e>4263g8>i7?6;:m200<72-8>j7?;1:l11`<6i21d=9:50;&11c<6<81e>8k51c98k424290/>8h51538j73b28i07b?:7c<:e;3g?>i6;=0;6)<:f;375>h5=l0:i65`13;94?"5=o0:8<5a24g95c=m6=4+24d951c5<#:4=h6=4+24d951c5<#:6=5<#:4=5<#:6=7>5c383>5}#:ml1>ok4H22b?M4b;2P=57mt26814<4;16>60=:l09j7=8:2:9y!7fm3>0("6j<0?7)?m6;68 4d02=1/=o654:&2f<<33-;im7:4$0`a>1=#9ki186*>be87?!7em3>0("6k<0?7)?l6;68 4e02=1/=n654:&2g<<33-;hm7:4$0aa>1=#9ji186*>ce87?!7dm3>0("6l>09955+1e797>"6l?087)?7c;68 4>c2=1/=5k54:&21=#9m31=:m4$0fb>41d3-8ij7<:8:&1g5<5=11e>i<59:l1`6<>3-8o57<:8:&2=7<33-;2?7:4$3d1>7`63-8m?73g8m9774$0;:>1=#90k186*>9c87?!7>k3>0(<7k:59'5"6i:0?7)?n4;68 4g22=1/=l854:&2e2<33-;j47:4$0c:>1=#9hk186*>ac87?!7fk3>0(=82d:8l4?;%0g"6110?7)5<5<5<l0;66g;b;29 73a2=k0b?;j:198m1?=83.99k4;a:l11`<632c?47>5$37e>1go3>3:1(?;i:5c8j73b2=10e8:50;&11c<3i2d99h4:;:k67?6=,;?m69o4n37f>3=h5=l0<76g:1;29 73a2=k0b?;j:998m06=83.99k4;a:l11`<>32c?j7>5$37e>1go3l3:1(?;i:5c8j73b2j10e9m50;&11c<3i2d99h4k;:k71?6=,;?m69o4n37f>`=h5=l0;76g:9;29 73a2=83.99k4:a:l11`<532c>;7>5$37e>0g54i4494?"5=o0>m6`=5d87?>o1<3:1(?;i:4c8j73b2<10e;=50;&11c<2i2d99h49;:k56?6=,;?m68o4n37f>2=h5=l0376g90;29 73a2i7>5$37e>0gm6`=5d8`?>o2k3:1(?;i:4c8j73b2m10e8;50;&11c<2i2d99h4j;:ka7?6=,;?m6o<4n37f>5=h5=l0:76gnf;29 73a2k80b?;j:398mdc=83.99k4m2:l11`<432cjh7>5$37e>g46`=5d86?>ofj3:1(?;i:c08j73b2?10elo50;&11c==h5=l0276gn7;29 73a2k80b?;j:`98md0=83.99k4m2:l11`5$37e>g46`=5d8g?>of:3:1(?;i:c08j73b2l10el?50;&11c46<3`3m6=4+24d9f7=i:6`=5d826>=n1m0;6)<:f;`1?k42m3;876g6c;29 73a2k80b?;j:068?l?e290/>8h5b39m60c=9<10eoo50;&11c6:9jf<<72-8>j7l=;o06a?7032ci47>5$37e>g45<#:h5=l0:m65fb483>!42n3h97c<:e;3a?>oe<3:1(?;i:c08j73b28i07dl?:18'60`=j;1e>8k51e98md3=83.99k4m2:l11`<6m21b5l4?:%06b?d53g8>i7?i;:kg0?6=,;?m6i=4n37f>5=h5=l0:76gk0;29 73a2m90b?;j:398mf`=83.99k4k3:l11`<432chi7>5$37e>a5odk3:1(?;i:e18j73b2?10enl50;&11c==h5=l0276gl8;29 73a2m90b?;j:`98mf1=83.99k4k3:l11`5$37e>a5od;3:1(?;i:e18j73b2l10en<50;&11c46<3`i;6=4+24d9`6=i:=njl0;6)<:f;f0?k42m3;876gmd;29 73a2m90b?;j:068?ldd290/>8h5d29m60c=9<10eil50;&11c6:9j`d<72-8>j7j<;o06a?7032co57>5$37e>a55<#:5a24g95<=h5=l0:m65fd783>!42n3n87c<:e;3a?>oc=3:1(?;i:e18j73b28i07dj>:18'60`=l:1e>8k51e98mf0=83.99k4k3:l11`<6m21bno4?:%06b?b43g8>i7?i;:k244<72-8>j7??0:l11`<732cmj7>5$37e>4673g8>i7?4;hdf>5<#:4n37f>7==n99>1<7*=5g8240=i:=n9981<7*=5g8240=i:=n:l31<7*=5g81ad=i:=n:l=1<7*=5g81ad=i:=n:lo1<7*=5g81ac=i:=n:li1<7*=5g81ac=i:=h98o1<7*=5g825c=i:=h98h1<7*=5g825c=i:=h9831<7*=5g825c=i:=h98=1<7*=5g825c=i:=h98?1<7*=5g825c=i:=h9891<7*=5g825c=i:=h98:1<7*=5g825c=i:=h99o1<7*=5g825c=i:3:9l55?=83.99k4>1g9m60c=9=10c<>7:18'60`=98l0b?;j:078?j75?3:1(?;i:03e?k42m3;=76a>2783>!42n3;:j6`=5d823>=h9;?1<7*=5g825c=i:5<#:4?<3f;9?7>5$37e>47a3g8>i7?n;:m267<72-8>j7?>f:l11`<6j21d=??50;&11c<69o1e>8k51b98k47c290/>8h510d8j73b28n07b?>2;29 73a28;m7c<:e;3f?>i68>0;6)<:f;32b>h5=l0:j65`15094?"5=o0:8<5a24g94>=h9=:1<7*=5g8204=i:=h9:n1<7*=5g8204=i:=h9:h1<7*=5g8204=i:=h9:31<7*=5g8204=i:=h9:=1<7*=5g8204=i:=h9:?1<7*=5g8204=i:=h9:81<7*=5g8204=i:=h9::1<7*=5g8204=i:;o06a?7532e:>n4?:%06b?7392d99h4>3:9l57d=83.99k4>409m60c=9=10c<4983>!42n3;?=6`=5d823>=h9==1<7*=5g8204=i:5<#:4?<3f;?97>5$37e>4263g8>i7?n;:m201<72-8>j7?;1:l11`<6j21d=9=50;&11c<6<81e>8k51b98k45a290/>8h51538j73b28n07b?<4;29 73a28>:7c<:e;3f?>i6:00;6)<:f;375>h5=l0:j65`15d94?"5=o0:8h5a24g94>=h9=n1<7*=5g820`=i:=h9=h1<7*=5g820`=i:5a24g94>=h9<81<7*=5g8216=i:5a24g96>=h9<:1<7*=5g8216=i:5f}5?3836?o52c807?532:?1?;4=e;0e>61=;10v("6j=0?7)?m5;68 4d12=1/=o954:&2f=<33-;i57:4$0`b>1=#9kh186*>bb87?!7el3>0("6k=0?7)?l5;68 4e12=1/=n954:&2g=<33-;h57:4$0ab>1=#9jh186*>cb87?!7dl3>0("6l=0?7)?k7;06<>"6l<087)?k6;18 4>d2=1/=5j54:&2<`<33-;3j7:4$0;3>1=#90;186*>d8823f=#9mk1=:m4$3`e>73?3-8h<7<:8:l1`7<>3g8o?774$3f:>73?3-;2>7:4$0;0>1=#:o81>k?4$3d0>7`63g8m8774n3d6><=#903186*>9`87?!7>j3>0(<7l:59'5"6i;0?7)?n3;68 4g32=1/=l;54:&2e3<33-;j;7:4$0c;>1=#9h3186*>a`87?!7fj3>0(54?;o37e?6<,;n36<9l;%0gf?5<,83>695+18490>"61>0?7)?68;68 7bd2:1b:o4?::k5g?6=3`=:6=44i6094?=n9>21<75f2e694?=n:m?1<75f16;94?=n:mn1<75f2eg94?=h>m0;66a9e;29?l2e290/>8h54`9m60c=821b844?:%06b?2f3g8>i7?4;h6;>5<#:=n<>0;6)<:f;6b?k42m3907d:9:18'60`=8k54:9j11<72-8>j7:n;o06a?3<3`?86=4+24d90d=i:!42n3>j7c<:e;58?l36290/>8h54`9m60c=021b9=4?:%06b?2f3g8>i774;h6e>5<#:=n8k5c:9j0f<72-8>j7:n;o06a?b<3`>>6=4+24d90d=i:!42n3?j7c<:e;28?l3>290/>8h55`9m60c=921b954?:%06b?3f3g8>i7<4;h74>5<#:=n=?0;6)<:f;7b?k42m3>07d8;:18'60`==h1e>8k55:9j26<72-8>j7;n;o06a?0<3`<96=4+24d91d=i:!42n3?j7c<:e;:8?l07290/>8h55`9m60c=121b9k4?:%06b?3f3g8>i7o4;h7f>5<#:=n=m0;6)<:f;7b?k42m3i07d;l:18'60`==h1e>8k5d:9j10<72-8>j7;n;o06a?c<3`h86=4+24d9f7=i:!42n3h97c<:e;38?lga290/>8h5b39m60c=:21bmh4?:%06b?d53g8>i7=4;hcg>5<#:=nij0;6)<:f;`1?k42m3?07dom:18'60`=j;1e>8k56:9jed<72-8>j7l=;o06a?1<3`k26=4+24d9f7=i:!42n3h97c<:e;;8?lg0290/>8h5b39m60c=i21bm;4?:%06b?d53g8>i7l4;hc7>5<#:=ni:0;6)<:f;`1?k42m3n07do=:18'60`=j;1e>8k5e:9je4<72-8>j7l=;o06a?`<3`k;6=4+24d9f7=i:6`=5d825>=n1l0;6)<:f;`1?k42m3;976g6d;29 73a2k80b?;j:018?l?d290/>8h5b39m60c=9=10e4l50;&11c5:9jfd<72-8>j7l=;o06a?7132ci57>5$37e>g45<#:h5=l0:565fb783>!42n3h97c<:e;3b?>oe=3:1(?;i:c08j73b28h07dl;:18'60`=j;1e>8k51b98mg6=83.99k4m2:l11`<6l21bm84?:%06b?d53g8>i7?j;:k:e?6=,;?m6o<4n37f>4`<3`n?6=4+24d9`6=i:!42n3n87c<:e;38?lb7290/>8h5d29m60c=:21bok4?:%06b?b43g8>i7=4;haf>5<#:5a24g90>=nkm0;6)<:f;f0?k42m3?07dml:18'60`=l:1e>8k56:9jgg<72-8>j7j<;o06a?1<3`ij6=4+24d9`6=i:!42n3n87c<:e;;8?le?290/>8h5d29m60c=i21bo:4?:%06b?b43g8>i7l4;ha6>5<#:5a24g9g>=nk=0;6)<:f;f0?k42m3n07dm<:18'60`=l:1e>8k5e:9jg7<72-8>j7j<;o06a?`<3`i:6=4+24d9`6=i:=njo0;6)<:f;f0?k42m3;976gme;29 73a2m90b?;j:018?ldc290/>8h5d29m60c=9=10eom50;&11c5:9j`g<72-8>j7j<;o06a?7132com7>5$37e>a55<#:5a24g95==h5=l0:565fd683>!42n3n87c<:e;3b?>oc>3:1(?;i:e18j73b28h07dj::18'60`=l:1e>8k51b98ma7=83.99k4k3:l11`<6l21bo;4?:%06b?b43g8>i7?j;:kaf?6=,;?m6i=4n37f>4`<3`;;=7>5$37e>4673g8>i7>4;hde>5<#:4n37f>4=65ffe83>!42n3;;<6`=5d80?>o68?0;6)<:f;331>h5=l0;76g>0583>!42n3;;96`=5d82?>o68:0;6)<:f;331>h5=l0976g>0383>!42n3;;96`=5d80?>o5mk0;6)<:f;0fe>h5=l0;76g=e883>!42n38nm6`=5d82?>o5m10;6)<:f;0fe>h5=l0976g=e683>!42n38nm6`=5d80?>o5n90;6)<:f;0fb>h5=l0;76g=ed83>!42n38nj6`=5d82?>o5mm0;6)<:f;0fb>h5=l0976g=eb83>!42n38nj6`=5d80?>i6:90;6)<:f;32b>h5=l0;76a>1d83>!42n3;:j6`=5d82?>i69j0;6)<:f;32b>h5=l0976a>1c83>!42n3;:j6`=5d80?>i69h0;6)<:f;32b>h5=l0?76a>1883>!42n3;:j6`=5d86?>i6910;6)<:f;32b>h5=l0=76a>1683>!42n3;:j6`=5d84?>i69?0;6)<:f;32b>h5=l0376a>1483>!42n3;:j6`=5d8:?>i69=0;6)<:f;32b>h5=l0j76a>1283>!42n3;:j6`=5d8a?>i6980;6)<:f;32b>h5=l0h76a>1183>!42n3;:j6`=5d8g?>i68o0;6)<:f;32b>h5=l0n76a>0d83>!42n3;:j6`=5d8e?>i68m0;6)<:f;32b>h5=l0:<65`11a94?"5=o0:=k5a24g954=4:9l55>=83.99k4>1g9m60c=9<10c<<8:18'60`=98l0b?;j:048?j75>3:1(?;i:03e?k42m3;<76a>2483>!42n3;:j6`=5d82<>=h9;>1<7*=5g825c=i:5<#:4g<3f;9>7>5$37e>47a3g8>i7?m;:m264<72-8>j7?>f:l11`<6k21d=8k51e98k475290/>8h510d8j73b28o07b??7;29 73a28;m7c<:e;3e?>i6<;0;6)<:f;375>h5=l0;76a>4183>!42n3;?=6`=5d82?>i6;l0;6)<:f;375>h5=l0976a>3e83>!42n3;?=6`=5d80?>i6;j0;6)<:f;375>h5=l0?76a>3c83>!42n3;?=6`=5d86?>i6;h0;6)<:f;375>h5=l0=76a>3883>!42n3;?=6`=5d84?>i6;10;6)<:f;375>h5=l0376a>3683>!42n3;?=6`=5d8:?>i6;?0;6)<:f;375>h5=l0j76a>3483>!42n3;?=6`=5d8a?>i6;:0;6)<:f;375>h5=l0h76a>3383>!42n3;?=6`=5d8g?>i6;80;6)<:f;375>h5=l0n76a>3183>!42n3;?=6`=5d8e?>i6:o0;6)<:f;375>h5=l0:<65`13g94?"5=o0:8<5a24g954=;o06a?7432e:>o4?:%06b?7392d99h4>4:9l57g=83.99k4>409m60c=9<10c<:6:18'60`=9=;0b?;j:048?j7303:1(?;i:062?k42m3;<76a>4683>!42n3;?=6`=5d82<>=h9=<1<7*=5g8204=i:5<#:4g<3f;?87>5$37e>4263g8>i7?m;:m206<72-8>j7?;1:l11`<6k21d=>h50;&11c<6<81e>8k51e98k453290/>8h51538j73b28o07b?=9;29 73a28>:7c<:e;3e?>i6h5=l0;76a>4e83>!42n3;?i6`=5d82?>i6h5=l0976a>4c83>!42n3;?i6`=5d80?>i6==0;6)<:f;367>h5=l0;76a>5383>!42n3;>?6`=5d82?>i6=80;6)<:f;367>h5=l0976a>5183>!42n3;>?6`=5d80?>{ej>>1<7m=:183!4cn38ii6F<0`9K6`5:534802?4b2;l1?:4<8;'5dc=<2.:mk4;;%3a4?2<,8h:695+1c090>"6j:0?7)?m4;68 4d22=1/=o854:&2f2<33-;i47:4$0`:>1=#9kk186*>bc87?!7ek3>0("6k:0?7)?l4;68 4e22=1/=n854:&2g2<33-;h47:4$0a:>1=#9jk186*>cc87?!7dk3>0("6l:0?7)?k4;68 4b02;?37)?k5;18 4b12:1/=5m54:&21=#90:186*>9087?!7c13;d`823f=#:kl1>864$3a3>73?3g8o>774n3f0><=#:m31>864$0;1>1=#909186*=f381b4=#:o91>k?4n3d7><=i:o?156*>9887?!7>i3>0(<7m:59'5"6i80?7)?n2;68 4g42=1/=l:54:&2e0<33-;j:7:4$0c4>1=#9h2186*>a887?!7fi3>0(j6=5+2e:952e<,;ni6>5+18790>"61?0?7)?67;68 4??2=1/>im53:k5f?6=3`7983>>o5l=0;66g=d483>>o6?00;66g=de83>>o5ll0;66a9d;29?j0b2900e9l50;&11c<3i2d99h4?;:k7=?6=,;?m69o4n37f>4=h5=l0976g;7;29 73a2=k0b?;j:298m10=83.99k4;a:l11`<332c>87>5$37e>1go2:3:1(?;i:5c8j73b2>10e8?50;&11c<3i2d99h47;:k64?6=,;?m69o4n37f><=h5=l0j76g;e;29 73a2=k0b?;j:c98m1b=83.99k4;a:l11`5$37e>1go2j3:1(?;i:4c8j73b2910e8750;&11c<2i2d99h4>;:k67=h5=l0876g:6;29 73a25$37e>0gm6`=5d84?>o193:1(?;i:4c8j73b2110e;>50;&11c<2i2d99h46;:k6b?6=,;?m68o4n37f>d=h5=l0i76g:d;29 73a297>5$37e>0g6`=5d83?>oe93:1(?;i:c08j73b2810elh50;&11c6=h5=l0?76gnc;29 73a2k80b?;j:498mdd=83.99k4m2:l11`<132cjm7>5$37e>g46`=5d8;?>of03:1(?;i:c08j73b2010el950;&11cg=1<7*=5g8a6>h5=l0h76gn3;29 73a2k80b?;j:e98md4=83.99k4m2:l11`5$37e>g46`=5d824>=n1o0;6)<:f;`1?k42m3;:76g6e;29 73a2k80b?;j:008?l?c290/>8h5b39m60c=9:10e4m50;&11c4:9j=g<72-8>j7l=;o06a?7232cim7>5$37e>g45<#:h5=l0:465fb683>!42n3h97c<:e;3:?>oe>3:1(?;i:c08j73b28k07dl::18'60`=j;1e>8k51c98mg2=83.99k4m2:l11`<6k21bn=4?:%06b?d53g8>i7?k;:kb1?6=,;?m6o<4n37f>4c<3`3j6=4+24d9f7=i:oc:3:1(?;i:e18j73b2810ei>50;&11c6=h5=l0?76gld;29 73a2m90b?;j:498mfe=83.99k4k3:l11`<132chn7>5$37e>a5od13:1(?;i:e18j73b2010en650;&11cg=h5=l0h76gl4;29 73a2m90b?;j:e98mf5=83.99k4k3:l11`7>5$37e>a5=nk90;6)<:f;f0?k42m3;:76gmf;29 73a2m90b?;j:008?ldb290/>8h5d29m60c=9:10eoj50;&11c4:9jff<72-8>j7j<;o06a?7232con7>5$37e>a55<#:5a24g952=h5=l0:465fd983>!42n3n87c<:e;3:?>oc?3:1(?;i:e18j73b28k07dj9:18'60`=l:1e>8k51c98ma3=83.99k4k3:l11`<6k21bh<4?:%06b?b43g8>i7?k;:k`2?6=,;?m6i=4n37f>4c<3`hi6=4+24d9`6=i:5<#:4n37f>5=!42n3;;<6`=5d81?>oal3:1(?;i:023?k42m3907d??6;29 73a28:>7c<:e;28?l77<3:1(?;i:026?k42m3;07d??3;29 73a28:>7c<:e;08?l77:3:1(?;i:026?k42m3907dc;29 73a28;m7c<:e;08?j76j3:1(?;i:03e?k42m3907b?>a;29 73a28;m7c<:e;68?j7613:1(?;i:03e?k42m3?07b?>8;29 73a28;m7c<:e;48?j76?3:1(?;i:03e?k42m3=07b?>6;29 73a28;m7c<:e;:8?j76=3:1(?;i:03e?k42m3307b?>4;29 73a28;m7c<:e;c8?j76;3:1(?;i:03e?k42m3h07b?>1;29 73a28;m7c<:e;a8?j7683:1(?;i:03e?k42m3n07b??f;29 73a28;m7c<:e;g8?j77m3:1(?;i:03e?k42m3l07b??d;29 73a28;m7c<:e;33?>i68j0;6)<:f;32b>h5=l0:=65`11`94?"5=o0:=k5a24g957=5:9l571=83.99k4>1g9m60c=9?10c<<9:18'60`=98l0b?;j:058?j75=3:1(?;i:03e?k42m3;376a>2583>!42n3;:j6`=5d82=>=h9;91<7*=5g825c=i:5<#:4d<3f;9=7>5$37e>47a3g8>i7?l;:m25a<72-8>j7?>f:l11`<6l21d=<<50;&11c<69o1e>8k51d98k460290/>8h510d8j73b28l07b?;2;29 73a28>:7c<:e;28?j7383:1(?;i:062?k42m3;07b?:7c<:e;08?j74l3:1(?;i:062?k42m3907b?:7c<:e;68?j74j3:1(?;i:062?k42m3?07b?:7c<:e;48?j7413:1(?;i:062?k42m3=07b?<8;29 73a28>:7c<:e;:8?j74?3:1(?;i:062?k42m3307b?<6;29 73a28>:7c<:e;c8?j74=3:1(?;i:062?k42m3h07b?<3;29 73a28>:7c<:e;a8?j74:3:1(?;i:062?k42m3n07b?<1;29 73a28>:7c<:e;g8?j7483:1(?;i:062?k42m3l07b?=f;29 73a28>:7c<:e;33?>i6:l0;6)<:f;375>h5=l0:=65`13f94?"5=o0:8<5a24g957=;o06a?7332e:>l4?:%06b?7392d99h4>5:9l51?=83.99k4>409m60c=9?10c<:7:18'60`=9=;0b?;j:058?j73?3:1(?;i:062?k42m3;376a>4783>!42n3;?=6`=5d82=>=h9=?1<7*=5g8204=i:5<#:4d<3f;??7>5$37e>4263g8>i7?l;:m27c<72-8>j7?;1:l11`<6l21d=>:50;&11c<6<81e>8k51d98k44>290/>8h51538j73b28l07b?;f;29 73a28>n7c<:e;28?j73l3:1(?;i:06f?k42m3;07b?;c;29 73a28>n7c<:e;08?j73j3:1(?;i:06f?k42m3907b?:4;29 73a28?87c<:e;28?j72:3:1(?;i:070?k42m3;07b?:1;29 73a28?87c<:e;08?j7283:1(?;i:070?k42m3907plm7483>f4=83:p(?ji:3`f?M57i2B9i>5U688`71=:109m7"6j;0?7)?m3;68 4d32=1/=o;54:&2f3<33-;i;7:4$0`;>1=#9k3186*>b`87?!7ej3>0("6k;0?7)?l3;68 4e32=1/=n;54:&2g3<33-;h;7:4$0a;>1=#9j3186*>c`87?!7dj3>0("6l;0?7)?k3;68 4b32=1/=i9524:8 4b22:1/=i853:&21=#91l186*>9187?!7>93>0(864n3f1><=i:m9156*=d8811==#908186*>9287?!4a:38m=6*=f281b4=i:o>156`=f48:?!7>13>0(<7n:59'5"6i90?7)?n1;68 4g52=1/=l=54:&2e1<33-;j97:4$0c5>1=#9h=186*>a987?!7f13>0("5l10:;n5+2e`97>"61<0?7)?66;68 4?02=1/=4654:&1`f<43`j7:n;o06a?6<3`>26=4+24d90d=i:!42n3>j7c<:e;08?l20290/>8h54`9m60c=;21b8;4?:%06b?2f3g8>i7:4;h77>5<#:=n=:0;6)<:f;6b?k42m3<07d;=:18'60`=8k57:9j14<72-8>j7:n;o06a?><3`?;6=4+24d90d=i:!42n3>j7c<:e;c8?l2b290/>8h54`9m60c=j21b8i4?:%06b?2f3g8>i7m4;h6`>5<#:=n<<0;6)<:f;6b?k42m3o07d;m:18'60`==h1e>8k50:9j1<<72-8>j7;n;o06a?7<3`?36=4+24d91d=i:65f5683>!42n3?j7c<:e;18?l31290/>8h55`9m60c=<21b:94?:%06b?3f3g8>i7;4;h40>5<#:=n>;0;6)<:f;7b?k42m3=07d8>:18'60`==h1e>8k58:9j25<72-8>j7;n;o06a??<3`?m6=4+24d91d=i:!42n3?j7c<:e;`8?l3c290/>8h55`9m60c=k21b9n4?:%06b?3f3g8>i7j4;h76>5<#:=nj:0;6)<:f;`1?k42m3:07dl>:18'60`=j;1e>8k51:9jec<72-8>j7l=;o06a?4<3`kn6=4+24d9f7=i:!42n3h97c<:e;68?lgd290/>8h5b39m60c==21bmo4?:%06b?d53g8>i784;hcb>5<#:=ni00;6)<:f;`1?k42m3207do7:18'60`=j;1e>8k59:9je2<72-8>j7l=;o06a?g<3`k=6=4+24d9f7=i:!42n3h97c<:e;a8?lg4290/>8h5b39m60c=l21bm?4?:%06b?d53g8>i7k4;hc2>5<#:=ni90;6)<:f;`1?k42m3;;76g6f;29 73a2k80b?;j:038?l?b290/>8h5b39m60c=9;10e4j50;&11c3:9j=f<72-8>j7l=;o06a?7332c2n7>5$37e>g45<#:h5=l0:;65fb983>!42n3h97c<:e;3;?>oe?3:1(?;i:c08j73b28307dl9:18'60`=j;1e>8k51`98mg3=83.99k4m2:l11`<6j21bn94?:%06b?d53g8>i7?l;:ka4?6=,;?m6o<4n37f>4b<3`k>6=4+24d9f7=i:6`=5d82b>=nl=0;6)<:f;f0?k42m3:07dj=:18'60`=l:1e>8k51:9j`5<72-8>j7j<;o06a?4<3`im6=4+24d9`6=i:!42n3n87c<:e;68?lec290/>8h5d29m60c==21bon4?:%06b?b43g8>i784;haa>5<#:5a24g93>=nkh0;6)<:f;f0?k42m3207dm6:18'60`=l:1e>8k59:9jg=<72-8>j7j<;o06a?g<3`i<6=4+24d9`6=i:!42n3n87c<:e;a8?le3290/>8h5d29m60c=l21bo>4?:%06b?b43g8>i7k4;ha1>5<#:5a24g9b>=nk80;6)<:f;f0?k42m3;;76gl0;29 73a2m90b?;j:038?lda290/>8h5d29m60c=9;10eok50;&11c3:9jfa<72-8>j7j<;o06a?7332cio7>5$37e>a55<#:5a24g953=h5=l0:;65fd883>!42n3n87c<:e;3;?>oc03:1(?;i:e18j73b28307dj8:18'60`=l:1e>8k51`98ma0=83.99k4k3:l11`<6j21bh84?:%06b?b43g8>i7?l;:kg5?6=,;?m6i=4n37f>4b<3`i=6=4+24d9`6=i:=n99;1<7*=5g8245=i:!42n3;;<6`=5d82?>oam3:1(?;i:023?k42m3807dhk:18'60`=99:0b?;j:298m461290/>8h51178j73b2910e<>;:18'60`=99?0b?;j:098m464290/>8h51178j73b2;10e<>=:18'60`=99?0b?;j:298m7ce290/>8h52dc8j73b2910e?k6:18'60`=:lk0b?;j:098m7c?290/>8h52dc8j73b2;10e?k8:18'60`=:lk0b?;j:298m7`7290/>8h52dd8j73b2910e?kj:18'60`=:ll0b?;j:098m7cc290/>8h52dd8j73b2;10e?kl:18'60`=:ll0b?;j:298k447290/>8h510d8j73b2910c8h510d8j73b2;10c8h510d8j73b2=10c8h510d8j73b2?10c8h510d8j73b2110c8h510d8j73b2h10c8h510d8j73b2j10c8h510d8j73b2l10c<>j:18'60`=98l0b?;j:g98k46c290/>8h510d8j73b28:07b??c;29 73a28;m7c<:e;32?>i68k0;6)<:f;32b>h5=l0:>65`11c94?"5=o0:=k5a24g956=:4?:%06b?76n2d99h4>6:9l570=83.99k4>1g9m60c=9>10c<<::18'60`=98l0b?;j:0:8?j75<3:1(?;i:03e?k42m3;276a>2283>!42n3;:j6`=5d82e>=h9;81<7*=5g825c=i:5<#:4e<3f;:h7>5$37e>47a3g8>i7?k;:m257<72-8>j7?>f:l11`<6m21d==950;&11c<69o1e>8k51g98k425290/>8h51538j73b2910c<:?:18'60`=9=;0b?;j:098k45b290/>8h51538j73b2;10c<=k:18'60`=9=;0b?;j:298k45d290/>8h51538j73b2=10c<=m:18'60`=9=;0b?;j:498k45f290/>8h51538j73b2?10c<=6:18'60`=9=;0b?;j:698k45?290/>8h51538j73b2110c<=8:18'60`=9=;0b?;j:898k451290/>8h51538j73b2h10c<=::18'60`=9=;0b?;j:c98k454290/>8h51538j73b2j10c<==:18'60`=9=;0b?;j:e98k456290/>8h51538j73b2l10c<=?:18'60`=9=;0b?;j:g98k44a290/>8h51538j73b28:07b?=e;29 73a28>:7c<:e;32?>i6:m0;6)<:f;375>h5=l0:>65`13a94?"5=o0:8<5a24g956=;o06a?7232e:844?:%06b?7392d99h4>6:9l51>=83.99k4>409m60c=9>10c<:8:18'60`=9=;0b?;j:0:8?j73>3:1(?;i:062?k42m3;276a>4483>!42n3;?=6`=5d82e>=h9=>1<7*=5g8204=i:5<#:4e<3f;8j7>5$37e>4263g8>i7?k;:m271<72-8>j7?;1:l11`<6m21d=?750;&11c<6<81e>8k51g98k42a290/>8h515g8j73b2910c<:k:18'60`=9=o0b?;j:098k42d290/>8h515g8j73b2;10c<:m:18'60`=9=o0b?;j:298k433290/>8h51418j73b2910c<;=:18'60`=9<90b?;j:098k436290/>8h51418j73b2;10c<;?:18'60`=9<90b?;j:298ygd0>3:1o?4?:1y'6a`=:ko0D>>n;I0f7>\113ip>:4=8;0b>7d=;:0887=::2496`<5n39<6>65}%3ba?2<,8km695+1c290>"6j80?7)?m2;68 4d42=1/=o:54:&2f0<33-;i:7:4$0`4>1=#9k2186*>b887?!7ei3>0("6k80?7)?l2;68 4e42=1/=n:54:&2g0<33-;h:7:4$0a4>1=#9j2186*>c887?!7di3>0("6l80?7)?k2;68 4b42=1/=i:54:&2`2<5=11/=i;53:&2`3<43-;3o7:4$0:g>1=#91o186*>8g87?!7>83>0(<7>:59'5a?=9>i0(46*=c1811==i:m8156`=d28:?!4c138>46*>9387?!7>;3>0(?h=:3d2?!4a;38m=6`=f58:?k4a=330(<76:59'5"61o0?7)?n0;68 4g62=1/=l<54:&2e6<33-;j87:4$0c6>1=#9h<186*>a687?!7f03>0(h6"5lk087)?65;68 4?12=1/=4954:&2==<33-8oo7=4i7`94?=n>j0;66g81;29?l152900e<97:188m7b32900e?j::188m41>2900e?jk:188m7bb2900c;j50;9l2`<722c?n7>5$37e>1go303:1(?;i:5c8j73b2;10e9950;&11c<3i2d99h4<;:k72?6=,;?m69o4n37f>1=1<7*=5g87e>h5=l0>76g:3;29 73a2=k0b?;j:798m04=83.99k4;a:l11`<032c>=7>5$37e>1go3n3:1(?;i:5c8j73b2h10e9k50;&11c<3i2d99h4m;:k7`?6=,;?m69o4n37f>f=h5=l0o76g;5;29 73a2=k0b?;j:d98m0d=83.99k4:a:l11`<732c>57>5$37e>0gm6`=5d81?>o2?3:1(?;i:4c8j73b2:10e8850;&11c<2i2d99h4;;:k50?6=,;?m68o4n37f>0=h5=l0=76g92;29 73a25$37e>0gm6`=5d8b?>o2m3:1(?;i:4c8j73b2k10e8j50;&11c<2i2d99h4l;:k6g?6=,;?m68o4n37f>a=h5=l0n76gm3;29 73a2k80b?;j:198mg7=83.99k4m2:l11`<632cjj7>5$37e>g46`=5d80?>ofl3:1(?;i:c08j73b2=10elm50;&11c3=h5=l0<76gn9;29 73a2k80b?;j:998md>=83.99k4m2:l11`<>32cj;7>5$37e>g46`=5d8a?>of<3:1(?;i:c08j73b2j10el=50;&11c`=h5=l0m76gn0;29 73a2k80b?;j:028?l?a290/>8h5b39m60c=9810e4k50;&11c2:9j=a<72-8>j7l=;o06a?7432c2o7>5$37e>g45<#:h5=l0::65fb883>!42n3h97c<:e;34?>oe03:1(?;i:c08j73b28207dl8:18'60`=j;1e>8k51898mg0=83.99k4m2:l11`<6i21bn84?:%06b?d53g8>i7?m;:ka0?6=,;?m6o<4n37f>4e<3`h;6=4+24d9f7=i:6`=5d82a>=n1h0;6)<:f;`1?k42m3;m76gk4;29 73a2m90b?;j:198ma4=83.99k4k3:l11`<632co<7>5$37e>a5odm3:1(?;i:e18j73b2=10enj50;&11c3=h5=l0<76gla;29 73a2m90b?;j:998mf?=83.99k4k3:l11`<>32ch47>5$37e>a5od=3:1(?;i:e18j73b2j10en:50;&11c`=h5=l0m76gl1;29 73a2m90b?;j:028?le7290/>8h5d29m60c=9810eoh50;&11c2:9jf`<72-8>j7j<;o06a?7432cih7>5$37e>a55<#:5a24g950=h5=l0::65fd`83>!42n3n87c<:e;34?>oc13:1(?;i:e18j73b28207dj7:18'60`=l:1e>8k51898ma1=83.99k4k3:l11`<6i21bh;4?:%06b?b43g8>i7?m;:kg1?6=,;?m6i=4n37f>4e<3`n:6=4+24d9`6=i:=njk0;6)<:f;f0?k42m3;m76g>0083>!42n3;;<6`=5d83?>oan3:1(?;i:023?k42m3;07dhj:18'60`=99:0b?;j:398mcb=83.99k4>019m60c=;21b==850;&11c<68<1e>8k50:9j552=83.99k4>049m60c=921b===50;&11c<68<1e>8k52:9j554=83.99k4>049m60c=;21b>hl50;&11c<5mh1e>8k50:9j6`?=83.99k4=e`9m60c=921b>h650;&11c<5mh1e>8k52:9j6`1=83.99k4=e`9m60c=;21b>k>50;&11c<5mo1e>8k50:9j6`c=83.99k4=eg9m60c=921b>hj50;&11c<5mo1e>8k52:9j6`e=83.99k4=eg9m60c=;21d=?>50;&11c<69o1e>8k50:9l54c=83.99k4>1g9m60c=921d=8k52:9l54d=83.99k4>1g9m60c=;21d=8k54:9l54?=83.99k4>1g9m60c==21d=<650;&11c<69o1e>8k56:9l541=83.99k4>1g9m60c=?21d=<850;&11c<69o1e>8k58:9l543=83.99k4>1g9m60c=121d=<:50;&11c<69o1e>8k5a:9l545=83.99k4>1g9m60c=j21d=8k5c:9l546=83.99k4>1g9m60c=l21d==h50;&11c<69o1e>8k5e:9l55c=83.99k4>1g9m60c=n21d==j50;&11c<69o1e>8k51198k46d290/>8h510d8j73b28;07b??b;29 73a28;m7c<:e;31?>i68h0;6)<:f;32b>h5=l0:?65`11;94?"5=o0:=k5a24g951=;4?:%06b?76n2d99h4>7:9l573=83.99k4>1g9m60c=9110c<<;:18'60`=98l0b?;j:0;8?j75;3:1(?;i:03e?k42m3;j76a>2383>!42n3;:j6`=5d82f>=h9;;1<7*=5g825c=i:5<#:4b<3f;:>7>5$37e>47a3g8>i7?j;:m242<72-8>j7?>f:l11`<6n21d=9<50;&11c<6<81e>8k50:9l516=83.99k4>409m60c=921d=>k50;&11c<6<81e>8k52:9l56b=83.99k4>409m60c=;21d=>m50;&11c<6<81e>8k54:9l56d=83.99k4>409m60c==21d=>o50;&11c<6<81e>8k56:9l56?=83.99k4>409m60c=?21d=>650;&11c<6<81e>8k58:9l561=83.99k4>409m60c=121d=>850;&11c<6<81e>8k5a:9l563=83.99k4>409m60c=j21d=>=50;&11c<6<81e>8k5c:9l564=83.99k4>409m60c=l21d=>?50;&11c<6<81e>8k5e:9l566=83.99k4>409m60c=n21d=?h50;&11c<6<81e>8k51198k44b290/>8h51538j73b28;07b?=d;29 73a28>:7c<:e;31?>i6:j0;6)<:f;375>h5=l0:?65`13`94?"5=o0:8<5a24g951=;o06a?7132e:854?:%06b?7392d99h4>7:9l511=83.99k4>409m60c=9110c<:9:18'60`=9=;0b?;j:0;8?j73=3:1(?;i:062?k42m3;j76a>4583>!42n3;?=6`=5d82f>=h9=91<7*=5g8204=i:5<#:4b<3f;887>5$37e>4263g8>i7?j;:m26<<72-8>j7?;1:l11`<6n21d=9h50;&11c<68k50:9l51b=83.99k4>4d9m60c=921d=9m50;&11c<68k52:9l51d=83.99k4>4d9m60c=;21d=8:50;&11c<6=:1e>8k50:9l504=83.99k4>529m60c=921d=8?50;&11c<6=:1e>8k52:9l506=83.99k4>529m60c=;21vn::9:186>5<7s-8oj7?j4:J04d=O:l90(<7;:2a8m4>?2900e<66:188m4>f2900e<6m:188k4bc2900qo8nb;291?6=8r.9hk4>e59K75g<@;o87)?64;1`?l7?03:17d?79;29?l7?i3:17d?7b;29?j7cl3:17p}89;296f}Y?0168h656g9>0`?=>o168hk56g9>0``=>o168k>56g9>0c7=>o168k<56g9>0c5=>o168k:56g9>0c3=>o168ho56g9>0`b=>o168hl56g9>0`e=>o1684856g9>0<1=>o1684m56g9>0o1684k56g9>0<`=>o168l>56g9>0d7=>o168l<56g9>0d5=>o1684656g9>0o1684756g9>0o1688<56g9>005=>o1688656g9>00?=>o1688o56g9>00d=>o1688m56g9>00b=>o1688k56g9>00`=>o1688:56g9>003=>o1688856g9>001=>o16;n8524;8yv7?;3:1;vP88:\2<6=:0>o1>oj4=9f0>4>>3423f0=91201o6?:0:;?8>3:3;34638cc82<==z{?<1<73}Y:m<015k;:343?8>b038=<637e68125=:0l<1>;>4=9g6>7073ty:;:4?:9y]32=Y9>=015:<:3`g?81d>3;3563m8182<<=:0=81=574=6aa>4>>34=h47?77:p3d<72hopR:o4=725>3`<5?:<6;h4=72`>3`<5?:o6;h4=72f>3`<5?:m6;h4=733>3`<5?;:6;h4=731>3`<5?;86;h4=72;>3`<5?:i6;h4=72:>3`<5?:j6;h4=4`7>3`<56;h4=4`b>3`<53`<53`<53`<53`<53`<53`<5<=:6;h4=455>3`<5<=<6;h4=45;>3`<5<=26;h4=45b>3`<5<=i6;h4=45`>3`<5<=o6;h4=451>3`<5<=>6;h4=450>3`<5<=?6;h4=40`>3`<5<8o6;h4=411>3`<5<986;h4=417>3`<5<9>6;h4=415>3`<5<9<6;h4=41;>3`<5<926;h4=40f>3`<5<8m6;h4=413>3`<5<9:6;h4=9f0>73>34h=>7;e>m09i452b7f96`><5kf21=:l201o98:3g4?8d0038nn63m7981a<=:j>21>h64=c5;>7c034h<572;o<70l8a;0ff>;e?h09i452b6c96`><5k=j6?k8;<`4f?4bj27i;o4=e89>f2d=:l201o9m:3g4?8d0k38nn63m7b81a<=:j>i1>h64=c5`>7c034h;e?l09i452b6g96`><5k=n6?k8;<`57?4bj27i:>4=e89>f35=:l201o8<:3g4?8d1<38nn63m6581a<=:j?>1>h64=c47>7c034h=97;e>?09i452b7496`><5k<=6?k8;<`53?4bj27i::4=e89>f31=:l201o88:3g4?8d1038nn63m6981a<=:j?21>h64=c4;>7c034h=572;o<70l9a;0ff>;e>h09i452b7c96`><5kf3d=:l201o8m:3g4?8d1k38nn63m6b81a<=:j?i1>h64=c4`>7c034h=i7;e>o09i452b7d96`><5kf26=:l201o9?:3g4?8d0938nn63m7081a<=:j>;1>h64=c52>7c034h<>7;e?:09i452b6196`><5k=86?k8;<`40?4bj27i;94=e89>f22=:l201o9;:3g4?8d0=38nn63m7481a<=:j>?1>h64=c56>7c034h<:7sW8m:6P=e09]60d20<51o=6:84=4a1>26<56474=4f4>6474=4a4>4=4:6>26<5=ki6474=5cg>4=462>86474=466><6474=46:>i6474=46g>m6474=472>26<5=<<6474=54:>4=5dg>26<5=886474=506>j6474=96a>h6474=96g>n6474=96e>6474=9:5>6474=9c5>n96474=6f0>n?6474=6f6>n=6474=6f4>n36474=6gg>on6474=6ge>l;6474=6d2>l96474=6d0>6474=90b>3e<5k<96?j;;<`56?4c=27i:?4;b:?a27<2j27i:?4m3:?a2727i:?4n4:?a27n27i:?46e:?a27<>l27i:?46c:?a27<>j27i:?4ma:?a2727i:?4mb:?a27<68816n;<5fg9>f34=nl16n;<5fe9>f34=99<01o8=:027?8d1:3;;?63m638247=:j?81>k>4=c41>7cb34h=>7f3b=>j16n;j52e689g0c2;n>70l9d;6a?8d1l3?i70l9d;`0?8d1l3h:70l9d;ce?8d1l3kn70l9d;cg?8d1l3kh70l9d;ca?8d1l3kj70l9d;c:?8d1l3k370l9d;c4?8d1l3k=70l9d;c7?8d1l3k870l9d;c1?8d1l3k:70l9d;c3?8d1l33m70l9d;;f?8d1l33o70l9d;;`?8d1l33i70l9d;`b?8d1l3h270l9d;`;?8d1l3h<70l9d;`5?8d1l3h>70l9d;`7?8d1l3h;70l9d;c6?8d1l3n?70l9d;f1?8d1l3n;70l9d;ae?8d1l3in70l9d;ag?8d1l3ih70l9d;aa?8d1l3ij70l9d;a:?8d1l3i370l9d;a4?8d1l3i>70l9d;a7?8d1l3i870l9d;a1?8d1l3i:70l9d;a3?8d1l3hm70l9d;`f?8d1l3ho70l9d;``?8d1l3ni70l9d;fb?8d1l3n270l9d;f;?8d1l3n<70l9d;f5?8d1l3n>70l9d;f2?8d1l3i=70l9d;`a?8d1l3;;=63m6e8eb>;e>m0mi63m6e8e`>;e>m0:<;52b7f9552<5k<;<`5`?77:27i:i4=f19>f3b=:lo01o8k:3gg?8d1l38no63m7685f>;e?>0=o63m7681`1=:j>=1>i;4=c54>1d<5k=<68l4=c54>g5<5k=<6o?4=c54>d`<5k=<6lk4=c54>db<5k=<6lm4=c54>dd<5k=<6lo4=c54>d?<5k=<6l64=c54>d1<5k=<6l84=c54>d2<5k=<6l=4=c54>d4<5k=<6l?4=c54>d6<5k=<64h4=c54>gg<5k=<6o74=c54>g><5k=<6o94=c54>g0<5k=<6o;4=c54>g2<5k=<6o>4=c54>d3<5k=<6i:4=c54>a4<5k=<6i>4=c54>f`<5k=<6nk4=c54>fb<5k=<6nm4=c54>fd<5k=<6no4=c54>f?<5k=<6n64=c54>f1<5k=<6n;4=c54>f2<5k=<6n=4=c54>f4<5k=<6n?4=c54>f6<5k=<6oh4=c54>gc<5k=<6oj4=c54>ge<5k=<6il4=c54>ag<5k=<6i74=c54>a><5k=<6i94=c54>a0<5k=<6i;4=c54>a7<5k=<6n84=c54>gd<5k=<6<>>;<`43?`a34h<;7hj;<`43?`c34h<;7??6:?a32<68=16n:9511189g1028:970l87;0e4>;e?>09ih52b6596`b<5k=<6?kl;<`4f2>=f2>=j:16n:65b09>f2>=io16n:65ad9>f2>=im16n:65ab9>f2>=ik16n:65a`9>f2>=i016n:65a99>f2>=i>16n:65a79>f2>=i=16n:65a29>f2>=i;16n:65a09>f2>=i916n:659g9>f2>=1l16n:659e9>f2>=1j16n:659c9>f2>=jh16n:65b89>f2>=j116n:65b69>f2>=j?16n:65b49>f2>=j=16n:65b19>f2>=i<16n:65d59>f2>=l;16n:65d19>f2>=ko16n:65cd9>f2>=km16n:65cb9>f2>=kk16n:65c`9>f2>=k016n:65c99>f2>=k>16n:65c49>f2>=k=16n:65c29>f2>=k;16n:65c09>f2>=k916n:65bg9>f2>=jl16n:65be9>f2>=jj16n:65dc9>f2>=lh16n:65d89>f2>=l116n:65d69>f2>=l?16n:65d49>f2>=l816n:65c79>f2>=jk16n:6511389g1?2ol01o97:gg89g1?2on01o97:025?8d003;;863m798246=:j>21==<4=c5;>7`734h<472?h01o96:7a89g1>2;n?70l89;0g1>;e?00?n63m7886f>;e?00i?63m788a5>;e?00jj63m788ba>;e?00jh63m788bg>;e?00jn63m788be>;e?00j563m788b<>;e?00j;63m788b2>;e?00j863m788b7>;e?00j>63m788b5>;e?00j<63m788:b>;e?002i63m788:`>;e?002o63m788:f>;e?00im63m788a=>;e?00i463m788a3>;e?00i:63m788a1>;e?00i863m788a4>;e?00j963m788g0>;e?00o>63m788g4>;e?00hj63m788`a>;e?00hh63m788`g>;e?00hn63m788`e>;e?00h563m788`<>;e?00h;63m788`1>;e?00h863m788`7>;e?00h>63m788`5>;e?00h<63m788ab>;e?00ii63m788a`>;e?00io63m788gf>;e?00om63m788g=>;e?00o463m788g3>;e?00o:63m788g1>;e?00o=63m788`2>;e?00in63m788244=:j>31jk52b6;9b`=:j>31ji52b6;9550<5k=26<>;;<`4=?77;27i;44>039>f2?=:o:01o96:3gf?8d0138nh63m7881af=:j>k1:o52b6c92f=:j>k1>i:4=c5b>7b234h34h34h;<`4e?e734h;e?h09j=52b6c96`c<5k=j6?kk;<`4e?4bk27i;o49b:?a3g<1k27i;o4=d59>f2d=:m?01o9m:5`89g1e2=n01o9m:5a89g1e2=?01o9m:4`89g1e201o9m:b189g1e2j801o9m:b389g1e2j:01o9m:cd89g1e2ko01o9m:cf89g1e2ki01o9m:e`89g1e2mk01o9m:e;89g1e2m201o9m:e589g1e2m<01o9m:e789g1e2m;01o9m:b489g1e2kh01o9m:022?8d0j3lm70l8b;df?8d0j3;;:63m7c8241=:j>h1===4=c5a>46534h;e?j09h852b6a90g=:j>i18i52b6a90f=:j>i18852b6a91g=:j>i19i52b6a91f=:j>i19852b6a9f6=:j>i1n<52b6a9ec=:j>i1mh52b6a9ea=:j>i1mn52b6a9eg=:j>i1ml52b6a9e<=:j>i1m552b6a9e2=:j>i1m;52b6a9e1=:j>i1m>52b6a9e7=:j>i1m<52b6a9e5=:j>i15k52b6a9=`=:j>i15i52b6a9=f=:j>i15o52b6a9fd=:j>i1n452b6a9`1=:j>i1h?52b6a9`5=:j>i1ok52b6a9g`=:j>i1oi52b6a9gf=:j>i1oo52b6a9gd=:j>i1o452b6a9g==:j>i1o:52b6a9g0=:j>i1o952b6a9g6=:j>i1o?52b6a9g4=:j>i1o=52b6a9fc=:j>i1nh52b6a9fa=:j>i1nn52b6a9`g=:j>i1hl52b6a9`<=:j>i1h552b6a9`2=:j>i1h;52b6a9`0=:j>i1h<52b6a9g3=:j>i1no52b6a9557<5k=h6kh4=c5`>cc<5k=h6<>9;<`4g?77<27i;n4>029>f2e=99801o9l:3d3?8d0k38ni63m7b81aa=:j>i1>hm4=c5g>3d<5k=o6;m4=c5g>7b334hm27i;i46d:?a3a<>k27i;i46b:?a3a27i;i4mb:?a3a<68816n:j5fg9>f2b=nl16n:j511489g1c28:?70l8d;337>;e?m0:f2c=>k16n:k56b9>f2c=:m>01o9j:3f6?8d0m3>i70l8e;6g?8d0m3>h70l8e;66?8d0m3?i70l8e;7g?8d0m3?h70l8e;76?8d0m3h870l8e;`2?8d0m3km70l8e;cf?8d0m3ko70l8e;c`?8d0m3ki70l8e;cb?8d0m3k270l8e;c;?8d0m3k<70l8e;c5?8d0m3k?70l8e;c0?8d0m3k970l8e;c2?8d0m3k;70l8e;;e?8d0m33n70l8e;;g?8d0m33h70l8e;;a?8d0m3hj70l8e;`:?8d0m3n?70l8e;f1?8d0m3n;70l8e;ae?8d0m3in70l8e;ag?8d0m3ih70l8e;aa?8d0m3ij70l8e;a:?8d0m3i370l8e;a4?8d0m3i>70l8e;a7?8d0m3i870l8e;a1?8d0m3i:70l8e;a3?8d0m3hm70l8e;`f?8d0m3ho70l8e;``?8d0m3ni70l8e;fb?8d0m3n270l8e;f;?8d0m3n<70l8e;f5?8d0m3n>70l8e;f2?8d0m3i=70l8e;`a?8d0m3;;=63m7d8eb>;e?l0mi63m7d8243=:j>o1==:4=c5f>46434h;e>:0=n63m6285g>;e>:09h952b7196a3<5k<869l4=c40>1b<5k<869m4=c40>13<5k<868l4=c40>0b<5k<868m4=c40>03<5k<86o=4=c40>g7<5k<86lh4=c40>dc<5k<86lj4=c40>de<5k<86ll4=c40>dg<5k<86l74=c40>d><5k<86l94=c40>d0<5k<86l:4=c40>d5<5k<86l<4=c40>d7<5k<86l>4=c40><`<5k<864k4=c40>g?<5k<86i:4=c40>a4<5k<86i>4=c40>f`<5k<86nk4=c40>fb<5k<86nm4=c40>fd<5k<86no4=c40>f?<5k<86n64=c40>f1<5k<86n;4=c40>f2<5k<86n=4=c40>f4<5k<86n?4=c40>f6<5k<86oh4=c40>gc<5k<86oj4=c40>ge<5k<86il4=c40>ag<5k<86i74=c40>a><5k<86i94=c40>a0<5k<86i;4=c40>a7<5k<86n84=c40>gd<5k<86<>>;<`57?`a34h=?7hj;<`57?77>27i:>4>059>f35=99901o8<:021?8d1;38m<63m6281a`=:j?91>hj4=c40>7cd34h=878m;<`50?0d34h=87f32=f32=<<16n;:55c9>f32==m16n;:55b9>f32==<16n;:5b29>f32=j816n;:5ag9>f32=il16n;:5ae9>f32=ij16n;:5ac9>f32=ih16n;:5a89>f32=i116n;:5a69>f32=i?16n;:5a59>f32=i:16n;:5a39>f32=i816n;:5a19>f32=1o16n;:59d9>f32=1m16n;:59b9>f32=1k16n;:5b`9>f32=j016n;:5d59>f32=l;16n;:5d19>f32=ko16n;:5cd9>f32=km16n;:5cb9>f32=kk16n;:5c`9>f32=k016n;:5c99>f32=k>16n;:5c49>f32=k=16n;:5c29>f32=k;16n;:5c09>f32=k916n;:5bg9>f32=jl16n;:5be9>f32=jj16n;:5dc9>f32=lh16n;:5d89>f32=l116n;:5d69>f32=l?16n;:5d49>f32=l816n;:5c79>f32=jk16n;:511389g032ol01o8;:gg89g0328:=70l94;330>;e>=0:<>52b769554<5kf32=:li01o8::7`89g022?i01o8::3f7?8d1=38o963m6487f>;e><0?h63m6487g>;e><0?963m6486f>;e><0>h63m6486g>;e><0>963m648a7>;e><0i=63m648bb>;e><0ji63m648b`>;e><0jo63m648bf>;e><0jm63m648b=>;e><0j463m648b3>;e><0j:63m648b0>;e><0j?63m648b6>;e><0j=63m648b4>;e><02j63m648:a>;e><02h63m648:g>;e><02n63m648ae>;e><0i563m648g0>;e><0o>63m648g4>;e><0hj63m648`a>;e><0hh63m648`g>;e><0hn63m648`e>;e><0h563m648`<>;e><0h;63m648`1>;e><0h863m648`7>;e><0h>63m648`5>;e><0h<63m648ab>;e><0ii63m648a`>;e><0io63m648gf>;e><0om63m648g=>;e><0o463m648g3>;e><0o:63m648g1>;e><0o=63m648`2>;e><0in63m648244=:j??1jk52b779b`=:j??1==84=c46>46334h=97??3:?a20<68;16n;;52g289g022;on70l95;0f`>;e><09in52b7492g=:j?<1:n52b7496a2<5k<=6?j:;<`52?2e34h=:7:k;<`52?2d34h=:7::;<`52?3e34h=:7;k;<`52?3d34h=:7;:;<`52?d434h=:7l>;<`52?ga34h=:7oj;<`52?gc34h=:7ol;<`52?ge34h=:7on;<`52?g>34h=:7o7;<`52?g034h=:7o9;<`52?g334h=:7o<;<`52?g534h=:7o>;<`52?g734h=:77i;<`52??b34h=:77k;<`52??d34h=:77m;<`52?df34h=:7l6;<`52?b334h=:7j=;<`52?b734h=:7mi;<`52?eb34h=:7mk;<`52?ed34h=:7mm;<`52?ef34h=:7m6;<`52?e?34h=:7m8;<`52?e234h=:7m;;<`52?e434h=:7m=;<`52?e634h=:7m?;<`52?da34h=:7lj;<`52?dc34h=:7ll;<`52?be34h=:7jn;<`52?b>34h=:7j7;<`52?b034h=:7j9;<`52?b234h=:7j>;<`52?e134h=:7lm;<`52?77927i:;4if:?a23079>f30=99>01o89:020?8d1>3;;>63m6781b5=:j?<1>hk4=c45>7cc34h=:701o88:`189g002h801o88:`389g002h:01o88:8d89g0020o01o88:8f89g0020i01o88:8`89g002kk01o88:c;89g002m>01o88:e089g002m:01o88:bd89g002jo01o88:bf89g002ji01o88:b`89g002jk01o88:b;89g002j201o88:b589g002j?01o88:b689g002j901o88:b089g002j;01o88:b289g002kl01o88:cg89g002kn01o88:ca89g002mh01o88:ec89g002m301o88:e:89g002m=01o88:e489g002m?01o88:e389g002j<01o88:c`89g0028::70l97;de?8d1?3ln70l97;332>;e>>0:<952b759555<5k<<6<>=;<`53?4a827i::4=ed9>f31=:ln01o88:3g`?8d10352b7:9f4=:j?21mk52b7:9e`=:j?21mi52b7:9ef=:j?21mo52b7:9ed=:j?21m452b7:9e==:j?21m:52b7:9e3=:j?21m952b7:9e6=:j?21m?52b7:9e4=:j?21m=52b7:9=c=:j?215h52b7:9=a=:j?215n52b7:9=g=:j?21nl52b7:9f<=:j?21h952b7:9`7=:j?21h=52b7:9gc=:j?21oh52b7:9ga=:j?21on52b7:9gg=:j?21ol52b7:9g<=:j?21o552b7:9g2=:j?21o852b7:9g1=:j?21o>52b7:9g7=:j?21o<52b7:9g5=:j?21nk52b7:9f`=:j?21ni52b7:9ff=:j?21ho52b7:9`d=:j?21h452b7:9`==:j?21h:52b7:9`3=:j?21h852b7:9`4=:j?21o;52b7:9fg=:j?21==?4=c4;>c`<5k<36kk4=c4;>46134h=47??4:?a2=<68:16n;6511089g0?2;l;70l98;0fa>;e>109ii52b7:96`e<5k<26;l4=c4:>3e<5k<26?j;;<`5=?4c=27i:44;b:?a2<<3l27i:44;c:?a2<<3=27i:44:b:?a2<<2l27i:44:c:?a2<<2=27i:44m3:?a2<27i:44n4:?a2<n27i:446e:?a2<<>l27i:446c:?a2<<>j27i:44ma:?a2<27i:44k5:?a2<009>f3?=no16n;75fd9>f3?=99<01o86:027?8d113;;?63m688247=:j?31>k>4=c4:>7cb34h=57f3g=>j16n;o52e689g0f2;n>70l9a;6a?8d1i3>o70l9a;6`?8d1i3>>70l9a;7a?8d1i3?o70l9a;7`?8d1i3?>70l9a;`0?8d1i3h:70l9a;ce?8d1i3kn70l9a;cg?8d1i3kh70l9a;ca?8d1i3kj70l9a;c:?8d1i3k370l9a;c4?8d1i3k=70l9a;c7?8d1i3k870l9a;c1?8d1i3k:70l9a;c3?8d1i33m70l9a;;f?8d1i33o70l9a;;`?8d1i33i70l9a;`b?8d1i3h270l9a;f7?8d1i3n970l9a;f3?8d1i3im70l9a;af?8d1i3io70l9a;a`?8d1i3ii70l9a;ab?8d1i3i270l9a;a;?8d1i3i<70l9a;a6?8d1i3i?70l9a;a0?8d1i3i970l9a;a2?8d1i3i;70l9a;`e?8d1i3hn70l9a;`g?8d1i3hh70l9a;fa?8d1i3nj70l9a;f:?8d1i3n370l9a;f4?8d1i3n=70l9a;f6?8d1i3n:70l9a;a5?8d1i3hi70l9a;335>;e>h0mj63m6`8ea>;e>h0:<;52b7c9552<5k<;<`5e?77:27i:l4=f19>f3g=:lo01o8n:3gg?8d1i38no63m6c85f>;e>k0=o63m6c81`1=:j?h1>i;4=c4a>1d<5k1e<5k0d<5k0e<5kg5<5kd`<5kdb<5kdd<5kd?<5kd1<5kd2<5kd4<5kd6<5kgg<5ka2<5ka6<5kfc<5kfe<5kfg<5kf><5kf3<5kf5<5kf7<5k4=c4a>g`<5kgb<5kad<5ka?<5ka1<5ka3<5kf0<5k46634h=n7hi;<`5f?`b34h=n7??6:?a2g<68=16n;l511189g0e28:970l9b;0e4>;e>k09ih52b7`96`b<5kf3e=f3e=f3e==k16n;m55e9>f3e==j16n;m5549>f3e=j:16n;m5b09>f3e=io16n;m5ad9>f3e=im16n;m5ab9>f3e=ik16n;m5a`9>f3e=i016n;m5a99>f3e=i>16n;m5a79>f3e=i=16n;m5a29>f3e=i;16n;m5a09>f3e=i916n;m59g9>f3e=1l16n;m59e9>f3e=1j16n;m59c9>f3e=jh16n;m5b89>f3e=l=16n;m5d39>f3e=l916n;m5cg9>f3e=kl16n;m5ce9>f3e=kj16n;m5cc9>f3e=kh16n;m5c89>f3e=k116n;m5c69>f3e=k<16n;m5c59>f3e=k:16n;m5c39>f3e=k816n;m5c19>f3e=jo16n;m5bd9>f3e=jm16n;m5bb9>f3e=lk16n;m5d`9>f3e=l016n;m5d99>f3e=l>16n;m5d79>f3e=l<16n;m5d09>f3e=k?16n;m5bc9>f3e=99;01o8l:gd89g0d2oo01o8l:025?8d1k3;;863m6b8246=:j?i1==<4=c4`>7`734h=o7;e>l0?n63m6d87`>;e>l0?o63m6d871>;e>l0>n63m6d86`>;e>l0>o63m6d861>;e>l0i?63m6d8a5>;e>l0jj63m6d8ba>;e>l0jh63m6d8bg>;e>l0jn63m6d8be>;e>l0j563m6d8b<>;e>l0j;63m6d8b2>;e>l0j863m6d8b7>;e>l0j>63m6d8b5>;e>l0j<63m6d8:b>;e>l02i63m6d8:`>;e>l02o63m6d8:f>;e>l0im63m6d8a=>;e>l0o863m6d8g6>;e>l0o<63m6d8`b>;e>l0hi63m6d8``>;e>l0ho63m6d8`f>;e>l0hm63m6d8`=>;e>l0h463m6d8`3>;e>l0h963m6d8`0>;e>l0h?63m6d8`6>;e>l0h=63m6d8`4>;e>l0ij63m6d8aa>;e>l0ih63m6d8ag>;e>l0on63m6d8ge>;e>l0o563m6d8g<>;e>l0o;63m6d8g2>;e>l0o963m6d8g5>;e>l0h:63m6d8af>;e>l0:<<52b7g9bc=:j?o1jh52b7g9550<5k;;<`5a?77;27i:h4>039>f3c=:o:01o8j:3gf?8d1m38nh63m6d81af=:j?l1:o52b7d92f=:j?l1>i:4=c4e>7b234h=j7:m;<`5b?2c34h=j7:l;<`5b?2234h=j7;m;<`5b?3c34h=j7;l;<`5b?3234h=j7l<;<`5b?d634h=j7oi;<`5b?gb34h=j7ok;<`5b?gd34h=j7om;<`5b?gf34h=j7o6;<`5b?g?34h=j7o8;<`5b?g134h=j7o;;<`5b?g434h=j7o=;<`5b?g634h=j7o?;<`5b??a34h=j77j;<`5b??c34h=j77l;<`5b??e34h=j7ln;<`5b?d>34h=j7j;;<`5b?b534h=j7j?;<`5b?ea34h=j7mj;<`5b?ec34h=j7ml;<`5b?ee34h=j7mn;<`5b?e>34h=j7m7;<`5b?e034h=j7m:;<`5b?e334h=j7m<;<`5b?e534h=j7m>;<`5b?e734h=j7li;<`5b?db34h=j7lk;<`5b?dd34h=j7jm;<`5b?bf34h=j7j6;<`5b?b?34h=j7j8;<`5b?b134h=j7j:;<`5b?b634h=j7m9;<`5b?de34h=j7??1:?a2c;e>o09j=52b7d96`c<5kf26=:m?01o9?:5`89g172=n01o9?:5a89g172=?01o9?:4`89g17201o9?:b189g172j801o9?:b389g172j:01o9?:cd89g172ko01o9?:cf89g172ki01o9?:e`89g172mk01o9?:e;89g172m201o9?:e589g172m<01o9?:e789g172m;01o9?:b489g172kh01o9?:022?8d083lm70l80;df?8d083;;:63m718241=:j>:1===4=c53>46534h<<752df89g172;oh70l81;4a?8d093;e?809h852b6390g=:j>;18i52b6390f=:j>;18852b6391g=:j>;19i52b6391f=:j>;19852b639f6=:j>;1n<52b639ec=:j>;1mh52b639ea=:j>;1mn52b639eg=:j>;1ml52b639e<=:j>;1m552b639e2=:j>;1m;52b639e1=:j>;1m>52b639e7=:j>;1m<52b639e5=:j>;15k52b639=`=:j>;15i52b639=f=:j>;15o52b639fd=:j>;1n452b639`1=:j>;1h?52b639`5=:j>;1ok52b639g`=:j>;1oi52b639gf=:j>;1oo52b639gd=:j>;1o452b639g==:j>;1o:52b639g0=:j>;1o952b639g6=:j>;1o?52b639g4=:j>;1o=52b639fc=:j>;1nh52b639fa=:j>;1nn52b639`g=:j>;1hl52b639`<=:j>;1h552b639`2=:j>;1h;52b639`0=:j>;1h<52b639g3=:j>;1no52b639557<5k=:6kh4=c52>cc<5k=:6<>9;<`45?77<27i;<4>029>f27=99801o9>:3d3?8d0938ni63m7081aa=:j>;1>hm4=c51>3d<5k=96;m4=c51>7b334h<>7m27i;?46d:?a37<>k27i;?46b:?a3727i;?4mb:?a37<68816n:<5fg9>f24=nl16n:<511489g1528:?70l82;337>;e?;0:f25=>k16n:=56b9>f25=:m>01o9<:3f6?8d0;3>i70l83;6g?8d0;3>h70l83;66?8d0;3?i70l83;7g?8d0;3?h70l83;76?8d0;3h870l83;`2?8d0;3km70l83;cf?8d0;3ko70l83;c`?8d0;3ki70l83;cb?8d0;3k270l83;c;?8d0;3k<70l83;c5?8d0;3k?70l83;c0?8d0;3k970l83;c2?8d0;3k;70l83;;e?8d0;33n70l83;;g?8d0;33h70l83;;a?8d0;3hj70l83;`:?8d0;3n?70l83;f1?8d0;3n;70l83;ae?8d0;3in70l83;ag?8d0;3ih70l83;aa?8d0;3ij70l83;a:?8d0;3i370l83;a4?8d0;3i>70l83;a7?8d0;3i870l83;a1?8d0;3i:70l83;a3?8d0;3hm70l83;`f?8d0;3ho70l83;``?8d0;3ni70l83;fb?8d0;3n270l83;f;?8d0;3n<70l83;f5?8d0;3n>70l83;f2?8d0;3i=70l83;`a?8d0;3;;=63m728eb>;e?:0mi63m728243=:j>91==:4=c50>46434h;e?=0=n63m7585g>;e?=09h952b6696a3<5k=?69l4=c57>1b<5k=?69m4=c57>13<5k=?68l4=c57>0b<5k=?68m4=c57>03<5k=?6o=4=c57>g7<5k=?6lh4=c57>dc<5k=?6lj4=c57>de<5k=?6ll4=c57>dg<5k=?6l74=c57>d><5k=?6l94=c57>d0<5k=?6l:4=c57>d5<5k=?6l<4=c57>d7<5k=?6l>4=c57><`<5k=?64k4=c57>g?<5k=?6i:4=c57>a4<5k=?6i>4=c57>f`<5k=?6nk4=c57>fb<5k=?6nm4=c57>fd<5k=?6no4=c57>f?<5k=?6n64=c57>f1<5k=?6n;4=c57>f2<5k=?6n=4=c57>f4<5k=?6n?4=c57>f6<5k=?6oh4=c57>gc<5k=?6oj4=c57>ge<5k=?6il4=c57>ag<5k=?6i74=c57>a><5k=?6i94=c57>a0<5k=?6i;4=c57>a7<5k=?6n84=c57>gd<5k=?6<>>;<`40?`a34h<87hj;<`40?77>27i;94>059>f22=99901o9;:021?8d0<38m<63m7581a`=:j>>1>hj4=c57>7cd34h<978m;<`41?0d34h<97f23=f23=<<16n:;55c9>f23==m16n:;55b9>f23==<16n:;5b29>f23=j816n:;5ag9>f23=il16n:;5ae9>f23=ij16n:;5ac9>f23=ih16n:;5a89>f23=i116n:;5a69>f23=i?16n:;5a59>f23=i:16n:;5a39>f23=i816n:;5a19>f23=1o16n:;59d9>f23=1m16n:;59b9>f23=1k16n:;5b`9>f23=j016n:;5d59>f23=l;16n:;5d19>f23=ko16n:;5cd9>f23=km16n:;5cb9>f23=kk16n:;5c`9>f23=k016n:;5c99>f23=k>16n:;5c49>f23=k=16n:;5c29>f23=k;16n:;5c09>f23=k916n:;5bg9>f23=jl16n:;5be9>f23=jj16n:;5dc9>f23=lh16n:;5d89>f23=l116n:;5d69>f23=l?16n:;5d49>f23=l816n:;5c79>f23=jk16n:;511389g122ol01o9::gg89g1228:=70l85;330>;e?<0:<>52b679554<5k=>6?h?;<`41?4bm27i;84=ee9>f23=:li01o99:7`89g112?i01o99:3f7?8d0>38o963m7787f>;e??0?h63m7787g>;e??0?963m7786f>;e??0>h63m7786g>;e??0>963m778a7>;e??0i=63m778bb>;e??0ji63m778b`>;e??0jo63m778bf>;e??0jm63m778b=>;e??0j463m778b3>;e??0j:63m778b0>;e??0j?63m778b6>;e??0j=63m778b4>;e??02j63m778:a>;e??02h63m778:g>;e??02n63m778ae>;e??0i563m778g0>;e??0o>63m778g4>;e??0hj63m778`a>;e??0hh63m778`g>;e??0hn63m778`e>;e??0h563m778`<>;e??0h;63m778`1>;e??0h863m778`7>;e??0h>63m778`5>;e??0h<63m778ab>;e??0ii63m778a`>;e??0io63m778gf>;e??0om63m778g=>;e??0o463m778g3>;e??0o:63m778g1>;e??0o=63m778`2>;e??0in63m778244=:j><1jk52b649b`=:j><1==84=c55>46334h<:7??3:?a33<68;16n:852g289g112;on70l86;0f`>;e??09in5rs224>5<3sW9;;637d282<==:0>n1=564=92;>4>?3ty=97>52z\51>;0k009ni5rs3a2>5<>>rT9o<528d692d=:0l<1:l524d:92d=:j>1:l526b192d=:>j81:l526bf92d=:>ji1:l526b`92d=:>jk1:l526b;92d=:>j21:l526b592d=:>j<1:l526b392d=:>j:1:l5273d92d=:?;o1:l5273f92d=:?;i1:l5272592d=:?:<1:l5272792d=:?:>1:l5272192d=:?:81:l5272392d=:?::1:l5273`92d=:?;k1:l5276a92d=:?>h1:l5276c92d=:?>31:l5279692d=:?191:l5279092d=:?1;1:l5279292d=:?>l1:l5276g92d=:?>n1:l5276:92d=:?>=1:l5278:92d=:?0=1:l5278492d=:?0?1:l527`292d=:?0l1:l5278g92d=:?0n1:l5278a92d=:?0h1:l5278c92d=:?031:l5278692d=:?091:l527cf92d=:?ki1:l527c`92d=:?kk1:l527b792d=:?j>1:l527b192d=:?j81:l527b392d=:?j:1:l527cd92d=:?ko1:l527c;92d=:?k21:l52a9;92d=:i121:l52a9592d=:0l?1:l52b70937=:j?n1;?52b65937=:j>21;?52b6;937=:j>k1;?52b6`937=:j>i1;?52b6f937=:j>o1;?52b71937=:j?>1;?52b77937=:j?<1;?52b75937=:j?21;?52b7;937=:j?k1;?52b7`937=:j?i1;?52b7g937=:j?l1;?52b62937=:j>;1;?52b60937=:j>91;?52b66937=:j>?1;?52b64937=z{;l<6=4n0z\1b2=:0l21:l528d592d=:>9<1:l5261592d=:>9i1:l5261f92d=:>9o1:l5261d92d=:>8:1:l5260392d=:>881:l5260192d=:>921:l5261`92d=:>931:l5261c92d=:=k>1:l525c792d=:=kk1:l525c`92d=:=ki1:l525cf92d=:=ko1:l525cd92d=:=j:1:l525b392d=:=k<1:l525c;92d=:=k=1:l525c:92d=:=>:1:l5256392d=:=><1:l5256592d=:=>21:l5256;92d=:=>k1:l5256`92d=:=>i1:l5256f92d=:=>81:l5256792d=:=>91:l5256692d=:=;i1:l5253f92d=:=:81:l5252192d=:=:>1:l5252792d=:=:<1:l5252592d=:=:21:l5252;92d=:=;o1:l5253d92d=:=::1:l5252392d=:0>o1:l5281492d=:>0>1:l5268192d=:>081:l5268392d=:>0i1:l5268`92d=:>0k1:l5268;92d=:>021:l5268592d=:>0<1:l5268792d=:>0:1:l5269d92d=:>l;1:l526d292d=:>ml1:l526eg92d=:>l31:l526d:92d=:>l=1:l526d492d=:>l?1:l526d692d=:>l91:l526d092d=:>mn1:l526ea92d=:>on1:l526ga92d=:>oh1:l526gc92d=:?9?1:l5271692d=:?991:l5271092d=:?9;1:l5271292d=:>ol1:l526gg92d=:>o31:l526g:92d=:??:1:l5274d92d=:?1:l5277192d=:??81:l5277392d=:?=1;<52b6:934=:j>31;<52b6c934=:j>h1;<52b6a934=:j>n1;<52b6g934=:j?91;<52b76934=:j??1;<52b74934=:j?=1;<52b7:934=:j?31;<52b7c934=:j?h1;<52b7a934=:j?o1;<52b7d934=:j>:1;<52b63934=:j>81;<52b61934=:j>>1;<52b67934=:j><1;<5rs25:>5<0sW9<56382g81fa=:>;?1=564=95:>4>f342>i7?7a:?;44<60k16;h8519`8yv50?3:14vP<769>37c=:kn01;?8:8;89343282370689;3;<>;?=l0:455281395=?<5>o=6<66;|q033<721qU?:84=60g>7dc34<::776;<417?7?0273;54>8`9><0b=912015>?:0:a?81b=3;3n6s|36794?>|V:=>709=c;0a`>;19<0256392382<==:0>21=564=97g>4>>342;<7?79:?4a0<6001v>6=:18;[5?:27276=1016:?k519:89=10282j706:c;3;<>;0no0:4o527d695=d58z\0<4=:?:<1>oj4=73e>889>3c`=91301:k;:0::?xu4090;65uQ392892522;ho708>e;;:?805k3;3463777824>e34=n?7?7b:p72`=832pR>9i;<500?4el27==i469:?56g<601164:8519:89=3e2822709ie;3;=>;0m:0:445rs25f>58i1545263c95=><51=>6<6n;<:6e?7?0278c9>3`4=91h0q~=8d;29<~X4?m16;><52cf8937e20301;<6:0:;?8>0=3;346375`82<<=:?on1=574=6g1>4>>3ty8;n4?:9y]72e<5>9:6?lk;<42e??>34<947?78:?;31<60h16487519:892`d282i709j1;3;f>{t;>h1<76t^25a?814838ih639188:=>;1:>0:455286695=><51?26<66;<5eg?7?127889~w61f2903wS=8a:?46g<5jm16:<65989>270=9120159<:0:b?8>203;34638fc824>?3427dc34==<799;<47a?7?027<=i4>899>bm3;35637ee82<<=:0li1=564=9ga>4>f342nm7?78:?;a<<600169<:519:89g052=301o8k:5;89g102=301o97:5;89g1>2=30q~6k:1821~X40?1U4i5252296gb<5?>;6474=76g>4>?34=:i7?78:?45a<600164k>519c89=ca282j706je;3;<>;?mm0:4l528da95=g<51oi6<66;<:fe?7?i273i44>8`9>0ce=91201o8=:5:89g0c2=201o98:5:89g1?2=201o96:5:8yv>d290:9vP<849]oj4=71e>h6<67;<52b?7?027<=h4>889>bm3;3m637ee82<==:0li1=574=9ga>4>?342nm7?79:?;a<<601168ko519:89g052==01o8k:5589g102==01o97:5589g1>2==0q~6m:1811~X40=1U4o5253g96gb<5?9n6474=76a>4>?34=9<7?78:?45c<600168k6519:89g052=<01o8k:5489g102=<01o97:5489g1>2=<01o9n:5;89g1e2=301o9l:5;89g1c2=301o9j:5;89g042=301o8;:5;89g022=301o89:5;89g002=301o87:5;89g0>2=301o8n:5;89g0e2=301o8l:5;89g0b2=301o8i:5;89g172=301o9>:5;89g152=301o9<:5;89g132=301o9::5;89g112=30q~77:1811~X4181U555252;96gb<5?>26474=774>4>?34=9=7?78:?465<600169=k519:89g052<>01o8k:4689g102<>01o97:4689g1>2<>01o9n:5:89g1e2=201o9l:5:89g1c2=201o9j:5:89g042=201o8;:5:89g022=201o89:5:89g002=201o87:5:89g0>2=201o8n:5:89g0e2=201o8l:5:89g0b2=201o8i:5:89g172=201o9>:5:89g152=201o9<:5:89g132=201o9::5:89g112=20q~78:1811~X4191U5:5252:96gb<5?>36474=775>4>?34=9>7?78:?464<600169=m519:89g052<901o8k:4189g102<901o97:4189g1>2<901o9n:5589g1e2==01o9l:5589g1c2==01o9j:5589g042==01o8;:5589g022==01o89:5589g002==01o87:5589g0>2==01o8n:5589g0e2==01o8l:5589g0b2==01o8i:5589g172==01o9>:5589g152==01o9<:5589g132==01o9::5589g112==0q~79:1811~X40o1U5;5252596gb<5?><6474=776>4>?34=9?7?78:?467<600169=o519:89g052<801o8k:4089g102<801o97:4089g1>2<801o9n:5489g1e2=<01o9l:5489g1c2=<01o9j:5489g042=<01o8;:5489g022=<01o89:5489g002=<01o87:5489g0>2=<01o8n:5489g0e2=<01o8l:5489g0b2=<01o8i:5489g172=<01o9>:5489g152=<01o9<:5489g132=<01o9::5489g112=<0q~7::1811~X40l1U585252496gb<5?>=6474=777>4>?34=987?78:?466<600169=6519:89g052<;01o8k:4389g102<;01o97:4389g1>2<;01o9n:4689g1e2<>01o9l:4689g1c2<>01o9j:4689g042<>01o8;:4689g022<>01o89:4689g002<>01o87:4689g0>2<>01o8n:4689g0e2<>01o8l:4689g0b2<>01o8i:4689g172<>01o9>:4689g152<>01o9<:4689g132<>01o9::4689g112<>0q~7;:1811~X40m1U595252796gb<5?>>6474=770>4>?34=997?78:?461<600169=8519:89g052<:01o8k:4289g102<:01o97:4289g1>2<:01o9n:4189g1e2<901o9l:4189g1c2<901o9j:4189g042<901o8;:4189g022<901o89:4189g002<901o87:4189g0>2<901o8n:4189g0e2<901o8l:4189g0b2<901o8i:4189g172<901o9>:4189g152<901o9<:4189g132<901o9::4189g112<90q~7<:1811~X40j1U5>5252696gb<5?>?6474=771>4>?34=9:7?78:?460<600169=:519:89g052=l01o8k:5d89g102=l01o97:5d89g1>2=l01o9n:4089g1e2<801o9l:4089g1c2<801o9j:4089g042<801o8;:4089g022<801o89:4089g002<801o87:4089g0>2<801o8n:4089g0e2<801o8l:4089g0b2<801o8i:4089g172<801o9>:4089g152<801o9<:4089g132<801o9::4089g112<80q~7=:1811~X40k1U5?5252196gb<5?>86474=772>4>?34=9;7?78:?463<600169=<519:89g052=o01o8k:5g89g102=o01o97:5g89g1>2=o01o9n:4389g1e2<;01o9l:4389g1c2<;01o9j:4389g042<;01o8;:4389g022<;01o89:4389g002<;01o87:4389g0>2<;01o8n:4389g0e2<;01o8l:4389g0b2<;01o8i:4389g172<;01o9>:4389g152<;01o9<:4389g132<;01o9::4389g112<;0q~7>:1811~X40h1U5<5252096gb<5?>96474=773>4>?34=947?78:?462<600169=>519:89g052=n01o8k:5f89g102=n01o97:5f89g1>2=n01o9n:4289g1e2<:01o9l:4289g1c2<:01o9j:4289g042<:01o8;:4289g022<:01o89:4289g002<:01o87:4289g0>2<:01o8n:4289g0e2<:01o8l:4289g0b2<:01o8i:4289g172<:01o9>:4289g152<:01o9<:4289g132<:01o9::4289g112<:0q~7?:1811~X4001U5=5253f96gb<5?>:6474=76e>4>?34=957?78:?46=<600168kk519:89g052=i01o8k:5a89g102=i01o97:5a89g1>2=i01o9n:5d89g1e2=l01o9l:5d89g1c2=l01o9j:5d89g042=l01o8;:5d89g022=l01o89:5d89g002=l01o87:5d89g0>2=l01o8n:5d89g0e2=l01o8l:5d89g0b2=l01o8i:5d89g172=l01o9>:5d89g152=l01o9<:5d89g132=l01o9::5d89g112=l0q~6n:1810~X40:1U4l5253a96gb<5?9o6474=76b>4>?34=957?79:?64c<60>16n;<5449>f3b=<<16n:95449>f2>=<<16n:75449>f2g=f2e=f2c=f32=f30=f3>=f3g=f3e=f3`=54d9>f27=f25=f23=wS==e:?7=g<5jm164:7519`892c1282j70:8e;3;<>{t;;i1<7;t^20`?82>i38ih6377882<<=:?l<1=564=545>4>?3ty8>o4?:4y]77d<5=326?lk;<:48`9>032=9120q~==a;291~X4:h1684652cf89=1?2822709j5;3;<>;3>;0:455rs214>5<2sW98;63;a281fa=:0>=1=5l4=6g7>4>f34><47?78:p760=83?pR>=9;<6b6?4el273;:4>889>3`2=91201999:0:;?xu4;<0;68uQ327891g62;ho70686;3;f>;0m:0:4l5246695=>55z\071=:oj4=955>4>>34=n?7?78:?737<6011v>=<:186[54;27?5k4=be9><23=91h01:k=:0:b?82083;346s|32094?3|V:9970:6e;0a`>;??<0:44527d095=><5=?4=5;g>7dc342<87?7b:?4a4<60h168;m519:8yv5483:19vP<319>0;31>09ni5286195=d<5>o;6<6n;<6534>2:7519:8911>282<7p}70;2953}Y;:n0R5>4=574>7dc34;?n?0:44528g795=?<51l?6<6n;<:e7?7?j273j?4>8`9>7dc34<987?79:?b<=<0>27=4>4>899>2=4=913015;j:0::?8>a03;34637f682<==:0o<1=5o4=9d6>4>?342m87?78:?;b6<600164k<519:89=`6282370:=2;3;<>;e>;0>463m6e86<>;e?>0>463m7986<>;e?00>46s|7e83>40|V:9j7S9k;<661?4el27=>>4>889>e=1=??16:5:519:893>42822706:d;3;e>;?n10:4l528g595=g<51l=6<67;<:e1?7?i273j94>889>a93;3m63;2182<==:j?819:52b7f912=:j>=19:52b6:912=:j>319:5rs6a94?42sW9856P8c:?711<5jm16:?<519;893>2282370874;3;=>;?=m0:4o5240g95=><5k<96884=c4g>00<5k=<6884=c5;>00<5k=26884=c5b>0?<5k=i6874=c5`>0?<5k=o6874=c5f>0?<5k<86874=c47>0?<5k<>6874=c45>0?<5k<<6874=c4;>0?<5k<26874=c4b>0?<5k0?<5k0?<5k=;6874=c52>0?<5k=96874=c50>0?<5k=?6874=c56>0?<5k==6874}r::>5<5=rT88;5Q889>00`=:kn01;3;346398482<<=:04>?34h=>78;;<`5`?0334h<;78;;<`47;7;<`47?3?34h<87;7;<`41?3?34h<:7;7;|q;;10>0:455269495=?<51?h6<6m;<606?7?027i:?493:?a2a<1;27i;:493:?a3=<1;27i;4493:?a3d<2?27i;o4:7:?a3f<2?27i;i4:7:?a3`<2?27i:>4:7:?a21<2?27i:84:7:?a23<2?27i::4:7:?a2=<2?27i:44:7:?a2d<2?27i:o4:7:?a2f<2?27i:h4:7:?a2c<2?27i;=4:7:?a34<2?27i;?4:7:?a36<2?27i;94:7:?a30<2?27i;;4:7:p<2<72;?pR>:;;_:4?822l38ih6392b82<<=:>121=564=7:4>4>>342>n7?7a:?775<60116n;<5639>f3b=>;16n:95639>f2>=>;16n:75639>f2g==?16n:l5579>f2e==?16n:j5579>f2c==?16n;=5579>f32==?16n;;5579>f30==?16n;95579>f3>==?16n;75579>f3g==?16n;l5579>f3e==?16n;k5579>f3`==?16n:>5579>f27==?16n:<5579>f25==?16n::5579>f23==?16n:85579~w=0=838>wS=;3:\;2>;3=j09ni5263`95=?<5?226<67;<4;8c9>07c=91201o8=:7389g0c2?;01o98:7389g1?2?;01o96:7389g1f2?>01o9m:7689g1d2?>01o9k:7689g1b2?>01o8<:7689g032?>01o8::7689g012?>01o88:7689g0?2?>01o86:7689g0f2?>01o8m:7689g0d2?>01o8j:7689g0a2?>01o9?:7689g162?>01o9=:7689g142?>01o9;:7689g122?>01o99:768yv>229099vP<439]<0=:<

oj4=70b>4>>34<3m7?78:?5<<<6001648o519c8914d282370l92;43?8d1l3<;70l87;43?8d003<;70l89;43?8d0i3<870l8b;40?8d0k3<870l8d;40?8d0m3<870l93;40?8d1<3<870l95;40?8d1>3<870l97;40?8d103<870l99;40?8d1i3<870l9b;40?8d1k3<870l9e;40?8d1n3<870l80;40?8d093<870l82;40?8d0;3<870l84;40?8d0=3<870l86;40?xu?<3:1>8uQ3538Z=2<5=?j6?lk;<41=?7?127=4o4>899>2=g=913015;n:0:a?825i3;3463m6386b>;e>m0>j63m7686b>;e?10>j63m7886b>;e?h0=>63m7c856>;e?j0=>63m7e856>;e?l0=>63m62856>;e>=0=>63m64856>;e>?0=>63m66856>;e>10=>63m68856>;e>h0=>63m6c856>;e>j0=>63m6d856>;e>o0=>63m71856>;e?80=>63m73856>;e?:0=>63m75856>;e?<0=>63m77856>{t0:0;6?;t^263?[>434>>57e2822706:9;3;e>;3:10:4552b7091`=:j?n19h52b6591`=:j>219h52b6;91`=:j>k1:<52b6`924=:j>i1:<52b6f924=:j>o1:<52b71924=:j?>1:<52b77924=:j?<1:<52b75924=:j?21:<52b7;924=:j?k1:<52b7`924=:j?i1:<52b7g924=:j?l1:<52b62924=:j>;1:<52b60924=:j>91:<52b66924=:j>?1:<52b64924=z{181<7<:{_10b>X?:27?954=be9>271=91301;6k:0:;?80?k3;3563758820b<5k0b<5k=368j4=c5:>0b<5k=j6;>4=c5a>36<5k=h6;>4=c5g>36<5k=n6;>4=c40>36<5k4=c46>36<5k<=6;>4=c44>36<5k<36;>4=c4:>36<5k4=c4a>36<5k4=c4f>36<5k4=c53>36<5k=:6;>4=c51>36<5k=86;>4=c57>36<5k=>6;>4=c55>36;10m0:445284:95=d<5=8?6<67;<`56?3d34h=h7;l;<`43?3d34h<47;l;<`4=?3d34h525y]76>h019;=:3`g?80593;356398d82<<=:0<21=5o4=516>4>034h=>7;:;<`5`?3234h<;7;:;<`47;j;<`47?3b34h<87;j;<`41?3b34h<:7;j;|q00f<72>qU?9m4=7;7>7dc34{t;=k1<79t^26b?80>;38ih6394e82<<=:0k>1=574=9;:>4>>3428i7?79:?;66<6001v>:6:184[53127=5?4=be9>21e=913015l<:0:a?8>>03;3n6373e82i6<66;<:a7?7?1273554>889><6b=913015<=:0::?xu4=<0;6:uQ347893?d2;ho708:7;3;=>;?j;0:4o5288595=d<519h6<6m;<:15?7?j2wx?8:50;5xZ63334<2n7;?:80:445rs270>5<0sW9>?6399`81fa=:>4>e3422:7?7b:?;7g<60k164?>519`8yv52:3:1;vP<539>2e93;356379782<<=:0:h1=574=903>4>>3ty89<4?:6y]707<5?336?lk;<467?7?1273n=4>8c9><<3=91h015=n:0:a?8>6n3;3n6s|34294?1|V:?;70867;0a`>;1=;0:44528c295=?<513>6<66;<:0e?7?1273=k4>889~w62a290;?;00:4o5280g95=d57z\00`=:>0?1>oj4=773>4>>342jj7?79:?;=1<600164>7519;89=7b28227p}<4e83>2}Y;=n01;7?:3`g?803n3;35637ad824>e342:h7?7b:p711=83=pR>:8;<4;b?4el27=8l4>889>403;356371e82<<=z{;lo6=4={_0e`>;?l009ni5rs3da>5<5sW8mn637d981fa=z{;lj6=4={_0ee>;?l>09ni5rs3d:>5<5sW8m5637d781fa=z{::=6=4={_132>;?m809ni5rs226>5<5sW9;9637e181fa=z{::?6=4={_130>;?lo09ni5rs220>5<5sW9;?637dd81fa=z{::96=4={_136>;?lm09ni5rs222>5<5sW9;=637db81fa=z{::;6=4={_134>;?lk09ni5rs3de>5<5sW8mj637d`81fa=z{;ln6=4={_0ea>;?l<09ni5rs3d;>5<5sW8m4637d581fa=z{:?i6=4;{_16f>;2?<09ni5288;95=g<57dc342257?78:?605<6011v>;7:187[52027>;>4=be9><<>=91k018=j:0:;?xu4=>0;69uQ345890152;ho70668;3;<>;2;j0:455rs247>5<3sW9=863:7e81fa=:00=1=5o4=471>4>?3ty8:>4?:5y]735<5<=h6?lk;<::3?7?027>9=4>899~w605290?wS=92:?63g<5jm16448519c8902b28237p}<6083>1}Y;?;0189n:3`g?8>>>3;3463:4b82<==z{:<;6=4;{_154>;2?009ni5288795=g<5<>j6<67;|q01c<72=qU?8h4=45;>7dc342297?78:?60=<6011v>;j:187[52m27>;:4=be9><<2=91k018:9:0:;?xu4=m0;69uQ34f890112;ho70664;3;<>;2<=0:455rs27`>5<3sW9>o63:7081fa=:0091=5o4=461>4>?3ty89;4?:5y]700<5<=;6?lk;<::7?7?027>9>4>869~w60f290>wS=9a:?6f<<5jm164o:519c89=44282j70;6c;3;<>{t;?21<7;t^24;?83e038ih637b582<==:0;91=564=4:7>4>?3ty8::4?:4y]731<5?4>8`9>1=4=9120q~=96;291~X4>?169o852cf89=d42823706=2;3;<>;2090:455rs250>5<2sW94>f34?2:7?78:p724=83?pR>9=;<7`4?4el273n?4>899><77=9120187;:0:;?xu4?80;68uQ363890da2;ho706m1;3;e>;?:90:4l5258095=>55z\035=:=ko1>oj4=9`2>4>?3429<7?78:?6=5<6011v>8i:186[51n27>ni4=be9>;?j90:455280d95=><5<2h6<67;|q02a<727dc342jj7?7a:?;5`<60h1695o519:8yv51k3:19vP<6b9>1gg=:kn015oi:0:;?8>6m3;3463:8982<==z{:;2j<09ni528`g95=g<51;o6<6n;<7;2?7?02wx?;;50;7xZ60234?i876d83>7}Y9?o014?n:37:?xu6>j0;6?uQ17a89<7>2;?27p}>6`83>7}Y9?k014?8:37:?xu6>00;6?uQ17;89<722;?27p}>6983>7}Y9?2014?;:37:?xu6>>0;6?uQ17589<742;?27p}>6783>7}Y9?<014?=:37:?xu6><0;6?uQ17789<762;?27p}>6583>7}Y9?>014??:37:?xu6>:0;6?uQ17189<6a2;?27p}>6383>7}Y9?8014>j:37:?xu6>80;6?uQ17389<6c2;?27p}>5g83>7}Y95e83>7}Y95c83>7}Y95883>7}Y9<3014<>:37:?xu6=10;6?uQ14:89<712;?27p}>5683>7}Y9<=014>m:37:?xu6=?0;6?uQ14489<6f2;?27p}>7483>7}Y9>?014<=:37:?xu6?=0;6?uQ16689<472;?27p}>7283>7}Y9>9014?i:37:?xu6?;0;6?uQ16089<7b2;?27p}>7083>7}Y9>;014?k:37:?xu6?90;6?uQ16289<7d2;?27p}>6g83>7}Y9?l014?m:37:?xu6>k0;6?uQ17`89<7?2;?27p}>6183>7}Y9?:014>l:37:?xu6=<0;6?uQ14789<6>2;?27p}=c683>7}Y:j=01:lk:3`g?xu5k<0;6?uQ2b7892dd2;ho7p}=c583>7}Y:j>01:lm:3`g?xu5k:0;6?uQ2b1892df2;ho7p}=d183>7}Y:m:01:m::3`g?xu5ko0;6?uQ2bd892e32;ho7p}=cd83>7}Y:jo01:m<:3`g?xu5km0;6?uQ2bf892e52;ho7p}=cb83>7}Y:ji01:m>:3`g?xu5kk0;6?uQ2b`892e72;ho7p}=c`83>7}Y:jk01:li:3`g?xu5k00;6?uQ2b;892db2;ho7p}=c983>7}Y:j201:l6:3`g?xu5k;0;6?uQ2b0892d?2;ho7p}i5;29=~Xa=27i:k4id:?a354id:?a314?:8y]b6=:j?l1n552b629f==:j>;1n552b609f==:j>91n552b669f==:j>?1n552b649f==z{o;1<77t^g389g0a2k=01o9?:c589g162k=01o9=:c589g142k=01o9;:c589g122k=01o99:c58yv`72902wSh?;<`5b?d134h<<7l9;<`45?d134h<>7l9;<`47?d134h<87l9;<`41?d134h<:7l9;|qfb?6=1rTnj63m6g8a1>;e?90i963m708a1>;e?;0i963m728a1>;e?=0i963m748a1>;e??0i96s|ed83><}Yml16n;h5b59>f26=j=16n:?5b59>f24=j=16n:=5b59>f22=j=16n:;5b59>f20=j=1vhj50;;xZ`b<5k4=c53>g6<5k=:6o>4=c51>g6<5k=86o>4=c57>g6<5k=>6o>4=c55>g670l80;c6?8d093k>70l82;c6?8d0;3k>70l84;c6?8d0=3k>70l86;c6?xubj3:15vPjb:?a2c<>i27i;=46a:?a34<>i27i;?46a:?a36<>i27i;946a:?a30<>i27i;;46a:pad<720qUil52b749ba=:j?=1ji52b7:9ba=:j?31ji52b7c9ba=:j?h1ji52b7a9ba=:j?o1ji5rsd;94??|Vl301o89:c:89g002k201o87:c:89g0>2k201o8n:c:89g0e2k201o8l:c:89g0b2k20q~k7:18:[c?34h=:7l8;<`53?d034h=47l8;<`5=?d034h=m7l8;<`5f?d034h=o7l8;<`5a?d03tyn:7>59z\f2>;e>?0i:63m668a2>;e>10i:63m688a2>;e>h0i:63m6c8a2>;e>j0i:63m6d8a2>{tm<0;64uQe49>f30=j<16n;95b49>f3>=j<16n;75b49>f3g=j<16n;l5b49>f3e=j<16n;k5b49~w`2=833pRh:4=c45>g2<5k<<6o:4=c4;>g2<5k<26o:4=c4b>g2<5kg2<5k5<>sWo870l96;`3?8d1?3h;70l98;`3?8d113h;70l9a;`3?8d1j3h;70l9c;`3?8d1m3h;7p}j2;29=~Xb:27i:;4n5:?a22;e?k0i;63m7b8a3>;e?m0i;63m7d8a3>;e>:0i;63m658a3>;e><0i;6s|de83><}Ylm16n:o5b79>f2d=j?16n:m5b79>f2b=j?16n:k5b79>f35=j?16n;:5b79>f33=j?1vkm50;;xZce<5k=j6o;4=c5a>g3<5k=h6o;4=c5g>g3<5k=n6o;4=c40>g3<5kg34m0:?a21h1m852b6a9e0=:j>n1m852b6g9e0=:j?91m852b769e0=:j??1m85rsg:94??|Vo201o9n:8c89g1e20k01o9l:8c89g1c20k01o9j:8c89g0420k01o8;:8c89g0220k0q~h8:181[`034h<577n;|qe2?6=:rTm:63m798:e>{tn;0;6?uQf39>f21=1h1vh950;0xZ`1<5k5<5sWnh70l92;;b?xu6mh0;6<7t=22`>73d34?h>776;<74a??>34>j8776;<70e??>34>=<776;<6e2??>34>:o776;<:7e?17342=979?;<:;1?17342j<79?;<5g6?1734=nh79?;<:3b?173429m79?;<41b?1734<:879?;<46799>f21=9>201o97:05;?8d013;<46s|8d494?5|51o?6:84=9g5>7dc342n9799;|q;a7<720;p15k;:3`g?8>b>3;3mo0;3n80;3n:0;3n<0;3mm0;3mj05248a936=:<0n1;>5248g936=:<0l1;>524`2936=:524`0936=:5248:936=:<0h1;>5248;936=:<0k1;>52440936=:<<91;>5244:936=:<<31;>5244c936=:<5244a936=:<5244g936=:<52446936=:<52444936=:<<=1;>528519636<5>i26?8?;<4`1?143479<;<4``?143479<;<505?1434=8<79<;<51f?1434=9m79<;<54g?1434=79<;<5`5?1434=h<79<;<5ab?1434=ii79<;<5a=?1434=i479<;<`56?4cm27i:i4=dd9>f21=:mo01o97:3ff?8d0138oi63m7`81``=:j>h1>ik4=c5`>7bb34h;e>?09hh52b7596ac<5k<36?jj;<`5=?4cm27i:l4=dd9>f3d=:mo01o8l:3ff?8d1m38oi63m6g81``=:j>:1>ik4=c52>7bb34h<>7{t0l=1<77i{<:f916:=952728936d2>901;>k:618936b2>901;>i:61893772>901;?>:61893752>901;?<:618936?2>901;>m:618936>2>901;>n:61890d32>9018l::343?83ei3=870;mb;50?83ek3=870;md;50?83em3=870;mf;50?83d83=870;l1;50?83e>3=870;m9;50?83e?3=870;m8;50?830838=<63:70847>;2??0;2?10;2?h0;2?j0;2?;0;2?:0;2:j0;2;;0;2;=0;2;?0;2;10;2:l0;2;90;??l09:=528149636<5?3?6:=4=7;0>25<5?396:=4=7;2>25<5?3h6:=4=7;a>25<5?3j6:=4=7;:>25<5?336:=4=7;4>25<5?3=6:=4=7;6>25<5?3;6:=4=7:e>25<5?o:6:=4=7g3>25<5?nm6:=4=7ff>25<5?o26:=4=7g;>25<5?o<6:=4=7g5>25<5?o>6:=4=7g7>25<5?o86:=4=7g1>25<5?no6:=4=7f`>25<5?lo6:=4=7d`>25<5?li6:=4=7db>25<5>:>6:=4=627>25<5>:86:=4=621>25<5>::6:=4=623>25<5?lm6:=4=7df>25<5?l26:=4=7d;>25<5><;6:=4=67e>25<5>?n6:=4=67g>25<5><36:=4=644>25<5><=6:=4=646>25<5>25<5><96:=4=642>25<5>?h6:=4=67a>25<51n26:=4=9f;>25<51n<6:=4=9f5>25<51o:6:=4=9g3>25<51nm6:=4=9ff>25<51no6:=4=9f`>25<51ni6:=4=9fb>25<51n>6:=4=9f7>25<5k<96?jk;<`5`?4cl27i;:4=de9>f2>=:mn01o96:3fg?8d0i38oh63m7c81`a=:j>i1>ij4=c5g>7bc34h;e>>09hi52b7:96ab<5k<26?jk;<`5e?4cl27i:o4=de9>f3e=:mn01o8j:3fg?8d1n38oh63m7181`a=:j>;1>ij4=c51>7bc34h7}:0l21>oj4=9g4>2053z?543<0>27>o?4=d69>1ad=:<30q~;i8;297~;18?09ni5282:95=><51`7=:<30q~;id;297~;18>09ni5282:95=g<51`4=:<30q~;ie;297~;18j09ni5282;95=><51`5=:<30q~;if;297~;18m09ni5282;95=g<51`2=:<30q~8?0;297~;18l09ni5282c95=><51`3=:<30q~8?1;297~;18o09ni5282c95=g<55779>1`0=:<30q~8?2;297~;19909ni5282`95=><51`1=:<30q~8?3;297~;19809ni5282`95=g<51`>=:<30q~8?4;297~;19;09ni5282a95=><51`?=:<30q~8?5;297~;19:09ni5282a95=g<51ae=:<30q~;i9;297~;18109ni5282f95=><51a`=:<30q~;ic;297~;18k09ni5282g95=g<51ab=:<30q~;ia;297~;18009ni5282f95=g<51ac=:<30q~;ib;297~;18h09ni5282g95=><52>:018k>:628yv3di3:1?v3:c881`2=:=l;1=im4=4ab>73>3ty>o44?:2y>1f?=:<3018mm:62890c52>:0q~;lc;297~;2kk09h:525d095ae<5:018k<:628yv3dm3:1?v3:ce81`2=:=l91=im4=4af>73>3ty>oi4?:2y>1fb=:<3018mi:62890c32>:0q~;k0;297~;2ko09h:525d695ae<5:018k::628yv3c:3:1?v3:d081`2=:=l?1=im4=4f1>73>3ty>h<4?:2y>1a7=:<3018j<:62890c12>:0q~;k4;297~;2l:09h:525d495ae<5:018k8:628yv3c>3:1?v3:d481`2=:=l=1=im4=4f5>73>3ty>h84?:2y>1a3=:<3018j8:62890c?2>:0q~;k8;297~;2l>09h:525d:95ae<52>:018k6:628yv3ci3:1?v3:d881`2=:=l31=im4=4fb>73>3ty>h44?:2y>1a?=:<3018m<:62890bd2>:0q~;l4;297~;2k:09h:525ea95ae<5:018jk:628yv3d>3:1?v3:c481`2=:=mn1=im4=4a5>73>3ty>o84?:2y>1f3=:<3018m8:62890bb2>:0q~;l8;297~;2k>09h:525eg95ae<5:0q~;j0;296~;2lo0:hn525d2960?53z?6f1<0>27>;h4=d69>1<1=:<30q~;nb;296~;2j<0<:63:9e811<=z{7}:=ki1;;525`2960?52z?6fa<0>27>m<4=589~w0d72909w0;me;55?83f:38>56s|5c394?4|573>3ty>n?4?:3y>1f6=??169l:524;8yv3e;3:1>v3:c0842>;2i<09945rs4c4>5<5s4?i:799;<7:2><0187m:37:?xu2i10;6?u25c5933=:=031>874}r7b=?6=:r7>n5486:?6=d<5=01v89j:180830m38>563:84844>;21m0<<6s|59494?5|5<2>6?j8;<7:`?7ck27>4;4=589~w0>22908w0;75;06=>;20>0<<63:9d844>{t=121<7=t=4:4>7b034?2i7?kc:?6<=<5=01v868:18083??38>563:88844>;21o0<<6s|59c94?5|5<226?j8;<7:b?7ck27>4l4=589~w0>>2908w0;79;06=>;20k0<<63:a1844>{t=1i1<7=t=4:a>7b034?j<7?kc:?6563:8e844>;2i80<<6s|59g94?5|5<2o6?j8;<7b5?7ck27>4h4=589~w0>c2908w0;7d;06=>;20o0<<63:a3844>{t=0:1<7=t=4:e>7b034?j>7?kc:?6=5<5=01v86i:18083?n38>563:90844>;2i:0<<6s|58094?5|5<3:6?j8;<7b7?7ck27>5?4=589~w0?62908w0;61;06=>;21:0<<63:a5844>{t=0>1<7=t=4;0>7b034?j87?kc:?6=1<5=01v87<:18083>;38>563:94844>;2i<0<<6s|58494?5|5<3>6?j8;<7b1?7ck27>5;4=589~w0?22908w0;65;06=>;2?o0<<63:99844>{t=1:1<7=t=45e>7b034?247?kc:?6<5<5=01v89i:180830n38>563:80844>;2100<<6s|59094?5|5<2:6?j8;<7:=?7ck27>4?4=589~w0>62908w0;71;06=>;20:0<<63:9`844>{t=1>1<7=t=4:0>7b034?2m7?kc:?6<1<5=01v86<:18183?;38>563:9c844>{t=0i1<74bd34?2o7<:9:p0fe=839p19k7:64891g32;n<70:md;06=>{t7dc34=mn7?78:?7fa<60>1v9j>:18182b13==70:l3;06=>{t7dc34=mn7?7a:?7ef<6011v9j=:18182bm3==70:l4;06=>{t7dc34=mo7?78:?7e`<6011v9j<:18182bn3==70:l5;06=>{t7dc34=mo7?7a:?7f5<6011v9j;:18182a83==70:l6;06=>{t7dc34=mh7?78:?7f7<6011v9j::18182a93==70:l7;06=>{t7dc34=mh7?7a:?7f1<6011v9j9:18182a:3==70:l8;06=>{t1<7=t=5d1>7dc34=mi7?78:?7f3<6011v9j8:18182a;3==70:l9;06=>{t7dc34=mi7?7a:?7f=<6011v9j7:18182a<3==70:la;06=>{t7dc34=mj7?78:?7fd<6011v9j6:18182a=3==70:lb;06=>{t7dc34=mj7?7a:?7ff<6011v9mk:18182bi3==70:me;06=>{t7dc342;<7?78:?7e3<6011v9j?:18182bl3==70:l1;06=>{t7dc342;=7?7a:?7g7<6011v9mj:18182bj3==70:mf;06=>{t7dc342;<7?7a:?7e=<6011v9mi:18182bk3==70:l0;06=>{t7dc342;=7?78:?7ed<6011v9o;:18082f<38>563;ac844>;3k:0<<6s|4`a94?5|5=ki6?j8;<6`7?7ck27?mn4=589~w1ge2908w0:nb;06=>;3im0<<63;c5844>{t7b034>h87?kc:?7e`<5=01v9ok:18082fl38>563;ag844>;3k<0<<6s|4c294?5|5=km6?j8;<6`1?7ck27?n=4=589~w1ga2908w0:nf;06=>;3j80<<63;c7844>{t7b034>h:7?kc:?7f7<5=01v9l>:18082e938>563;b2844>;3k>0<<6s|4c694?5|5=h86?j8;<6`3?7ck27?n94=589~w1d42908w0:m3;06=>;3j<0<<63;c9844>{t7b034>h47?kc:?7f3<5=01v9l::18082e=38>563;b6844>;3k00<<6s|4c:94?5|5=h<6?j8;<6`=?7ck27?n54=589~w1d02908w0:m7;06=>;3j00<<63;c`844>{t7b034>hm7?kc:?7fd<5=01v9l6:18082e138>563;bc844>;3kk0<<6s|4ca94?5|5=hi6?j8;<6`f?7ck27?nn4=589~w1de2908w0:mb;06=>;3i<0<<63;bd844>{t7b034>ii7?kc:?7e3<5=01v9o::18082f=38>563;a6844>;3jo0<<6s|4`:94?5|5=k<6?j8;<6ab?7ck27?m54=589~w1g02908w0:n7;06=>;3i00<<63;c1844>{t7b034>h<7?kc:?7ed<5=01v9o6:18182f138>563;c0844>{t4bd34>h>7<:9:p134=839p189?:648905f2;n<70;:3;06=>{t=?=1<720<510g=:<30q~;99;296~;2?>0<:63:5c811<=z{<o7<:9:p13d=838p1896:648903c2;?27p}:6b83>7}:=>k1;;5254g960?52z?63g<0>27>9k4=589~w00b2909w0;8c;55?831838>56s|57d94?4|5<=o6:84=442>73>3ty>:>4?:3y>124=??1698:524;8yv31>3:1>v3:74842>;2=>09945rs447>5<5s4?<018;9:37:?xu2;h0;6>u252c960?<5<>:6:>4=47:>267>53z?604<5l>1698751ea890252;?27p}:4083>6}:==;1>874=460>26<54}r770?6=;r7>8>4=d69>10g=9mi018:;:37:?xu2<:0;6>u2551960?<5<>>6:>4=47a>2653z?600<5l>1698l51ea890212;?27p}:4483>6}:==?1>874=464>26<54}r778:4=d69>10e=9mi018:7:37:?xu2<>0;6>u2555960?<5<>26:>4=47g>2653z?60<<5l>1698j51ea8902f2;?27p}:4883>6}:==31>874=46a>26<54}r77g?6=;r7>8o4=d69>10c=9mi018:l:37:?xu2u255`960?<5<>o6:>4=47e>2653z?60a<5l>1698h51ea8902b2;?27p}:4e83>6}:==n1>874=46e>26<5<<;6:>4}r764?6=;r7>8k4=d69>136=9mi018;?:37:?xu2u255d960?<54=442>26>7>53z?614<5l>169;?51ea890352;?27p}:5083>6}:=<;1>874=41a>26<54}r70g?6=;r7>?o4=d69>102=9mi018=l:37:?xu2;k0;6>u252`960?<5<9o6:>4=476>2653z?67a<5l>1698;51ea8905b2;?27p}:3e83>6}:=:n1>874=41e>26<54}r774?6=;r7>?k4=d69>100=9mi018:?:37:?xu2;o0;6?u252d960?<54}r769:4>db9>10>=:<30q~:78;297~;31?0<:63;6181`2=:<>31>874}r6;`?6=:r7?5:486:?73c<5=01v96j:18182>k3==70:70;06=>{t<1l1<720<5=2:6?;6;|q7=5<72;q684k5779>0=4=:<30q~:61;296~;31o0<:63;82811<=z{=396=4={<6b4?1134>387<:9:p0<5=838p19o>:64891>22;?27p};9583>7}:297>52z?7e6<0>27?4:4=589~w1>>2909w0:68;55?820i38>56s|49a94?4|5=3i6:84=55g>73>3ty?4l4?:3y>0v3;9`842>;3?j09945rs543>5<4s4>=<7<:9:?722<0827?;k480:p03>=839p1988:3f4?820n3;oo63;69811<=z{=<<6=4<{<653?42127?:4480:?7<5<082wx8;o50;1x910>2;n<70:70;3gg>;3>h09945rs54:>5<4s4>=57<:9:?72g<0827?4<480:p03e=839p198m:3f4?82?93;oo63;6b811<=z{=;3>l09945rs54g>5<4s4>=h7<:9:?72c<0827?4>480:p026=839p198i:3f4?82?;3;oo63;71811<=z{=;3?;09945rs552>5<4s4><=7<:9:?736<0827?48480:p022=839p199<:3f4?82?=3;oo63;75811<=z{==86=4<{<647?42127?;8480:?7<3<082wx8:850;1x91122;n<70:76;3gg>;3??09945rs556>5<4s4><97<:9:?732<0827?4:480:p02>=839p1998:3f4?82??3;oo63;79811<=z{==<6=4<{<643?42127?:<480:?73d<082wx8;<50;1x91062;n<70:8a;3gg>;3>;09945rs542>5<4s4>==7<:9:?726<0827?;o480:p032=839p198<:3f4?820j3;oo63;65811<=z{=<86=4<{<657?42127?:8480:?73f<082wx8;850;1x91022;n<70:8c;3gg>;3>?09945rs546>5<5s4>=97<:9:?73a<082wx8:k50;0x911c28nh70:8e;06=>{t=8o1<7=t=40`>20<5=l=6?j8;<73b?4212wx9?=50;0x904c2><018?::37:?xu2:=0;6?u2520933=:=8<1>874}r711?6=:r7>?>486:?652<5=01v8<9:181834<3==70;>8;06=>{t=;=1<720<5<;26?;6;|q66=<72;q69>85779>14g=:<30q~;=9;296~;2;>0<:63:1c811<=z{<8j6=4={<707}:=;o1;;52502960?52z?66c<0>27>=<4=589~w0462909w0;<0;55?836:38>56s|53094?4|5<9:6:84=430>73>3ty?j;4?:2y>0c0=:<3019hk:62890722>:0q~:ie;297~;3nm09h:5250795ae<5=ln6?;6;|q7ba<72:q68kj524;891`a2>:018?9:628yv3783:1?v3;fg81`2=:=8<1=im4=423>73>3ty?jk4?:2y>0c`=:<3018>>:62890702>:0q~;?2;297~;28809h:5250595ae<5<:96?;6;|q644<72:q69=?524;890642>:018?7:628yv37<3:1?v3:0281`2=:=821=im4=427>73>3ty><>4?:2y>155=:<3018>::628907>2>:0q~;?6;297~;28<09h:5250;95ae<5<:=6?;6;|q640<72:q69=;524;890602>:018?n:628yv3703:1?v3:0681`2=:=8k1=im4=42;>73>3ty><:4?:2y>151=:<3018>6:628907e2>:0q~;?a;297~;28009h:5250`95ae<5<:j6?;6;|q64<<72:q69=7524;8906e2>:018?l:628yv37k3:1?v3:0c81`2=:=8i1=im4=42`>73>3ty>15d=:<3018>k:628907c2>:0q~;?e;297~;28m09h:5250f95ae<5<:n6?;6;|q64a<72:q69=j524;891`02>:018??:628yv2a03:1?v3;f681`2=:=8:1=im4=5d;>73>3ty?j:4?:2y>0c1=:<3019h6:62890762>:0q~:ia;297~;3n009h:5250395ae<5=lj6?;6;|q7b<<72:q68k7524;891`e2>:018?=:628yv2ak3:1?v3;fc81`2=:=881=im4=5d`>73>3ty?jo4?:3y>0cd=:<3018?<:628yv36<3:1>v3:1282`f=:=8>1>874}r670?6=;r7?9?486:?75f<5l>168>;524;8yv2313:1>v3;52842>;3;k09945rs56b>5<5s4>>4799;<60g?4212wx89l50;0x913>2><019=k:37:?xu3874}r67`?6=:r7?9o486:?77c<5=01v9:j:181822k3==70:;0;06=>{t<=l1<720<5=>:6?;6;|q715<72;q688k5779>014=:<30q~::1;296~;3=o0<:63;42811<=z{=>>6=4={<660?1134>8:7<:9:p010=838p19;::64891502;?27p};4683>7}:<<<1;;5242:960??47>52z?712<0>27??44=589~w17d2908w0:>c;06=>;3::0<<63;3c844>{t<;>1<7=t=500>7b034>8n7?kc:?761<5=01v9<<:180825;38>563;24844>;3;j0<<6s|43494?5|5=8>6?j8;<60g?7ck27?>;4=589~w1422908w0:=5;06=>;3:>0<<63;3e844>{t<;21<7=t=504>7b034>8h7?kc:?76=<5=01v9<8:180825?38>563;28844>;3;l0<<6s|43c94?5|5=826?j8;<60a?7ck27?>l4=589~w14>2908w0:=9;06=>;3:k0<<63;3g844>{t<;i1<7=t=50a>7b034>8j7?kc:?76f<5=01v9563;2e844>;3<90<<6s|43g94?5|5=8o6?j8;<674?7ck27?>h4=589~w14c2908w0:=d;06=>;3:o0<<63;40844>{t<::1<7=t=50e>7b034>?=7?kc:?775<5=01v9563;30844>;3<;0<<6s|42094?5|5=9:6?j8;<676?7ck27???4=589~w1562908w0:<1;06=>;3;:0<<63;42844>{t<:>1<7=t=510>7b034>??7?kc:?771<5=01v9=<:180824;38>563;1e844>;3;?0<<6s|40g94?5|5=;o6?j8;<602?7ck27?=h4=589~w17c2908w0:>d;06=>;39o0<<63;36844>{t<;:1<7=t=53e>7b034>8;7?kc:?765<5=01v9?i:180826n38>563;20844>;3;10<<6s|43094?5|5=8:6?j8;<60?4=589~w1462909w0:=1;06=>;3;00<<6s|42c94?4|5=926{t0=>1<773>342?n79?;|q;17<72;q649l52e589=3>2;?27p}74483>7}:0=h1>874=96`>26?7>52z?;0f<5l>1648o524;8yv>3>3:1>v374b811<=:0=n1;=5rs977>5<5s42?h73l38>56374d844>{t07b0342>o7<:9:p<1>=838p15:j:37:?8>3n3=;7p}75783>7}:0=l1>i94=97g>73>3ty3844?:3y><1`=:<3015;?:628yv>2?3:1>v375181`2=:0874}r:4e?6=:r739=4=589><14=91h0q~69c;296~;?><09h:52861960?j7>52z?;20<5=0164;85719~w=0c2909w0696;0g3>;??=09945rs943>5<5s42=:7<:9:?;22<082wx4;k50;0x9=002;n<70685;06=>{t0?;1<773>342=479?;|q;2c<72;q64;652e589=112;?27p}76383>7}:0?21>874=94:>2652z?;2<<5l>164:9524;8yv>1;3:1>v3768811<=:0?k1;=5rs952>5<5s42=m71i38>56376c844>{t0>81<77b0342<57<:9:p<2d=838p158m:37:?8>3:3;3m6s|85394?4|51>86:84=961>73>3ty34n4?:3y><=3=:m=0157<:37:?xu??o0;6?u2897960?<512=6:>4}r:;`?6=:r734;4=d69><<2=:<30q~670;296~;?0?099452895935=z{12n6=4={<:;3?4c?273584=589~w=>62909w0677;06=>;?010<<6s|89d94?4|51236?j8;<::2?4212wx45<50;0x9=>?2;?270679;53?xu?190;6?u289;96a1<513<6?;6;|q;<6<72;q6457524;89=>f2>:0q~661;296~;?0h09h:5288:960?52z?;;?1009945rs9`6>5<5s423n7<:9:?;3a<60k1v5o8:1818>f838o;637ad811<=z{13j6=4={<:b4?421273m<480:p=838p15o>:3f4?8>fn38>56s|88`94?4|51k:6?;6;<:b6?173ty3m44?:3y>4}r:be?6=:r73m>4=d69>;?i<0<<6s|8`a94?4|51k>6?j8;<:a7?4212wx44h50;0x9=g22;?2706n6;53?xu?im0;6?u28`496a1<51h?6?;6;|q;f3<72;q64l8524;89=1c282j7p}77b83>7}:0>o1;;5286f960?52z?4`7<5l>16;h>524;8yv1dk3:1>v38d3811<=:?m91;=5rs6fb>5<5s4=o?75638d5844>{t?mh1<77b034=n>7<:9:p3fc=838p1:j;:37:?81c=3=;7p}8db83>7}:?m?1>i94=6g0>73>3ty3a3=:<301:j9:628yv1cl3:1>v38d781`2=:?l>1>874}r5g4?6=:r73a1=?91v:jj:18181c?38o;638e4811<=z{>n:6=4={<5g3?4212738>56s|81094?4|5>n36?;6;<5`f?7?i2wx;k:50;0x92cc2;n<709ib;06=>{t?l=1<773>34=ni79?;|q4b0<72;q6;hk52e5892`d2;?27p}8e983>7}:?lo1>874=6ge>2652z?4ac<5l>16;kj524;8yv1b13:1>v38eg811<=:?o:1;=5rs6d4>5<5s4=m<75638f0844>{t?o21<77b034=mj7<:9:p3`d=838p1:h>:37:?81a:3=;7p}8f883>7}:?o81>i94=923>73>3ty3c4=:<301:h<:628yv1ai3:1>v38f281`2=:09;1>874}r:37?6=:r74=589>3fd=91h0q~9l7;296~;0k00=j638c9811<=z{>ij6=4={<5`=?1134=hn7<:9:p<40=838p15>i:3f4?8>6l38>56s|81;94?4|51:m6?;6;<:24?173ty3=:4?:3y><46=:m=015?j:37:?xu?8h0;6?u2802960?<51;:6:>4}r:2<4`=:<30q~6?b;296~;?98099452800935=z{1;26=4={<:26?4c?273>=4=589~w=6d2909w06>2;06=>;?9:0<<6s|80c94?4|51;86?j8;<:15?4212wx4=j50;0x9=742;?2706>4;53?xu?9k0;6?u280696a1<51896?;6;|q;4`<72;q64<:524;89=722>:0q~6>c;296~;?9<09h:52831960?52z?;50<5=0164=6519c8yv>493:1>v372`81`2=:0:21>874}r:10?6=:r73>l4=589><7d=?91v5==:1818>5j38o;63738811<=z{18>6=4={<:1f?421273>n480:p<65=838p154i38>56s|83494?4|518h6?;6;<:1`?173ty3?94?:3y><7b=:m=015=m:37:?xu?:>0;6?u283f960?<518n6:>4}r:01?6=:r73>h4=d69><6e=:<30q~6=8;296~;?:l09945283d935=z{19=6=4={<:1b?4c?273?i4=589~w=4>2909w06=f;06=>;?;90<<6s|82594?4|519;6?j8;<:0a?4212wx49>50;0x9=572;?2706?8;3;f>{t09>1<73`<51:>6?;6;|q;42<72;q64=85779><5>=:<30q~8k2;291~;11=0<:639e081fa=:>0o1=574=7c3>4>?347?7a:p27}:>081;;526`2960?57z?5=4<0>27=m?4=589>2d2=91301;o9:0:;?80f03;3m639a`821=5l4}r4b7?6=:r7=5n486:?5e1<5=01v;o::18180>j3==708n6;06=>{t>h=1<720<5?k36?;6;|q5e<<72;q6:475779>2de=:<30q~8ne;296~;1110<:639ag811<=z{?h;6=4={<4:3?11347}:>0?1;;5270c960?52z?5=5<0>27<=54=589~w2742909w087f;55?816;38>56s|6dg94?4|5?o:6:84=7dg>7dc3ty=ii4?:3y>2`6=??16:km52cf8yv0c93:18v39e181fa=:>0o1=564=7c3>4>>347?7b:p2`e=838p1;ji:64893`e2;ho7p}9d183>6}:>ml1>oj4=7c3>4>f347?78:p2`d=838p1;jj:64893`f2;ho7p}9cg83>7}:>mo1>oj4=7c1>4>>3ty=j:4?:3y>2`?=??16;=;52cf8yv0cj3:1:v39e881fa=:>h>1=564=7c5>4>>343:1>v39e9842>;08=09ni5rs7fb>5<2s44;3;<>{t>o?1<720<5>:86?lk;|q5`<<72=q6:h952cf893g?2822708na;3;<>;1ik0:455rs7d7>5<5s4b;3;=>;1ij0:45526`d95=?<5?h:6<6n;<4bf?7?12wx:k=50;0x93c22><01:>>:3`g?xu1l>0;68u26d796gb<5>;i6<67;<4bb?7?027=n<4>889>2dd=91k0q~8i2;296~;1m=0<:6380181fa=z{?n=6=49{<4f0?4el27<=n4>899>2g7=91201:?<:0::?816i3;356381982<<=z{?l:6=4={<4f7?11344>?3ty=j=4?:3y>2`4=??16:kk52cf8yv0c<3:1>v39e381fa=:>hh1=5l4}r4fb?6=:r7=hi486:?5b<<5jm1v;j<:18080cl38ih6381482<==:?821=5o4}r4fe?6=:r7=hn486:?5b=<5jm1v;mj:18180ck38ih6381482<<=z{?h=6=4={<4e`?11347}:>oh1;;526b196gb52z?5bd<0>27=o?4=be9~w3da2909w09?5;55?80dl38ih6s|6cg94?4|5>:?6:84=7a`>7dc3ty=ni4?:3y>355=??16:nl52cf8yv0ek3:1>v3803842>;1kh09ni5rs7`a>5<5s4=;=799;<4`=?4el2wx:oo50;0x92672><01;m7:3`g?xu1j00;6?u26gd933=:>j=1>oj4}r4a{t>k81<720<5?i;6?lk;|q443<72;q6:n:5779>2=4=:<30q~9?7;296~;1k:0<:63982811<=z{>:36=4={<4`6?1134<387<:9:p35?=838p1;mk:64893>22;?27p}80`83>7}:>ji1;;52694960?52z?5gg<0>27=4:4=589~w26d2909w08la;55?80?038>56s|71f94?4|5?i26:84=7::>73>3ty<2f>=??16:5o524;8yv17n3:1>v39c6842>;10k09945rs633>5<5s4<01;6k:37:?xu09;0;6?u26b2933=:>1o1>874}r55`?6==r7<>k486:?43f<5jm16;>7519;8925e2823709{t?:21<720<5>926?;6;|q47d<72;q6;?j5779>36d=:<30q~94>?34=??7?7a:?400<60k16;lh519`8yv14m3:1>v3836842>;0;o09945rs663>5<5s4=8:799;<575?4212wx;9<50;0x92522><01::<:37:?xu0<=0;6?u2726933=:?==1>874}r57=?6=:r7486:?40d<5=01v::m:181814:3==709;c;06=>{t?k<1<720<5>h=6?;6;|q4f1<72;q6;>>5779>3g3=:<30q~9m2;296~;0:k0<:638b2811<=z{>kn6=4={<51e?1134=ji7<:9:p3=?=838p1:9l:64892??2;ho7p}88983>7}:?>h1;;5278596gb54z?43g<5jm16;>7519:8925e2822709{t?1=1<720<5>3=6?lk;|q42g<72:q6;:o52cf8925e282j709{t?1<1<720<5>3>6?lk;|q42d<72;q6;:752cf8925c28227p}89383>7}:?1>1;;527`296gb56z?4<1<5jm16;>h519:892262822709;3;3;f>;0<<0:4l527`d95=?52z?4<6<0>27<5k4=be9~w212290>w0973;0a`>;0<80:4l5275195=><5>>>6<66;<5bb?7?02wx;4>50;0x92>52><01:7j:3`g?xu0?=0;69u279096gb<5>>86<66;<571?7?027<8;4>899~w2>a2909w0971;55?81>l38ih6s|76194?0|5>2:6?lk;<5a2?7?127<8:4>899>31g=91301::l:0:b?813>3;356s|79g94?4|5>2;6:84=6;`>7dc3ty<;?4?:4y>3=6=:kn01:l9:0:;?813i3;346384b82<<=:?=<1=5o4}r5;`?6=:r7<;k486:?4=g<5jm1v:9>:185810n38ih638b682<==:?=i1=564=6cf>4>>34=i97?79:?4f6<6001v:6l:181810m3==7096a;0a`>{t?>:1<7;t=65f>7dc34=i;7?79:?4e`<60116;o;519:892d428237p}88c83>7}:?>n1;;5278;96gb52z?43a<5jm16;98519`8yv1?i3:1>v3879842>;01=09ni5rs64f>5<4s4=<47v3876842>;01:09ni5rs64:>5<5s4=<;7:18181>03==70990;0a`>{t?<:1<720<5>?m6?lk;|q40c<72;q6;485779>30c=:kn0q~9;e;296~;01<0<:6385e81fa=z{>?j6=4={<5b4?1134==477}:?0o1;;5277496gb;7>52z?4=a<0>27<:84=be9~w2312909w096c;55?811<38ih6s|74794?4|5>3i6:84=640>7dc3ty<994?:3y>3v3898842>;0>809ni5rs671>5<5s4=28799;<56g?4el2wx;9j50;0x92?42><01:;m:3`g?xu0i80;6?u274d933=:?8n1>874}r5b6?6=:r7<9h486:?45`<5=01v:o<:181812l3==709>f;06=>{t?h>1<720<5>8;6?;6;|q4e0<72;q6;;95779>377=:<30q~9n6;296~;0>?0<:63823811<=z{>k<6=4={<551?1134=9?7<:9:p3d>=838p1:8;:64892432;?27p}8a883>7}:??91;;52737960?52z?427<0>27<>;4=589~w2ge2909w0991;55?815?38>56s|7`a94?4|5>?h6:84=60;>73>3ty30d=??16;?7524;8yv06?3:1>v3932844>;19>09945rs75g>5<5s4<8?7?kc:?560<5=01v5lm:181804;38>5638be842>{t>8<1<7=t=711>26<5?;<6:>4=735>73>3ty=;n4?:2y>264=9mi01;?8:3f4?805<38>56s|8cc94?4|5?996?;6;<5ag?113ty==84?:2y>267=?916:<85719>243=:<30q~88b;297~;1;80:hn5260496a1<5?886?;6;|q;f<<72;q6:>?524;892de2><0q~8=0;297~;1;90<<63914844>;1:909945rs75b>5<4s4<8<7?kc:?550<5l>16:?<524;8yv>e03:1>v3931811<=:?kk1;;5rs73e>5<4s4<8o79?;<414?1734<:j7<:9:p22?=839p1;=l:0f`?805838o;6392d811<=z{1i?6=4={<40g?42127:01;?j:37:?xu1?10;6>u262`95ae<5?;m6?j8;<41`?4212wx4n=50;0x935e2;?2709l4;55?xu19m0;6>u262c935=:>8o1;=5260f960?53z?57d<6lj16:7}:>:k1>874=6a0>2053z?57<<0827==i480:?55f<5=01v;99:18080413;oo6391e81`2=:>;h1>874}r:`5?6=:r7=?44=589>3f4=??1v;?m:18080403=;708>c;53?806j38>56s|66794?5|5?936l4=589~w=e72909w08<8;06=>;0k80<:6s|60c94?5|5?9<6:>4=73a>26<5?;j6?;6;|q531<72:q6:>951ea8937e2;n<708=9;06=>{t0kl1<773>34=h<799;|q55<<72:q6:>85719>24g=?916:<7524;8yv00;3:1?v393782`f=:>8k1>i94=70;>73>3ty3nh4?:3y>260=:<301:li:648yv0603:1?v3934844>;1900<<63919811<=z{?=96=4<{<401?7ck27==44=d69>271=:<30q~6md;296~;1;<0994527cg933=z{?;?6=4<{<400?1734<:479?;<420?4212wx::?50;1x935328nh708>8;0g3>;1:?09945rs9``>5<5s4<887<:9:?4f<<0>2wx::>50;1x934a28nh708>4;0g3>;1:809945rs9`4>5<5s4<9j7<:9:?4f=<0>2wx:9>50;0x933d2>:01;:?:37:?xu1>o0;6?u264a95ae<5?>n6?;6;|q;g<<72;q6:8m524;89=b>2><0q~8;1;o09945rs74f>5<4s4<>n7?kc:?505<5l>16:9j524;8yv>d03:1>v395c811<=:0m21;;5rs71f>5<4s4<>m79?;<40b?1734<8i7<:9:p23b=839p1;;n:0f`?804n38o;6394b811<=z{1i<6=4={<46e?421273h:486:p21?=839p1;;6:628935b2>:01;:6:37:?xu1>j0;6>u264;95ae<5?9n6?j8;<47f?4212wx4n850;0x933>2;?2706k6;55?xu1<10;6>u2677935=:>=31;=5265:960?53z?520<6lj16:9752e5893302;?27p}7d383>7}:>??1>874=9g2>2053z?521<0827=85480:?502<5=01v;8n:180801<3;oo6394981`2=:><<1>874}r:g5?6=:r7=:94=589><`6=??1v;:9:180801;3=;708;7;53?803>38>56s|67;94?5|5?<86;?lo0<:6s|65794?5|5?<96:>4=765>26<5?>>6?;6;|q52=<72:q6:;<51ea893212;n<708:4;06=>{t0jl1<773>342oi799;|q501<72:q6:;?5719>213=?916:9:524;8yv01?3:1?v396082`f=:>=?1>i94=770>73>3ty3oh4?:3y>237=:<3015jk:648yv03;3:1?v3961844>;1<=0<<63942811<=z{?<=6=4<{<454?7ck27=894=d69>204=:<30q~6ld;296~;1>90994528ea933=z{?>96=4<{<46b?1734;1=809945rs9a`>5<5s4<>j7<:9:?;`g<0>2wx:9?50;1x933b2>:01;:=:62893262;?27p}98183>6}:>7b034<><7<:9:pci3==7p}93e83>6}:>:n1>874}r44b?6=;r7=9i4>db9>217=:m=01;:i:37:?xu?kh0;6?u264f960?<51n>6:84}r44a?6=;r7=954>db9>26b=:m=01;:n:37:?xu?k<0;6?u264:960?<51n?6:84}rc02?6==r72>44>899>=7?=9130146<:0:;?8??;3;3563m63826<=z{h2>6=4;1z?:6<<5l>1655=52e589<2e2;n<707n5;0g3>;>=>09h:529c396a1<50?j6?j8;<;a0?4c?2729o4=d69>=g3=:m=01l67:3`g?8?f;38o;6364881`2=:1h>1>i94=86b>7b0343jj7165oo52e589<072;n<707mc;0g3>;>>;09h:529cf96a1<50<86?j8;<;aa?4c?272:94=d69>=g`=:m=0148::3f4?8?d838o;6366781`2=:1j;1>i94=844>7b0343j:7165l952e589<2c2;n<707n8;0g3>;>m6?j8;<;be?4c?2729=4=d69>=dd=:m=014;>:3f4?8?fk38o;6365381`2=:1hn1>i94=870>7b0343ji7165o>52e589<312;n<707m2;0g3>;>=109h:529c196a1<50?26?j8;<;a2?4c?2729n4=d69>=g1=:m=014;k:3f4?8?e038o;6365d81`2=:1k31>i94=87e>7b0343in71v4<6:1818?5138>56360882<<=z{0286=4={<;;7?421272<44>899~wd>12909=v360881`2=:19i1>i94=83;>7b0343:n716m5752cf89<6f2;n<707?b;0g3>;>9?09h:5293396a1<50886?j8;<;10?4c?272>84=d69>=70=:m=014<8:3f4?8?5038o;6360e81`2=:19o1>i94=82e>7b0343:<7165<<52e589<742;n<707>4;0g3>;>9<09h:5290596a1<50;26?j8;<;2e?4c?272=i4=d69>=4c=:m=014?i:3f4?8?5838o;6362381`2=z{h?;6=4:{<;7f?7?02728o4>889>=d3=912014o::0::?8d1l3;956s|93a94?4|50>i6?;6;<;3g?7?12wx55850;0x9{ti>n1<7;t=874>4>?343>;7?79:?:f4<601165o?519;89g1028827p}63983>7}:1<=1>874=83;>4>>3ty25?4?:3y>=g7=:<3014?7:0:;?xuf9?0;68u294c95=><50?j6<66;<;a0?7?0272n94>889>f2>=9;30q~7=h09945290`95=?52z?:f1<5=01654>?343i97?79:?a3<<6:01v4=l:1818?2j38>56361b82<<=z{03=6=4={<;a1?421272=n4>899~wg1a290:=v3n8885b>;f010=j63n8685b>;?n10:4o528g595=d<51l=6<6m;<:e1?7?j273j94>8c9>a93;3n63m81811<=:j?81=:74=c4g>41>34h<;7?89:?a3=<6?016n:7516;8yvg?<3:1:iu2a9596gb<50n>6<67;<;46?7?0272:54>899>=fe=912014k?:0:;?8?a03;3463n0382<==:1>k1=564=8g:>4>?343m<7?78:?:b2<601165k;519:89d6f28237078e;3;<>;>?m0:45529g495=><50i96<67;899>=2`=912014m<:0:;?8?d<3;34636c682<==:1j?1=564=`2e>4>?3433=7?78:?:<5<601165n8519:890;3;<>;f980:455299095=><50i26<67;<;`e?7?0272oh4>899>=fd=91201l?=:0:;?8?a13;346366882<==:1jn1=564=8ae>4>?343o<7?78:?:2d<601165kl519:89<0e2823707ia;3;<>;>l:0:45529e395=><50n96<67;<;eg?7?0272:n4>899>=a2=912014j9:0:;?8?c?3;346366e82<==:1oo1=564=84f>4>?343mh7?78:?:`d<601165i6519:892823707if;3;<>;>>o0:45529e`95=><50nh6<67;<;g`?7?0272;=4>899>e57=9120149>:0:;?8g783;34636e082<==:1mo1=564=8fe>4>?34k;?7?78:?:36<601165h<519:89;>?=0:4552a1795=><5h:?6<67;<;41?7?0272i:4>899>=`3=912014k9:0:;?8g7>3;346367782<==:1l21=564=8gb>4>?343nn7?78:?:32<60116m=6519:89<1?282370o?7;3;<>;>ml0:45529da95=><50oo6<67;899>=``=912014h>:0:;?8?a:3;346367c82<==:i9i1=564=85`>4>?34k;n7?78:?:b6<601165k:519:8yv43m3:1>v38b6811<=:?k<1=5o4}r578c9>311=91301::n:0:b?813k3;3n63844811<=z{;>m6=4={<52g?42127<=o4>8`9~w3gc290>w09>b;3;f>;1ij0:44526`d95=g<5?h:6<6m;<4be?4212wx5=>50;6x9=`72;?270l95;34<>;e>l0:;552b64952>54z?;ac<5=016n;:516:89g0d28=370l85;34<>{t0oo1<7:t=9gf>73>34h=?7?88:?a2g<6?116n::516:8yv>al3:18v37ee811<=:j>o1=:64=c4b>41?34hp15kl:37:?8d0l3;<463m68823==:j>81=:64}r:ef?6=f2e=9>201o87:05;?8d093;<46s|8gc94?2|51oj6?;6;<`4f?70027i::4>799>f26=9>20q~6i9;290~;?m0099452b6c952><5k<=6<97;<`5b?7002wx=k850;0x92d72;?2709ne;3;e>{t?k;1<7:t=6cf>4>e34=i97?7a:?4f6<60k16;lh524;8yv7a?3:1>v3814811<=:?891=5o4}r522?6=4>8c9>34g=91k01:?7:0:a?816<38>56s|91:94?2|51l36?;6;<`51?70127i:h4>789>f20=9>30q~7?7;290~;?n>099452b76952?<5k;e>k0:;452b66952?54z?;b0<5=016n:k516;89g0f28=270l83;34=>{t19>1<7:t=9d7>73>34hi1=:74=c4;>41>34h<=7?89:p=54=83>p15h=:37:?8d0j3;<563m66823<=:j>:1=:74}r;35?6=f2g=9>301o89:05:?8d1n3;<56s|8d194?4|5k2;6<6n;<:f1?4el2wx><=50;0x92ga282j709;6;3g`>{t:8<1<74>f346<6n;<`4`?73=2wxm:?50;0x9{ti0:1<74>>34h=87?;6:pe{ti021<74>f34h=97?;5:pe0d=838p1487:37:?8?313;356s|b4794?4|50ih6<66;<`4a?73=2wxn8k50;0x9{ti?<1<773>343?57?78:pf00=838p14k?:0::?8d0m3;?:6s|b4d94?4|50o;6<6n;<`57?73>2wxm<750;0x9{tj:;1<74>>34h<50;0x9d65282270l8a;372>{tj:h1<74>f34h=:37:?8?f<3;346s|a8394?4|50=j6<66;<`50?73?2wxm4o50;0x9<1f282j70l95;373>{ti;l1<773>343>97?79:pf12=838p14k6:0::?8d0k3;?:6s|b5f94?4|50o26<6n;<`4`?73>2wxm?=50;0x92;?2707n4;3;=>{tj<=1<74>>34h{tj=l1<74>f34h6<66;<`4g?73?2wxn9k50;0x9<`2282j70l8d;373>{ti:;1<773>343jj7?79:pf65=838p1l>n:0::?8d0i3;?;6s|b2a94?4|5h:j6<6n;<`4f?73?2wxm><50;0x9d6f2;?2707nf;3;<>{tim81<74>>34h=o7?=9:pead=838p149j:0:b?8d1m3;956s|a2:94?4|50=n6?;6;<;56?7?12wxm4<50;0x9<1c282270l94;364>{ti0h1<74>f34h=97?:0:pe65=838p149k:37:?8?183;356s|b4:94?4|50l=6<66;<`4a?7282wxn;?50;0x9<`1282j70l93;364>{ti:>1<773>343=<7?78:pef6=838p14m=:0::?8d1i3;956s|ab;94?4|50i96<6n;<`5f?7512wxm>750;0x9{tj:>1<74>>34hk:0:b?8d0j3;><6s|a2594?4|5h:o6?;6;<;ae?7?02wxm4m50;0x9d6b282270l96;31=>{tih?1<74>f34h=;7?=9:pe6d=838p1l>j:37:?8?ek3;346s|ae194?4|50=m6<66;<`5g?74<2wxmim50;0x9<1a282j70l9e;300>{ti:i1<773>343=?7?79:pedc=838p14m<:0::?8d103;956s|ac594?4|50i86<6n;<`5=?7512wxm>o50;0x9{tij;1<74>>34h=m7?<4:pefg=838p14m;:0:b?8d1j3;886s|a2f94?4|50i?6?;6;<;57?7?02wxmo>50;0x9{tik31<74>f34h=57?6<66;<`5{ti:o1<773>343ih7?79:pei:0::?8d1>3;886s|a`494?4|5h:m6<6n;<`53?74<2wxm>h50;0x9d6a2;?2707md;3;<>{tim?1<74>>34h=o7?;3:peac=838p146>:0:b?8d1m3;??6s|a5694?4|502:6?;6;<;51?7?12wxmi:50;0x9<>7282270l9c;30b>{timn1<74>f34h=i7?{ti=;1<773>343=87?78:pef5=838p14m7:0::?8d1i3;??6s|aba94?4|50i36<6n;<`5f?73;2wxm9;50;0x9{ti0o1<74>>34h=:7?{tih21<74>f34h=;7?;3:pe11=838p1l?>:37:?8?en3;346s|ae494?4|50296<66;<`5g?73<2wxmih50;0x9<>5282j70l9e;370>{ti=21<773>343=:7?79:peg7=838p14m6:0::?8d103;??6s|acc94?4|50i26<6n;<`5=?73;2wxm9850;0x92;?2707mf;3;=>{tij>1<74>>34h=m7?;4:pefb=838p14mn:0:b?8d1j3;?86s|a5;94?4|50ij6?;6;<;52?7?02wxmo=50;0x9{tiki1<74>f34h=57?;5:pe1c=838p14mj:37:?8?d93;356s|ac094?4|50ii6<66;<`5{ti=k1<773>343h<7?79:ped6=838p1l?=:0::?8d1>3;?86s|a`;94?4|5h;96<6n;<`53?73<2wxm9l50;0x9d752;?2707l0;3;<>{tih;1<74>>34h=:7?;5:pedg=838p14h6:0:b?8d1?3;?96s|a5d94?4|50l26?;6;<;`5?7?02wxmi950;0x9<0>282270l9c;371>{til:1<74>f34h=i7?;5:pe1e=838p1486:37:?8?1?3;356s|ab794?4|50io6<66;<`5e?73=2wxmnk50;0x9{ti=n1<773>343=;7?78:pef0=838p14mi:0::?8d1i3;?:6s|abd94?4|50im6<6n;<`5f?73>2wxm8<50;0x9{tik>1<74>>34h=47?;6:pegb=838p14j?:0:b?8d113;?:6s|a4194?4|50n;6?;6;<;b2?7?12wxmi650;0x9<0f282270l9c;372>{til;1<74>f34h=i7?;6:pe07=838p148n:37:?8?3k3;356s|a`194?4|50li6<66;<`52?73?2wxmlm50;0x9<`e282j70l97;373>{ti<21<773>343j;7?78:pea?=838p148m:0::?8d1k3;?;6s|ad094?4|50{tih81<74>>34h=:7?;6:pedd=838p14hn:0:b?8d1?3;?:6s|a4694?4|50lj6?;6;<;b2?7?02wxmn650;0x9{tim;1<74>f34h=n7?:0:pe0g=838p14j<:37:?8?3m3;346s|ab594?4|50n:6<66;<`5e?73?2wxmi>50;0x9{ti<<1<773>343?h7?78:peg3=838p14j=:0::?8d103;?;6s|acg94?4|50n96<6n;<`5=?73?2wxm8950;0x9{tih>1<74>>34h=:7?:0:pedb=838p14hl:0:b?8d1?3;><6s|a4f94?4|50lh6?;6;<;b{til91<74>f34h=i7?:0:pe0?=838p148l:37:?8?3m3;356s|ac494?4|50n?6<66;<`5{ti73>343j47?79:pf5>=838p14j9:0::?8d0;3;956s|b0394?4|50n=6<6n;<`40?7512wxm8h50;0x9{tio<1<74>>34h<=7?=9:pec`=838p14j8:0:b?8d0:3;956s|a7294?4|50n<6?;6;<;b=?7?12wxn{tj;91<74>f34h<:7?=9:pe0c=838p148k:37:?8?3n3;356s|ad794?4|50ln6<66;<`5b?74<2wxmhk50;0x9<`b282j70l80;300>{ti??1<773>343jm7?78:pf4d=838p148j:0::?8d0=3;886s|b3694?4|50{til>1<74>>34h=j7?=9:pe`b=838p14hk:0:b?8d083;956s|a7394?4|50lo6?;6;<;b=?7?02wxn=o50;0x9{tj891<74>f34h<87?=838p14jn:37:?8?293;346s|b1;94?4|50n36<66;<`47?74<2wxn<<50;0x9{ti?91<773>343><7?78:pec1=838p14j6:0::?8d093;886s|b1294?4|50n26<6n;<`46?74<2wxm;:50;0x92;?2707na;3;=>{til<1<74>>34h=j7?{tj;?1<74>f34h<:7?{ti?31<773>343jn7?79:pf5d=838p14jl:0::?8d0;3;??6s|b0694?4|50nh6<6n;<`40?73;2wxm;m50;0x9{tio31<74>>34h<=7?;3:pf54=838p14jk:0:b?8d0:3;??6s|a7f94?4|50no6?;6;<;bg?7?12wxn{tj;<1<74>f34h<:7?;3:pe3d=838p149?:37:?8?2:3;356s|ad:94?4|5h::6<66;<`5b?73<2wxmk?50;0x9d66282j70l80;370>{ti>91<773>343jh7?78:pf4c=838p149>:0::?8d0=3;?86s|b3594?4|50=:6<6n;<`42?73<2wxm;h50;0x9<162;?2707:3;3;=>{til=1<74>>34h=j7?;3:pec6=838p1l>?:0:b?8d083;??6s|a7g94?4|5h:;6?;6;<;bg?7?02wxn=j50;0x9{tj8<1<74>f34h<87?;5:pe23=838p14k>:37:?8?2<3;346s|b1a94?4|50nn6<66;<`47?73<2wxn<;50;0x9{ti>:1<773>343>?7?78:pecg=838p14ji:0::?8d093;?86s|b1194?4|50nm6<6n;<`46?73<2wxm:<50;0x9{til31<74>>34h=j7?;5:pec4=838p1l><:0:b?8d083;?96s|a6594?4|5h:86?;6;<;ba?7?02wxn{tj;21<74>f34h<:7?;5:pe22=838p149<:37:?8?2<3;356s|ag`94?4|50o96<66;<`45?73=2wxn=:50;0x9{ti><1<773>343ji7?79:pf5c=838p14k<:0::?8d0;3;?:6s|b0594?4|50o86<6n;<`40?73>2wxm:750;0x9{tioi1<74>>34h<=7?;6:pf53=838p14k;:0:b?8d0:3;?:6s|a6c94?4|50o?6?;6;<;a4?7?12wxn?>50;0x9<13282270l85;372>{tj;31<74>f34h<:7?;6:pe2>=838p149;:37:?8?2>3;356s|ad`94?4|5h:>6<66;<`5b?73?2wxmk:50;0x9d62282j70l80;373>{ti1;1<773>343i>7?78:pe`g=838p1l>;:0::?8d1n3;?:6s|ag194?4|5h:?6<6n;<`44?73>2wxm:l50;0x9d632;?2707m0;3;<>{tj;;1<74>>34h<97?;7:pf7g=838p149::0:b?8d0>3;?;6s|a6g94?4|50=>6?;6;<;650;0x9{tj831<74>f34h<87?:0:pe=5=838p14k8:37:?8?213;346s|b1d94?4|50o>6<66;<`47?73?2wxn<650;0x9{ti>l1<773>343>47?78:pecb=838p14k9:0::?8d093;?;6s|b1494?4|50o=6<6n;<`46?73?2wxm5>50;0x9{tili1<74>>34h=j7?:0:pec3=838p1l>9:0:b?8d083;><6s|a0794?4|5h:=6?;6;<;a7?7?02wxn?<50;0x9<11282270l85;364>{tj;h1<74>f34h<:7?:0:pe=4=838p1499:37:?8?213;356s|agg94?4|50o36<66;<`45?7282wxn=950;0x9{ti8>1<773>343i?7?79:pf06=838p14kn:0::?8d0m3;956s|b4;94?4|50oj6<6n;<`57?7512wxm{tj:o1<74>>34h{ti091<74>f34h=97?=9:pe4>=838p1498:37:?8?2k3;356s|b3f94?4|5h:36<66;<`4e?74<2wxn>850;0x9d6?282j70l8b;300>{ti;:1<773>343i;7?78:pe=d=838p1497:0::?8d1<3;886s|a8694?4|50=36<6n;<`51?74<2wxm{tj;i1<74>>34h8:0:b?8d0j3;956s|a0a94?4|5h:<6?;6;<;a2?7?02wxn8<50;0x9{tj4>f34h=?7?{ti8o1<773>343>h7?78:pf6`=838p14kk:0::?8d0k3;886s|b5:94?4|50oo6<6n;<`4`?74<2wxm{tj;o1<74>>34h6:0:b?8d0j3;8j6s|a3794?4|5h:26?;6;<;a282270l94;30b>{ti0?1<74>f34h=97?{ti;>1<773>343i47?79:pf05=838p14h>:0::?8d0m3;??6s|b4a94?4|50l:6<6n;<`57?73;2wxm?950;0x9<`62;?2707:f;3;<>{tj=;1<74>>34h{ti0<1<74>f34h=97?;3:pe70=838p149m:37:?8?2n3;356s|b2294?4|5h:h6<66;<`4e?73<2wxn>750;0x9d6d282j70l8b;370>{ti;n1<773>343in7?78:pe=c=838p149l:0::?8d1<3;?86s|a8594?4|50=h6<6n;<`51?73<2wxm?o50;0x9<1d2;?270791;3;=>{tj;l1<74>>34h=838p1l>m:0:b?8d0j3;??6s|a3;94?4|5h:i6?;6;<;a=?7?02wxn8:50;0x9<`4282270l8e;370>{tj4>f34h=?7?;4:pe7d=838p14h<:37:?8?193;346s|b5094?4|50l?6<66;<`4g?73<2wxn9l50;0x9<`3282j70l8d;370>{ti;i1<773>343in7?79:p==2=838p14o<:37:?8?7i3;346s|93c94?4|50>26?;6;<;3e?7?12wx55h50;0x9{t1:?1<773>343;n7?79:p=3;346s|95294?4|50?>6?;6;<;22?7?12wx54j50;0x9{t1=91<773>3439=7?79:p={t1=?1<773>343987?79:p=d6=838p14lj:37:?8?5=3;346s|95494?4|50{t1==1<773>3439:7?79:p=d4=838p14m?:37:?8?5?3;346s|95:94?4|50<=6?;6;<;13?7?12wx55;50;0x9{t1;h1<773>343947?79:p==1=838p14o9:37:?8?7l3;346s|93f94?4|50>h6?;6;<;3`?7?12wx55650;0x9{t1;o1<773>343;i7?79:p==?=838p14o7:37:?8?7n3;346s|93d94?4|50>n6?;6;<;3b?7?12wx55o50;0x92;?2707>0;3;<>{t1::1<773>343:<7?79:p==d=838p14on:37:?8?693;346s|92394?4|50?;6?;6;<;25?7?12wx55m50;0x92;3;<>{t1:81<773>343:>7?79:p==b=838p14ol:37:?8?6;3;346s|92194?4|50?96?;6;<;27?7?12wx55k50;0x94;3;<>{t1:>1<773>343:87?79:p=<6=838p14oj:37:?8?6=3;346s|92494?4|50??6?;6;<;21?7?12wx54?50;0x97;3;<>{t1:=1<773>343:;7?79:p=<5=838p14l=:37:?8?613;346s|92;94?4|50?36?;6;<;2=?7?12wx54:50;0x9a;3;<>{t1:k1<773>343:m7?79:p=<1=838p14l9:37:?8?6l3;346s|92f94?4|50?h6?;6;<;2`?7?12wx54650;0x9e;3;<>{t1:o1<773>343:i7?79:p=2;?2707=0;3;<>{t1=;1<773>3439<7?79:p=>l:182>5<7s-8oj7vPl519>6`6=:m;0q~==6;2954}Y;;20Rn;<;_12`>X49j1U?95Q3318Z6453W99=6P<219]74`9:\05==:;9h1=5;4}r120?6=:rT8=9522d2923=z{j?96=4={_a66>;5m909h;5rsb74>5<5sWi>;63=e181b3=z{=;>6=4={_621>;5m90:;:5rsb55>5<5sWi<:63=e18042=z{j?>6=4={_a61>;5m909i<5rs230>5<5sW9:?63=e1851>{tk:81<7{tk<<1<7{tj181<7{tjmk1<7{tk?k1<7{tk?21<7{tk>>1<7{tk>81<7{tk>:1<7{tk?o1<7{tk?=1<7{t<9k1<7{t<9=1<7{t<9?1<770{t<991<7{t<9;1<7{t;ol1<7{t;oi1<7i6s|3g`94?4|V:nh70{t;ok1<7o6s|3g;94?4|V:nj70{t;o21<7m6s|3g594?4|V:n370{t;o<1<746s|3g794?4|V:n=70{t;o>1<7:6s|40194?4|V:l970{t<881<7{t<8:1<76s|41d94?4|V:on70{t<9o1<7{t<931<7{t;o91<796s|c5494?4|Vj9370{tk=>1<7{tk=81<7;70{tk=o1<7{tk=i1<7{tk=k1<7{tk=21<7{tk=;1<76s|bc194?4|Vkk>70{tjk;1<7{tjhl1<76s|bca94?4|Vkko70{tjkh1<7{tjk31<7{tjk=1<7{tjk?1<7{tjho1<7h>5f09~w6e12909wS=n7:?1a5`c52z\0e1=::l:1ii5rs2a1>5<5sW9j?63=e18fg>{t;j;1<77}Y;h;01?k?:dc8yv5en3:1>vP6`6=m01v>lj:181[5>n279i=4j8:p7ge=838pR>7k;<0f4?c13ty8no4?:3y]7;5m90n?6s|3c:94?4|V:32700;6?uQ38:897c72l;0q~=m6;296~X41>16>h>5e19~w6d22909wS=66:?1a5ab7>52z\0f4=::l:1jn5rs2f2>5<5sW9i<63=e18ef>{t;m:1<77}Y;ho01?k?:g;8yv5dm3:1>vP6`6=n11v>mk:181[5fk279i=4i7:p7fe=838pR>o6;<0f4?`13ty8o54?:3y]7522d29a2=z{:h96=4={_1:6>;5m90oo6s|c3:94?4|Vj;j70{tk;<1<7{tk;>1<7{tk::1<7{tk;o1<7{tk;i1<7{tk;k1<7{tk;91<7{tk931<76s|c1:94?4|Vkli70{tk9=1<770{tk891<7{tk8;1<7{tk9l1<7{tk9n1<7{tk9<1<7{tjli1<7{tjlk1<7{tjo<1<7{tjo>1<7{tjo81<7{tjo:1<7{tjl31<7{tjjo1<7{tjji1<7{tjm21<7{tjm<1<7{tjm>1<7{tjm81<7{tjjh1<7{tj0>1<7{tj081<7{tj0o1<7{tj0i1<7{tj0k1<7{tj021<7{tj0;1<76s|23d94?7|5::h6?;l;|p7fd=838pR>om;<4;>6ge3-8n>7<:0:p7f?=838pR>on;<4;>6gf3-8n>7<:1:p7f1=838pR>o7;<4;>6g?3-8n>7<:2:p7f0=838pR>o8;<4;>6g03-8n>7<:3:p7f3=838pR>o9;<4;>6g13-8n>7<:4:p7f2=838pR>o:;<4;>6g23-8n>7?jb:p7f5=838pR>o;;<4;>6g33-8n>7?jc:p7f4=838pR>o<;<4;>6g43-8n>7?jd:p7f7=838pR>o=;<4;>6g53-8n>7?i4:p7f6=838pR>o>;<4;>6g63-8n>7?i8:p7g`=838pR>o?;<4;>6g73-8n>7?ie:p7gc=838pR>7i;<4;>6?a3-8n>77k;<4;>6?c3-8n>7<>4:p7gd=838pR>7l;<4;>6?d3-8n>7<>e:p7gg=838pR>7m;<4;>6?e3-8n>7<=6:p7g?=838pR>7n;<4;>6?f3-8n>7<<0:p7g>=838pR>76;<4;>6?>3-8n>7<77;<4;>6??3-8n>7<78;<4;>6?03-8n>7<;0:p7g3=838pR>79;<4;>6?13-8n>7<;1:p7g2=838pR>7:;<4;>6?23-8n>7<;2:p7g5=838pR>7;;<4;>6?33-8n>7<;3:p7a4=838pR>l>;<4;>6d63-8n>7<;4:p7a7=838pR>l?;<4;>6d73-8n>7<;5:p7a6=838pR>oi;<4;>6ga3-8n>7<;6:p7f`=838pR>oj;<4;>6gb3-8n>7<;7:p7fc=838pR>ok;<4;>6gc3-8n>7<;8:p7fb=838pR>ol;<4;>6gd3-8n>7<;9:p7fe=838pR>o6;<4;>6g>3-8n>7<;a:p7f>=838pR>7j;<4;>6?b3-8n>7<;b:p7gb=838pR>7<;<4;>6?43-8n>7<;c:p7g4=838pR>7=;<4;>6?53-8n>7<;d:pg64=838pRn==;<4;>f553-8n>7?je:pg06=838pRn;?;<4;>f373-8n>7?jf:pg04=838pRn;=;<4;>f353-8n>7?i0:pg0>=838pRn;7;<4;>f3?3-8n>7?i1:pg20=838pRn99;<4;>f113-8n>7?i2:p745=838pR>?<;<4;>6743-8n>7?i3:p742=838pR>?;;<4;>6733-8n>7?i5:p05e=838pR>kl;<4;>6cd3-8n>7?i9:p05g=838pR>km;<4;>6ce3-8n>7?ia:p05>=838pR>k6;<4;>6c>3-8n>7?ib:p051=838pR>k7;<4;>6c?3-8n>7?ic:p050=838pR>k8;<4;>6c03-8n>7?id:p053=838pR>k9;<4;>6c13-8n>7?if:p052=838pR>k:;<4;>6c23-8n>7k;;<4;>6c33-8n>7k<;<4;>6c43-8n>7k=;<4;>6c53-8n>7k>;<4;>6c63-8n>7k?;<4;>6c73-8n>7jj;<4;>6bb3-8n>7jk;<4;>6bc3-8n>7jl;<4;>6bd3-8n>7jm;<4;>6be3-8n>7jn;<4;>6bf3-8n>7=838pR>j6;<4;>6b>3-8n>7j7;<4;>6b?3-8n>7j8;<4;>6b03-8n>7j9;<4;>6b13-8n>7j:;<4;>6b23-8n>7<>0:p045=838pR>h=;<4;>6`53-8n>7<>1:p044=838pR>h>;<4;>6`63-8n>7<>2:p047=838pR>h?;<4;>6`73-8n>7<>3:p046=838pR>ki;<4;>6ca3-8n>7<>5:p05`=838pR>kj;<4;>6cb3-8n>7<>6:p05c=838pR>kk;<4;>6cc3-8n>7<>7:p05b=838pR>kn;<4;>6cf3-8n>7<>8:p05?=838pR>ji;<4;>6ba3-8n>7<>9:p7cc=838pR>j;;<4;>6b33-8n>7<>a:p7c5=838pR>j<;<4;>6b43-8n>7<>b:p043=838pR9?:;<4;>1723-8n>7<>c:p04g=838pR9?n;<4;>17f3-8n>7<>d:pg10=838pRn=7;<4;>f5?3-8n>7<>f:pg12=838pRn=8;<4;>f503-8n>7<=0:pg15=838pRn=9;<4;>f513-8n>7<=1:pg14=838pRn=:;<4;>f523-8n>7<=2:pg1`=838pRn:?;<4;>f273-8n>7<=3:pg1c=838pRn=i;<4;>f5a3-8n>7<=4:pg1b=838pRn=j;<4;>f5b3-8n>7<=5:pg1e=838pRn=k;<4;>f5c3-8n>7<=7:pg1d=838pRn=l;<4;>f5d3-8n>7<=8:pg1g=838pRn=m;<4;>f5e3-8n>7<=9:pg1?=838pRn=n;<4;>f5f3-8n>7<=a:pg1>=838pRn=6;<4;>f5>3-8n>7<=b:pg11=838pRn=;;<4;>f533-8n>7<=c:pg17=838pRn=<;<4;>f543-8n>7<=d:pg3e=838pRn;j;<4;>f3b3-8n>7<=e:pg3g=838pRn;k;<4;>f3c3-8n>7<<1:pg3?=838pRn;l;<4;>f3d3-8n>7<<2:pg3>=838pRn;m;<4;>f3e3-8n>7<<3:pg23=838pRn89;<4;>f013-8n>7<<4:pg22=838pRn8:;<4;>f023-8n>7<<5:pg25=838pRn8;;<4;>f033-8n>7<<6:pg24=838pRn8<;<4;>f043-8n>7<<7:pg27=838pRn8=;<4;>f053-8n>7<<8:pg26=838pRn8>;<4;>f063-8n>7<<9:pg3`=838pRn8?;<4;>f073-8n>7<f3a3-8n>7<f3f3-8n>7<f3>3-8n>7<3:1?vF=e29~j670?3:1?vF=e29~j67003:1?vF=e29~j67013:1?vF=e29~j670i3:1?vF=e29~j670j3:1?vF=e29~j670k3:1?vF=e29~j670l3:1?vF=e29~j670m3:1?vF=e29~j670n3:1?vF=e29~j67?83:1?vF=e29~j67?93:1?vF=e29~j67?:3:1?vF=e29~j67?;3:1?vF=e29~j67?<3:1?vF=e29~j67?=3:1?vF=e29~j67?>3:1?vF=e29~j67??3:1?vF=e29~j67?03:1?vF=e29~j67?13:1?vF=e29~j67?i3:1?vF=e29~j67?j3:1?vF=e29~j67?k3:1?vF=e29~j67?l3:1?vF=e29~j67?m3:1?vF=e29~j67?n3:1?vF=e29~j67>83:1?vF=e29~j67>93:1?vF=e29~j67>:3:1?vF=e29~j67>;3:1?vF=e29~j67><3:1?vF=e29~j67>=3:1?vF=e29~j67>>3:1?vF=e29~j67>?3:1?vF=e29~j67>03:1?vF=e29~j67>13:1?vF=e29~j67>i3:1?vF=e29~j67>j3:1?vF=e29~j67>k3:1?vF=e29~j67>l3:1?vF=e29~j67>m3:1?vF=e29~j67>n3:1?vF=e29~j67f83:1?vF=e29~j67f93:1?vF=e29~j67f:3:1?vF=e29~j67f;3:1?vF=e29~j67f<3:1?vF=e29~j67f=3:1?vF=e29~j67f>3:1?vF=e29~j67f?3:1?vF=e29~j67f03:1?vF=e29~j67f13:1?vF=e29~j67fi3:1?vF=e29~j67fj3:1?vF=e29~j67fk3:1?vF=e29~j67fl3:1?vF=e29~j67fm3:1?vF=e29~j67fn3:1?vF=e29~j67e83:1?vF=e29~j67e93:1?vF=e29~j67e:3:1?vF=e29~j67e;3:1?vF=e29~j67e<3:1?vF=e29~j67e=3:1?vF=e29~j67e>3:1?vF=e29~j67e?3:1?vF=e29~j67e03:1?vF=e29~j67e13:1?vF=e29~j67ei3:1?vF=e29~j67ej3:1?vF=e29~j67ek3:1?vF=e29~j67el3:1?vF=e29~j67em3:1?vF=e29~j67en3:1?vF=e29~j67d83:1?vF=e29~j67d93:1?vF=e29~j67d:3:1?vF=e29~j67d;3:1?vF=e29~j67d<3:1?vF=e29~j67d=3:1?vF=e29~j67d>3:1?vF=e29~j67d?3:1?vF=e29~j67d03:1?vF=e29~j67d13:1?vF=e29~j67di3:1?vF=e29~j67dj3:1?vF=e29~j67dk3:1?vF=e29~j67dl3:1?vF=e29~j67dm3:1?vF=e29~j67dn3:1?vF=e29~j67c83:1?vF=e29~j67c93:1?vF=e29~j67c:3:1?vF=e29~j67c;3:1?vF=e29~j67c<3:1?vF=e29~j67c=3:1?vF=e29~j67c>3:1?vF=e29~j67c?3:1?vF=e29~j67c03:1?vF=e29~j67c13:1?vF=e29~j66e=3:1=vF=e29~j66em3:1;vF=e29~j67783:1=vF=e29~j67793:1=vF=e29~j677:3:1=vF=e29~j677;3:1=vF=e29~j677<3:1=vF=e29~j677=3:1=vF=e29~j677>3:1=vF=e29~j677?3:1=vF=e29~j67703:1=vF=e29~j67713:1=vF=e29~j677i3:1=vF=e29~j677j3:1=vF=e29~j677k3:1=vF=e29~j677l3:1=vF=e29~j675m3:1=vF=e29~j675n3:1=vF=e29~j67483:1=vF=e29~j67493:1=vF=e29~j674:3:1=vF=e29~j674;3:1=vF=e29~j674<3:1=vF=e29~j674=3:1=vF=e29~j674>3:1=vF=e29~j674?3:1=vF=e29~j67403:1=vF=e29~j67413:1=vF=e29~j674i3:1=vF=e29~j674j3:1=vF=e29~j674k3:1=vF=e29~j674l3:1=vF=e29~j674m3:1=vF=e29~j674n3:1=vF=e29~j67383:1=vF=e29~j67393:1=vF=e29~j673:3:1=vF=e29~j673;3:1=vF=e29~j673<3:1=vF=e29~j673=3:1=vF=e29~j673>3:1=vF=e29~j673?3:1=vF=e29~j67303:1=vF=e29~j67313:1=vF=e29~j673i3:1=vF=e29~j673j3:1=vF=e29~j673k3:1=vF=e29~j673l3:1=vF=e29~j673m3:1=vF=e29~j673n3:1=vF=e29~j67283:1=vF=e29~j67293:1=vF=e29~j672:3:1=vF=e29~j672;3:1=vF=e29~j672<3:1=vF=e29~j672=3:1=vF=e29~j672>3:1=vF=e29~j672?3:1=vF=e29~j67203:1=vF=e29~j67213:1=vF=e29~j672i3:1=vF=e29~j672j3:1=vF=e29~j672k3:1=vF=e29~j672l3:1=vF=e29~j672m3:1=vF=e29~j672n3:1=vF=e29~j67183:1=vF=e29~j67193:1=vF=e29~j671:3:1=vF=e29~j671;3:1=vF=e29~j671<3:1=vF=e29~j671=3:1=vF=e29~j671>3:1=vF=e29~j671?3:1=vF=e29~j67103:1=vF=e29~j67113:1=vF=e29~j671i3:1=vF=e29~j671j3:1=vF=e29~j671k3:1=vF=e29~j671l3:1=vF=e29~j671m3:1=vF=e29~j671n3:1=vF=e29~j67083:1=vF=e29~j67093:1=vF=e29~j670:3:1=vF=e29~j670;3:1=vF=e29~j7de?3:1=vF=e29~j7del3:1=vF=e29~j7dd;3:1=vF=e29~j7dd<3:1=vF=e29~j7dd=3:1=vF=e29~j7dd>3:1=vF=e29~j7dd?3:1=vF=e29~j7dd03:1=vF=e29~j7dd13:1=vF=e29~j7ddi3:1=vF=e29~j7ddj3:1=vF=e29~j7ddk3:1=vF=e29~j7ddl3:1=vF=e29~j7ddm3:1=vF=e29~j7ddn3:1=vF=e29~j7dc83:1=vF=e29~j7dc93:1=vF=e29~j7dc:3:1=vF=e29~j7dc;3:1=vF=e29~j7dc<3:1=vF=e29~j7dc=3:1=vF=e29~j7dc>3:1=vF=e29~j7dc?3:1=vF=e29~j7dc03:1=vF=e29~j7dc13:1=vF=e29~j7dci3:1=vF=e29~j7dcj3:1=vF=e29~j7dck3:1=vF=e29~j7dcl3:1=vF=e29~j7dcm3:1=vF=e29~j7dcn3:1=vF=e29~j7db83:1=vF=e29~j7db93:1=vF=e29~j7db:3:1=vF=e29~j7db;3:1=vF=e29~j7db<3:1=vF=e29~j7db=3:1=vF=e29~j7db>3:1=vF=e29~j7db?3:1=vF=e29~j7db03:1=vF=e29~j7db13:1=vF=e29~j7dbi3:1=vF=e29~j7dbj3:1=vF=e29~j7dbk3:1=vF=e29~j7dbl3:1=vF=e29~j7dbm3:1=vF=e29~j7dbn3:1=vF=e29~j7da83:1=vF=e29~j7da93:1=vF=e29~j7da:3:1=vF=e29~j7da;3:1=vF=e29~j7da<3:1=vF=e29~j7da=3:1=vF=e29~j7da>3:1=vF=e29~j7da?3:1=vF=e29~j7da03:1=vF=e29~j7da13:1=vF=e29~j7dai3:1=vF=e29~j7daj3:1=vF=e29~j7dak3:1=vF=e29~j7dal3:1=vF=e29~j7dam3:1=vF=e29~j7dan3:1=vF=e29~j7e783:1=vF=e29~j7e793:1=vF=e29~j7e7:3:1=vF=e29~j7e7;3:1=vF=e29~j7e7<3:1=vF=e29~j7e7=3:1=vF=e29~j7e7>3:1=vF=e29~j7e7?3:1=vF=e29~j7e703:1=vF=e29~j7e6?3:1=vF=e29~j7e603:1=vF=e29~j7e613:1=vF=e29~j7e6i3:1=vF=e29~j7e6j3:1=vF=e29~j7e6k3:1=vF=e29~j7e6l3:1=vF=e29~j7e6m3:1=vF=e29~j7e6n3:1=vF=e29~j7e583:1=vF=e29~j7e593:1=vF=e29~j7e5:3:1=vF=e29~j7e5;3:1=vF=e29~j7e5<3:1=vF=e29~j7e5=3:1=vF=e29~j7e5>3:1=vF=e29~j7e5?3:1=vF=e29~j7e503:1=vF=e29~j7e513:1=vF=e29~j7e5i3:1=vF=e29~j7e5j3:1=vF=e29~j7e5k3:1=vF=e29~j7e5l3:1=vF=e29~j7e5m3:1=vF=e29~j7e5n3:1=vF=e29~j7e483:1=vF=e29~j7e493:1=vF=e29~j7e4:3:1=vF=e29~j7e?93:1=vF=e29~j7e?:3:1=vF=e29~j7e?;3:1=vF=e29~j7e?<3:1=vF=e29~j7e?=3:1=vF=e29~j7e?>3:1=vF=e29~j7e??3:1=vF=e29~j7e?03:1=vF=e29~j7e?13:1=vF=e29~j7e?i3:1=vF=e29~j7e?j3:1=vF=e29~j7e?k3:1=vF=e29~j7e?l3:1=vF=e29~j7e?m3:1=vF=e29~j7e?n3:1=vF=e29~j7e>83:1=vF=e29~j7e>93:1=vF=e29~j7e>:3:1=vF=e29~j7e>;3:1=vF=e29~j7e><3:1=vF=e29~j7e>=3:1=vF=e29~j7e>>3:1=vF=e29~j7e>?3:1=vF=e29~j7e>03:1=vF=e29~j7e>13:1=vF=e29~j7e>i3:1=vF=e29~j7e>j3:1=vF=e29~j7e>k3:1=vF=e29~j7e>l3:1=vF=e29~j7e>m3:1=vF=e29~j7e>n3:1=vF=e29~j7ef83:1=vF=e29~j7ef93:1=vF=e29~j7ef:3:1=vF=e29~j7ef;3:1=vF=e29~j7ef<3:1=vF=e29~j7ef=3:1=vF=e29~j7ef>3:1=vF=e29~j7ef?3:1=vF=e29~j7ef03:1=vF=e29~j7ef13:1=vF=e29~j7efi3:1=vF=e29~j7efj3:1=vF=e29~j7efk3:1=vF=e29~j7efl3:1=vF=e29~j7efm3:1=vF=e29~j7efn3:1=vF=e29~j7ee83:1=vF=e29~j7ee93:1=vF=e29~j7ee:3:1=vF=e29~j7ee;3:1=vF=e29~j7ee<3:1=vF=e29~j7ee=3:1=vF=e29~j7ee>3:1=vF=e29~j7ee?3:1=vF=e29~j7ee03:1=vF=e29~j7ee13:1=vF=e29~j7eei3:1=vF=e29~j7eej3:1=vF=e29~j7eek3:1=vF=e29~j7eel3:1=vF=e29~j7eem3:1=vF=e29~j7een3:1=vF=e29~j7ed83:1=vF=e29~j7ed93:1=vF=e29~j7ed:3:1=vF=e29~j7ed;3:1=vF=e29~j7ed<3:1=vF=e29~j7ed=3:1=vF=e29~j7ed>3:1=vF=e29~j7ed?3:1=vF=e29~j7ed03:1=vF=e29~j7ed13:1=vF=e29~j7edi3:1=vF=e29~j7edj3:1=vF=e29~j7edk3:1=vF=e29~j7edl3:1=vF=e29~j7edm3:1=vF=e29~j7edn3:1=vF=e29~j7ec83:1=vF=e29~j7ec93:1=vF=e29~j7ec:3:1=vF=e29~j7ec;3:1=vF=e29~j7ec<3:1=vF=e29~j7ec=3:1=vF=e29~j7ec>3:1=vF=e29~j7ec?3:1=vF=e29~j7ec03:1=vF=e29~j7ec13:1=vF=e29~j7eci3:1=vF=e29~j7ecj3:1=vF=e29~j7eck3:1=vF=e29~j7ecl3:1=vF=e29~j7ecm3:1=vF=e29~j7ecn3:1=vF=e29~j7eb83:1=vF=e29~j7eb93:1=vF=e29~j7eb:3:1=vF=e29~j7eb;3:1=vF=e29~j7eb<3:1=vF=e29~j7eb=3:1=vF=e29~j7eb>3:1=vF=e29~j7eb?3:1=vF=e29~j7eb03:1=vF=e29~j7eb13:1=vF=e29~j7ebi3:1=vF=e29~j7ebj3:1=vF=e29~j7ebk3:1=vF=e29~j7ebl3:1=vF=e29~j7ebm3:1=vF=e29~j7ebn3:1=vF=e29~j7ea83:1=vF=e29~j7ea93:1=vF=e29~j7ea:3:1=vF=e29~j7ea;3:1=vF=e29~j7ea<3:1=vF=e29~j7ea=3:1=vF=e29~j7ea>3:1=vF=e29~j7ea?3:1=vF=e29~j7ea03:1=vF=e29~j7ea13:1=vF=e29~j7eai3:1=vF=e29~j7eaj3:1=vF=e29~j7eak3:1=vF=e29~j7eal3:1=vF=e29~j7eam3:1=vF=e29~j7ean3:1=vF=e29~j7b783:1=vF=e29~j7b793:1=vF=e29~j7b7:3:1=vF=e29~j7b7;3:1=vF=e29~j7b7<3:1=vF=e29~j7b7=3:1=vF=e29~j7b7>3:1=vF=e29~j7b7?3:1=vF=e29~j7b703:1=vF=e29~j7b713:1=vF=e29~j7b7i3:1=vF=e29~j7b7j3:1=vF=e29~j7b7k3:1=vF=e29~j7b7l3:1=vF=e29~j7b7m3:1=vF=e29~j7b7n3:1=vF=e29~j7b683:1=vF=e29~j7b693:1=vF=e29~j7b6:3:1=vF=e29~j7b6;3:1=vF=e29~j7b6<3:1=vF=e29~j7b6=3:1=vF=e29~j7b6>3:1=vF=e29~j7b6?3:1=vF=e29~j7b603:1=vF=e29~j7b613:1=vF=e29~j7b6i3:1=vF=e29~j7b6j3:1=vF=e29~j7b6k3:1=vF=e29~j7b6l3:1=vF=e29~j7b6m3:1=vF=e29~j7b6n3:1=vF=e29~j7b583:1=vF=e29~j7b593:1=vF=e29~j7b5:3:1=vF=e29~j7b5;3:1=vF=e29~j7b5<3:1=vF=e29~j7b5=3:1=vF=e29~j7b5>3:1=vF=e29~j7b5?3:1=vF=e29~j7b503:1=vF=e29~j7b513:1=vF=e29~j7b5i3:1=vF=e29~j7b5j3:1=vF=e29~j7b5k3:1=vF=e29~j7b5l3:1=vF=e29~j7b5m3:1=vF=e29~j7b5n3:1=vF=e29~j7b483:1=vF=e29~j7b493:1=vF=e29~j7b4:3:1=vF=e29~j7b4;3:1=vF=e29~j7b4<3:1=vF=e29~j7b4=3:1=vF=e29~j7b4>3:1=vF=e29~j7b4?3:1=vF=e29~j7b403:1=vF=e29~j7b413:1=vF=e29~j7b4i3:1=vF=e29~j7b4j3:1=vF=e29~j7b4k3:1=vF=e29~j7b4l3:1=vF=e29~j7b4m3:1=vF=e29~j7b4n3:1=vF=e29~j7b383:1=vF=e29~j7b393:1=vF=e29~j7b3:3:1=vF=e29~j7b3;3:1=vF=e29~j7b3<3:1=vF=e29~j7b3=3:1=vF=e29~j7b3>3:1=vF=e29~j7b3?3:1=vF=e29~j7b303:1=vF=e29~j7b313:1=vF=e29~j7b3i3:1=vF=e29~j7b3j3:1=vF=e29~j7b3k3:1=vF=e29~j7b3l3:1=vF=e29~j7b3m3:1=vF=e29~j7b3n3:1=vF=e29~j7b283:1=vF=e29~j7b293:1=vF=e29~j7b2:3:1=vF=e29~j7b2;3:1=vF=e29~j7b2<3:1=vF=e29~j7b2=3:1=vF=e29~j7b2>3:1=vF=e29~j7b2?3:1=vF=e29~j7b203:1=vF=e29~j7b213:1=vF=e29~j7b2i3:1=vF=e29~j7b2j3:1=vF=e29~j7b2k3:1=vF=e29~j7b2l3:1=vF=e29~j7b2m3:1=vF=e29~j7b2n3:1=vF=e29~j7b183:1=vF=e29~j7b193:1=vF=e29~j7b1:3:1=vF=e29~j7b1;3:1=vF=e29~j7b1<3:1=vF=e29~j7b1=3:1=vF=e29~j7b1>3:1=vF=e29~j7b1?3:1=vF=e29~j7b103:1=vF=e29~j7b113:1=vF=e29~j7b1i3:1=vF=e29~j7b1j3:1=vF=e29~j7b1k3:1=vF=e29~j7b1l3:1=vF=e29~j7b1m3:1=vF=e29~j7b1n3:1=vF=e29~j7b083:1=vF=e29~j7b093:1=vF=e29~j7b0:3:1=vF=e29~j7b0;3:1=vF=e29~j7b0<3:1=vF=e29~j7b0=3:1=vF=e29~j7b0>3:1=vF=e29~j7b0?3:1=vF=e29~j7b003:1=vF=e29~j7b013:1=vF=e29~j7b0i3:1=vF=e29~j7b0j3:1=vF=e29~j7b0k3:1=vF=e29~j7b0l3:1=vF=e29~j7b0m3:1=vF=e29~j7b0n3:1=vF=e29~j7b?83:1=vF=e29~j7b?93:1=vF=e29~j7b?:3:1=vF=e29~j7b?;3:1=vF=e29~j7b?<3:1=vF=e29~j7b?=3:1=vF=e29~j7b?>3:1=vF=e29~j7b??3:1=vF=e29~j7b?03:1=vF=e29~j7b?13:1=vF=e29~j7b?i3:1=vF=e29~j7b?j3:1=vF=e29~j7b?k3:1=vF=e29~j7b?l3:1=vF=e29~j7b?m3:1=vF=e29~j7b?n3:1=vF=e29~j7b>83:1=vF=e29~j7b>93:1=vF=e29~j7b>:3:1=vF=e29~j7b>;3:1=vF=e29~j7b><3:1=vF=e29~j7b>=3:1=vF=e29~j7b>>3:1=vF=e29~j7b>?3:1=vF=e29~j7b>03:1=vF=e29~j7b>13:1=vF=e29~j7b>i3:1=vF=e29~j7b>j3:1=vF=e29~j7b>k3:1=vF=e29~j7b>l3:1=vF=e29~j7b>m3:1=vF=e29~j7b>n3:1=vF=e29~j7bf83:1=vF=e29~j7bf93:1=vF=e29~j7bf:3:1=vF=e29~j7bf;3:1=vF=e29~j7bf<3:1=vF=e29~j7bf=3:1=vF=e29~j7bf>3:1=vF=e29~j7bf?3:1=vF=e29~j7bf03:1=vF=e29~j7bf13:1=vF=e29~j7bfi3:1=vF=e29~j7bfj3:1=vF=e29~j7bfk3:1=vF=e29~j7bfl3:1=vF=e29~j7bfm3:1=vF=e29~j7bfn3:1=vF=e29~j7be83:1=vF=e29~j7be93:1=vF=e29~j7be:3:1=vF=e29~j7be;3:1=vF=e29~j7be<3:1=vF=e29~j7be=3:1=vF=e29~j7be>3:1=vF=e29~j7be?3:1=vF=e29~j7be03:1=vF=e29~j7be13:1=vF=e29~j7bei3:1=vF=e29~j7bej3:1=vF=e29~j7bek3:1=vF=e29~j7bel3:1=vF=e29~j7bem3:1=vF=e29~j7ben3:1=vF=e29~j7bd83:1=vF=e29~j7bd93:1=vF=e29~j7bd:3:1=vF=e29~j7bd;3:1=vF=e29~j7bd<3:1=vF=e29~j7bd=3:1=vF=e29~j7bd>3:1=vF=e29~j7bd?3:1=vF=e29~j7bd03:1=vF=e29~j7bd13:1=vF=e29~j7bdi3:1=vF=e29~j7bdj3:1=vF=e29~j7bdk3:1=vF=e29~j7bdl3:1=vF=e29~j7bdm3:1=vF=e29~j7bdn3:1=vF=e29~j7bc83:1=vF=e29~j7bc93:1=vF=e29~j7bc:3:1=vF=e29~j7bc;3:1=vF=e29~j7bc<3:1=vF=e29~j7bc=3:1=vF=e29~j7bc>3:1=vF=e29~j7bc?3:1=vF=e29~j7bc03:1=vF=e29~j7bc13:1=vF=e29~j7bci3:1=vF=e29~j7bcj3:1=vF=e29~j7bck3:1=vF=e29~j7bcl3:1=vF=e29~j7bcm3:1=vF=e29~j7bcn3:1=vF=e29~j7bb83:1=vF=e29~j7bb93:1=vF=e29~j7bb:3:1=vF=e29~j7bb;3:1=vF=e29~j7bb<3:1=vF=e29~j7bb=3:1=vF=e29~j7bb>3:1=vF=e29~j7bb?3:1=vF=e29~j7bb03:1=vF=e29~j7bb13:1=vF=e29~j7bbi3:1=vF=e29~j7bbj3:1=vF=e29~j7bbk3:1=vF=e29~j7bbl3:1=vF=e29~j7bbm3:1=vF=e29~j7bbn3:1=vF=e29~j7ba83:1=vF=e29~j7ba93:1=vF=e29~j7ba:3:1=vF=e29~j7ba;3:1=vF=e29~j7ba<3:1=vF=e29~j7ba=3:1=vF=e29~j7ba>3:1=vF=e29~j7ba?3:1=vF=e29~j7ba03:1=vF=e29~j7ba13:1=vF=e29~j7bai3:1=vF=e29~j7baj3:1=vF=e29~j7bak3:1=vF=e29~j7bal3:1=vF=e29~j7bam3:1=vF=e29~j7ban3:1=vF=e29~j7c783:1=vF=e29~j7c793:1=vF=e29~j7c7:3:1=vF=e29~j7c7;3:1=vF=e29~j7c7<3:1=vF=e29~j7c7=3:1=vF=e29~j7c7>3:1=vF=e29~j7c7?3:1=vF=e29~j7c703:1=vF=e29~j7c713:1=vF=e29~j7c7i3:1=vF=e29~j7c7j3:1=vF=e29~j7c7k3:1=vF=e29~j7c7l3:1=vF=e29~j7c7m3:1=vF=e29~j7c7n3:1=vF=e29~j7c683:1=vF=e29~j7c693:1=vF=e29~j7c6:3:1=vF=e29~j7c6;3:1=vF=e29~j7c6<3:1=vF=e29~j7c6=3:1=vF=e29~j7c6>3:1=vF=e29~j7c6?3:1=vF=e29~j7c603:1=vF=e29~j7c613:1=vF=e29~j7c6i3:1=vF=e29~j7c6j3:1=vF=e29~j7c6k3:1=vF=e29~j7c6l3:1=vF=e29~j7c6m3:1=vF=e29~j7c6n3:1=vF=e29~j7c583:1=vF=e29~j7c593:1=vF=e29~j7c5:3:1=vF=e29~j7c5;3:1=vF=e29~j7c5<3:1=vF=e29~j7c5=3:1=vF=e29~j7c5>3:1=vF=e29~j7c5?3:1=vF=e29~j7c503:1=vF=e29~j7c513:1=vF=e29~j7c5i3:1=vF=e29~j7c5j3:1=vF=e29~j7c5k3:1=vF=e29~j7c5l3:1=vF=e29~j7c5m3:1=vF=e29~j7c5n3:1=vF=e29~j7c483:1=vF=e29~j7c493:1=vF=e29~j7c4:3:1=vF=e29~j7c4;3:1=vF=e29~j7c4<3:1=vF=e29~j7c4=3:1=vF=e29~j7c4>3:1=vF=e29~j7c4?3:1=vF=e29~j7c403:1=vF=e29~j7c413:1=vF=e29~j7c4i3:1=vF=e29~j7c4j3:1=vF=e29~j7c4k3:1=vF=e29~j7c4l3:1=vF=e29~j7c4m3:1=vF=e29~j7c4n3:1=vF=e29~j7c383:1=vF=e29~j7c393:1=vF=e29~j7c3:3:1=vF=e29~j7c3;3:1=vF=e29~j7c3<3:1=vF=e29~j7c3=3:1=vF=e29~j7c3>3:1=vF=e29~j7c3?3:1=vF=e29~j7c303:1=vF=e29~j7c313:1=vF=e29~j7c3i3:1=vF=e29~j7c3j3:1=vF=e29~j7c3k3:1=vF=e29~j7c3l3:1=vF=e29~j7c3m3:1=vF=e29~j7c3n3:1=vF=e29~j7c283:1=vF=e29~j7c293:1=vF=e29~j7c2:3:1=vF=e29~j7c2;3:1=vF=e29~j7c2<3:1=vF=e29~j7c2=3:1=vF=e29~j7c2>3:1=vF=e29~j7c2?3:1=vF=e29~j7c203:1=vF=e29~j7c213:1=vF=e29~j7c2i3:1=vF=e29~j7c2j3:1=vF=e29~j7c2k3:1=vF=e29~j7c2l3:1=vF=e29~j7c2m3:1=vF=e29~j7c2n3:1=vF=e29~j7c183:1=vF=e29~j7c193:1=vF=e29~j7c1:3:1=vF=e29~j7c1;3:1=vF=e29~j7c1<3:1=vF=e29~j7c1=3:1=vF=e29~j7c1>3:1=vF=e29~j7c1?3:1=vF=e29~j7c103:1=vF=e29~j7c113:1=vF=e29~j7c1i3:1=vF=e29~j7c1j3:1=vF=e29~j7c1k3:1=vF=e29~j7c1l3:1=vF=e29~j7c1m3:1=vF=e29~j7c1n3:1=vF=e29~j7c083:1=vF=e29~j7c093:1=vF=e29~j7c0:3:1=vF=e29~j7c0;3:1=vF=e29~j7c0<3:1=vF=e29~j7c0=3:1=vF=e29~j7c0>3:1=vF=e29~j7c0?3:1=vF=e29~j7c003:1=vF=e29~j7c013:1=vF=e29~j7c0i3:1=vF=e29~j7c0j3:1=vF=e29~j7c0k3:1=vF=e29~j7c0l3:1=vF=e29~j7c0m3:1=vF=e29~j7c0n3:1=vF=e29~j7c?83:1=vF=e29~j7c?93:1=vF=e29~j7c?:3:1=vF=e29~j7c?;3:1=vF=e29~j7c?<3:1=vF=e29~j7c?=3:1=vF=e29~j7c?>3:1=vF=e29~j7c??3:1=vF=e29~j7c?03:1=vF=e29~j7c?13:1=vF=e29~j7c?i3:1=vF=e29~j7c?j3:1=vF=e29~j7c?k3:1=vF=e29~j7c?l3:1=vF=e29~j7c?m3:1=vF=e29~j7c?n3:1=vF=e29~j7c>83:1=vF=e29~j7c>93:1=vF=e29~j7c>:3:1=vF=e29~j7c>;3:1=vF=e29~j7c><3:1=vF=e29~j7c>=3:1=vF=e29~j7c>>3:1=vF=e29~j7c>?3:1=vF=e29~j7c>03:1=vF=e29~j7c>13:1=vF=e29~j7c>i3:1=vF=e29~j7c>j3:1=vF=e29~j7c>k3:1=vF=e29~j7c>l3:1=vF=e29~j7c>m3:1=vF=e29~j7c>n3:1=vF=e29~j7cf83:1=vF=e29~j7cf93:1=vF=e29~j7cf:3:1=vF=e29~j7cf;3:1=vF=e29~j7cf<3:1=vF=e29~j7cf=3:1=vF=e29~j7cf>3:1=vF=e29~j7cf?3:1=vF=e29~j7cf03:1=vF=e29~j7cf13:1=vF=e29~j7cfi3:1=vF=e29~j7cfj3:1=vF=e29~j7cfk3:1=vF=e29~j7cfl3:1=vF=e29~j7cfm3:1=vF=e29~j7cfn3:1=vF=e29~j7ce83:1=vF=e29~j7ce93:1=vF=e29~j7ce:3:1=vF=e29~j7ce;3:1=vF=e29~j7ce<3:1=vF=e29~j7ce=3:1=vF=e29~j7ce>3:1=vF=e29~j7ce?3:1=vF=e29~j7ce03:1=vF=e29~j7ce13:1=vF=e29~j7cei3:1=vF=e29~j7cej3:1=vF=e29~j7cek3:1=vF=e29~j7cel3:1=vF=e29~j7cem3:1=vF=e29~j7cen3:1=vF=e29~j7cd83:1=vF=e29~j7cd93:1=vF=e29~j7cd:3:1=vF=e29~j7cd;3:1=vF=e29~j7cd<3:1=vF=e29~j7cd=3:1=vF=e29~j7cd>3:1=vF=e29~j7cd?3:1=vF=e29~j7cd03:1=vF=e29~j7cd13:1=vF=e29~j7cdi3:1=vF=e29~j7cdj3:1=vF=e29~j7cdk3:1=vF=e29~j7cdl3:1=vF=e29~j7cdm3:1=vF=e29~j7cdn3:1=vF=e29~j7cc83:1=vF=e29~j7cc93:1=vF=e29~j7cc:3:1=vF=e29~j7cc;3:1=vF=e29~j7cc<3:1=vF=e29~j7cc=3:1=vF=e29~j7cc>3:1=vF=e29~j7cc?3:1=vF=e29~j7cc03:1=vF=e29~j7cc13:1=vF=e29~j7cci3:1=vF=e29~j7ccj3:1=vF=e29~j7cck3:1=vF=e29~j7ccl3:1=vF=e29~j7ccm3:1=vF=e29~j7ccn3:1=vF=e29~j7cb83:1=vF=e29~j7cb93:1=vF=e29~j7cb:3:1=vF=e29~j7cb;3:1=vF=e29~j7cb<3:1=vF=e29~j7cb=3:1=vF=e29~j7cb>3:1=vF=e29~j7cb?3:1=vF=e29~j7cb03:1=vF=e29~j7cb13:1=vF=e29~j7cbi3:1=vF=e29~j7cbj3:1=vF=e29~j7cbk3:1=vF=e29~j7cbl3:1=vF=e29~j7cbm3:1=vF=e29~j7cbn3:1=vF=e29~j7ca83:1=vF=e29~j7ca93:1=vF=e29~j7ca:3:1=vF=e29~j7ca;3:1=vF=e29~j7ca<3:1=vF=e29~j7ca=3:1=vF=e29~j7ca>3:1=vF=e29~j7ca?3:1=vF=e29~j7ca03:1=vF=e29~j7ca13:1=vF=e29~j7cai3:1=vF=e29~j7caj3:1=vF=e29~j7cak3:1=vF=e29~j7cal3:1=vF=e29~j7cam3:1=vF=e29~j7can3:1=vF=e29~j7`783:1=vF=e29~j7`793:1=vF=e29~j7`7:3:1=vF=e29~j7`7;3:1=vF=e29~j7`7<3:1=vF=e29~j7`7=3:1=vF=e29~j7`7>3:1=vF=e29~j7`7?3:1=vF=e29~j7`703:1=vF=e29~j7`713:1=vF=e29~j7`7i3:1=vF=e29~j7`7j3:1=vF=e29~j7`7k3:1=vF=e29~j7`7l3:1=vF=e29~j7`7m3:1=vF=e29~j7`7n3:1=vF=e29~j7`683:1=vF=e29~j7`693:1=vF=e29~j7`6:3:1=vF=e29~j7`6;3:1=vF=e29~j7`6<3:1=vF=e29~j7`6=3:1=vF=e29~j7`6>3:1=vF=e29~j7`6?3:1=vF=e29~j7`603:1=vF=e29~j7`613:1=vF=e29~j7`6i3:1=vF=e29~j7`6j3:1=vF=e29~j7`6k3:1=vF=e29~j7`6l3:1=vF=e29~j7`6m3:1=vF=e29~j7`6n3:1=vF=e29~j7`583:1=vF=e29~j7`593:1=vF=e29~j7`5:3:1=vF=e29~j7`5;3:1=vF=e29~j7`5<3:1=vF=e29~j7`5=3:1=vF=e29~j7`5>3:1=vF=e29~j7`5?3:1=vF=e29~j7`503:1=vF=e29~j7`513:1=vF=e29~j7`5i3:1=vF=e29~j7`5j3:1=vF=e29~j7`5k3:1=vF=e29~j7`5l3:1=vF=e29~j7`5m3:1=vF=e29~j7`5n3:1=vF=e29~j7`483:1=vF=e29~j7`493:1=vF=e29~j7`4:3:1=vF=e29~j7`4;3:1=vF=e29~j7`4<3:1=vF=e29~j7`4=3:1=vF=569K6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`5=4?:0yK6`5<4?:0yK6`5?4?:0yK6`5>4?:0yK6`594?:0yK6`584?:0yK6`5;4?:0yK6`5:4?:0yK6`554?:0yK6`544?:0yK6`5l4?:0yK6`5o4?:0yK6`5n4?:0yK6`5i4?:0yK6`5h4?:0yK6`5k4?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`54?:0yK6`5fb1m?:;9pNOBz2~DEV|uIJ \ No newline at end of file diff --git a/fifo16kW.vhd b/fifo16kW.vhd new file mode 100644 index 0000000..2d3825e --- /dev/null +++ b/fifo16kW.vhd @@ -0,0 +1,156 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file fifo16kW.vhd when simulating +-- the core, fifo16kW. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY fifo16kW IS + port ( + din: IN std_logic_VECTOR(31 downto 0); + rd_clk: IN std_logic; + rd_en: IN std_logic; + rst: IN std_logic; + wr_clk: IN std_logic; + wr_en: IN std_logic; + almost_empty: OUT std_logic; + almost_full: OUT std_logic; + dout: OUT std_logic_VECTOR(31 downto 0); + empty: OUT std_logic; + full: OUT std_logic; + rd_data_count: OUT std_logic_VECTOR(13 downto 0); + wr_data_count: OUT std_logic_VECTOR(13 downto 0)); +END fifo16kW; + +ARCHITECTURE fifo16kW_a OF fifo16kW IS +-- synthesis translate_off +component wrapped_fifo16kW + port ( + din: IN std_logic_VECTOR(31 downto 0); + rd_clk: IN std_logic; + rd_en: IN std_logic; + rst: IN std_logic; + wr_clk: IN std_logic; + wr_en: IN std_logic; + almost_empty: OUT std_logic; + almost_full: OUT std_logic; + dout: OUT std_logic_VECTOR(31 downto 0); + empty: OUT std_logic; + full: OUT std_logic; + rd_data_count: OUT std_logic_VECTOR(13 downto 0); + wr_data_count: OUT std_logic_VECTOR(13 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_fifo16kW use entity XilinxCoreLib.fifo_generator_v3_3(behavioral) + generic map( + c_rd_freq => 100, + c_wr_response_latency => 1, + c_has_srst => 0, + c_has_rd_data_count => 1, + c_din_width => 32, + c_has_wr_data_count => 1, + c_implementation_type => 2, + c_family => "virtex4", + c_has_wr_rst => 0, + c_wr_freq => 100, + c_underflow_low => 0, + c_has_meminit_file => 0, + c_has_overflow => 0, + c_preload_latency => 1, + c_dout_width => 32, + c_rd_depth => 16384, + c_default_value => "BlankString", + c_mif_file_name => "BlankString", + c_has_underflow => 0, + c_has_rd_rst => 0, + c_has_almost_full => 1, + c_has_rst => 1, + c_data_count_width => 14, + c_has_wr_ack => 0, + c_use_ecc => 0, + c_wr_ack_low => 0, + c_common_clock => 0, + c_rd_pntr_width => 14, + c_has_almost_empty => 1, + c_rd_data_count_width => 14, + c_enable_rlocs => 0, + c_wr_pntr_width => 14, + c_overflow_low => 0, + c_prog_empty_type => 0, + c_optimization_mode => 0, + c_wr_data_count_width => 14, + c_preload_regs => 0, + c_dout_rst_val => "0", + c_has_data_count => 0, + c_prog_full_thresh_negate_val => 16381, + c_wr_depth => 16384, + c_prog_empty_thresh_negate_val => 3, + c_prog_empty_thresh_assert_val => 2, + c_has_valid => 0, + c_init_wr_pntr_val => 0, + c_prog_full_thresh_assert_val => 16382, + c_use_fifo16_flags => 0, + c_has_backup => 0, + c_valid_low => 0, + c_prim_fifo_type => "8kx4", + c_count_type => 0, + c_prog_full_type => 0, + c_memory_type => 1); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_fifo16kW + port map ( + din => din, + rd_clk => rd_clk, + rd_en => rd_en, + rst => rst, + wr_clk => wr_clk, + wr_en => wr_en, + almost_empty => almost_empty, + almost_full => almost_full, + dout => dout, + empty => empty, + full => full, + rd_data_count => rd_data_count, + wr_data_count => wr_data_count); +-- synthesis translate_on + +END fifo16kW_a; + diff --git a/tdc_interface.vhd b/tdc_interface.vhd index 18e5172..270bafc 100755 --- a/tdc_interface.vhd +++ b/tdc_interface.vhd @@ -48,7 +48,7 @@ entity tdc_interface is COUNTER_f : in std_logic_vector(31 downto 0); COUNTER_g : in std_logic_vector(31 downto 0); COUNTER_h : in std_logic_vector(31 downto 0); - LVL2_TRIGGER : in std_logic_vector(1 downto 0); + LVL2_TRIGGER : in std_logic;--_vector(1 downto 0); TDC_DATA_OUT : out std_logic_vector (31 downto 0); --data to ETRAX (LVL2) TDC_DATA_VALID : out std_logic; -- The TDC_DATA_OUT can be written ETRAX_IS_READY_TO_READ : in std_logic; @@ -125,6 +125,22 @@ architecture tdc_interface of tdc_interface is empty : OUT std_logic; full : OUT std_logic); end component; + component fifo16kW--virtex_13kW_fifo + port ( + din : IN std_logic_VECTOR(31 downto 0); + rd_clk : IN std_logic; + rd_en : IN std_logic; + rst : IN std_logic; + wr_clk : IN std_logic; + wr_en : IN std_logic; + almost_empty : OUT std_logic; + almost_full : OUT std_logic; + dout : OUT std_logic_VECTOR(31 downto 0); + empty : OUT std_logic; + full : OUT std_logic; + rd_data_count : OUT std_logic_VECTOR(13 downto 0); + wr_data_count : OUT std_logic_VECTOR(13 downto 0)); + end component; signal tdc_ready : std_logic; signal counter_a_i : std_logic_vector(31 downto 0); signal counter_b_i : std_logic_vector(31 downto 0); @@ -182,7 +198,7 @@ architecture tdc_interface of tdc_interface is signal lvl1_fifo_rd_en : std_logic; signal lvl1_buffer_out : std_logic_vector(31 downto 0); signal lvl1_buffer_in : std_logic_vector(31 downto 0); - signal lvl1_buffer_counter : std_logic_vector(9 downto 0); + signal lvl1_buffer_counter : std_logic_vector(13 downto 0); signal lvl1_buffer_empty : std_logic; signal lvl1_buffer_full : std_logic; signal lvl1_buffer_wr_en : std_logic; @@ -208,6 +224,8 @@ architecture tdc_interface of tdc_interface is signal tdc_ready_b_i : std_logic; signal tdc_ready_c_i : std_logic; signal tdc_ready_d_i : std_logic; + signal lvl1_fifo_rd_en_empty_pulse : std_logic; + signal lvl1_fifo_empty_not : std_logic; begin READ_ADRESS_END_UP <= lvl2_busy_end_pulse; --here TDC_REGISTER : process (CLK, RESET) @@ -217,12 +235,12 @@ begin TDC_REGISTER_00(1) <= B_TDC_ERROR; TDC_REGISTER_00(2) <= C_TDC_ERROR; TDC_REGISTER_00(3) <= D_TDC_ERROR; - TDC_REGISTER_00(13 downto 4) <= lvl1_buffer_counter; + TDC_REGISTER_00(13 downto 4) <= lvl1_buffer_counter(9 downto 0); TDC_REGISTER_00(14) <= lvl1_busy_i; TDC_REGISTER_00(15) <= lvl1_or_lvl2_is_busy;--lvl1_memory_busy_i; TDC_REGISTER_00(30) <= lvl1_fifo_wr_en; TDC_REGISTER_00(31) <= lvl2_busy_i; - TDC_REGISTER_00(29 downto 16) <= lvl1_fifo_counter; + TDC_REGISTER_00(29 downto 16) <= lvl1_fifo_counter(13 downto 0); TDC_REGISTER_01(26 downto 0) <= lvl2_debug & trigger_register_00_i(5 downto 2) & "00" & trigger_register_00_i(1 downto 0)& words_in_event(15 downto 0); TDC_REGISTER_01(31 downto 27) <= (others => '0'); TDC_REGISTER_02(31 downto 0) <= lvl1_buffer_out;--lvl1_fifo_out; @@ -275,8 +293,7 @@ begin -- LVL1 logic ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- - - LVL1_BUFF: lvl1_buffer + LVL1_BUFF: fifo16kW--virtex_13kW_fifo port map ( din => TDC_DATA_IN, rd_clk => CLK, @@ -284,25 +301,68 @@ begin rst => RESET, wr_clk => TDC_CLK, wr_en => lvl1_buffer_wr_en, + almost_empty => open,--almost_empty_i, + almost_full => open,--almost_full_i, dout => lvl1_buffer_out, empty => lvl1_buffer_empty, full => lvl1_buffer_full, - rd_data_count => lvl1_buffer_counter); - lvl1_buffer_wr_en <= tdc_ready; - - LVL1_FIFO_LVL2: lvl1_fifo + rd_data_count => lvl1_buffer_counter, + wr_data_count => open--wr_data_count_i + ); + LVL2_BUFF: fifo16kW--virtex_13kW_fifo port map ( - clk => CLK, - din => lvl1_fifo_in, - rd_en => lvl1_fifo_rd_en, - rst => RESET, - wr_en => lvl1_fifo_wr_en, - data_count => lvl1_fifo_counter, - dout => lvl1_fifo_out, - empty => lvl1_fifo_empty, - full => lvl1_fifo_full); + din => lvl1_fifo_in, + rd_clk => CLK, + rd_en => lvl1_fifo_rd_en, + rst => RESET, + wr_clk => CLK, + wr_en => lvl1_fifo_wr_en, + almost_empty => open,--almost_empty_i, + almost_full => open,--almost_full_i, + dout => lvl1_fifo_out, + empty => lvl1_fifo_empty, + full => lvl1_fifo_full, + rd_data_count => lvl1_fifo_counter, + wr_data_count => open--wr_data_count_i + ); + lvl1_buffer_wr_en <= tdc_ready; TDC_DATA_OUT <= lvl1_fifo_out; - lvl1_trigger_pulse <= START_TDC_READOUT; + + +-- LVL1_BUFF: lvl1_buffer +-- port map ( +-- din => TDC_DATA_IN, +-- rd_clk => CLK, +-- rd_en => lvl1_buffer_rd_en, +-- rst => RESET, +-- wr_clk => TDC_CLK, +-- wr_en => lvl1_buffer_wr_en, +-- dout => lvl1_buffer_out, +-- empty => lvl1_buffer_empty, +-- full => lvl1_buffer_full, +-- rd_data_count => lvl1_buffer_counter); + + +-- LVL1_FIFO_LVL2: lvl1_fifo +-- port map ( +-- clk => CLK, +-- din => lvl1_fifo_in, +-- rd_en => lvl1_fifo_rd_en, +-- rst => RESET, +-- wr_en => lvl1_fifo_wr_en, +-- data_count => lvl1_fifo_counter, +-- dout => lvl1_fifo_out, +-- empty => lvl1_fifo_empty, +-- full => lvl1_fifo_full); + + START_TRIGGER_PULSER : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => START_TDC_READOUT, + pulse => lvl1_trigger_pulse); +-- lvl1_trigger_pulse <= START_TDC_READOUT; + DELAY_COUNTER : simpleupcounter_8bit port map ( CLK => CLK, @@ -351,7 +411,7 @@ begin delay_up <= '0'; delay_fsm_nextstate <= IDLE; when others => - trigger_register_00_i(1 downto 0) <= "11"; + trigger_register_00_i(1 downto 0) <= "00"; lvl1_trigger_pulse_delay <= '0'; delay_clr <= '1'; delay_up <= '0'; @@ -406,7 +466,7 @@ begin lvl1_buffer_rd_en_fsm <= '0'; lvl1_tdc_trigg_i_fsm <= '0'; lvl1_busy_i_fsm <= '0'; - if (lvl1_trigger_pulse_start = '1'and LVL1_CODE /= x"d") or (TRIGGER_WITH_GEN_EN ='1' and trigger_with_gen_pulse = '1' and lvl1_or_lvl2_is_busy = '0' ) then + if (lvl1_trigger_pulse_start = '1'and LVL1_CODE /= x"d") or (TRIGGER_WITH_GEN_EN ='1' and trigger_with_gen_pulse = '1' and lvl1_busy_i = '0' and lvl2_busy_i = '0') then LVL1_START_fsm_nextstate <= SEND_LVL1_TRIGG_1; else LVL1_START_fsm_nextstate <= IDLE; @@ -644,12 +704,19 @@ begin -- first_header <= x"0" & LVL1_CODE & lvl1_tag_minus1 & words_in_event; -- second_header <= x"030000" & HOW_MANY_ADD_DATA; first_header <= x"0" & lvl1_code_i & lvl1_tag_minus1 & words_in_event; - second_header <= TDC_REGISTER_05(7 downto 0) & x"0000" & HOW_MANY_ADD_DATA; + second_header <= TDC_REGISTER_05(15 downto 8) & x"0000" & HOW_MANY_ADD_DATA; ----------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- LVL2 logic (only CLK domain) ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- + lvl1_fifo_empty_not <= not lvl1_fifo_empty; + FIFO_RD_PULSER : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => lvl1_fifo_empty_not, + pulse => lvl1_fifo_rd_en_empty_pulse); LVL2_START : process (CLK, RESET) begin if rising_edge(CLK) then @@ -664,7 +731,7 @@ begin end if; end if; end process LVL2_START; - START_LVL2_FSM: process (LVL2_START_fsm_currentstate, LVL1_START_fsm_currentstate, how_many_words_in_event, lvl1_fifo_out, etrax_is_ready_to_read) + START_LVL2_FSM: process (LVL2_TRIGGER,LVL2_START_fsm_currentstate, LVL1_START_fsm_currentstate, how_many_words_in_event, lvl1_fifo_out, etrax_is_ready_to_read, lvl1_fifo_rd_en_empty_pulse) begin lvl2_debug (2 downto 0) <= "000"; lvl1_fifo_rd_en_fsm <= '0'; @@ -673,10 +740,11 @@ begin case (LVL2_START_fsm_currentstate) is when IDLE => lvl2_debug (2 downto 0) <= "001"; - lvl1_fifo_rd_en_fsm <= '0'; + lvl1_fifo_rd_en_fsm <= lvl1_fifo_rd_en_empty_pulse;--'0'; tdc_data_valid_i_fsm <= '0'; - if LVL1_START_fsm_currentstate = SEND_LVL2_TRIGG then - LVL2_START_fsm_nextstate <= READOUT_WORD1; +-- if LVL1_START_fsm_currentstate = SEND_LVL2_TRIGG then + if LVL2_TRIGGER = '1' or (TRIGGER_WITH_GEN_EN ='1' and LVL1_START_fsm_currentstate = SEND_LVL2_TRIGG ) then + LVL2_START_fsm_nextstate <= SAVE_EVENT_SIZE;--READOUT_WORD1; else LVL2_START_fsm_nextstate <= IDLE; end if; @@ -752,7 +820,7 @@ begin en_clk => '1', signal_in => lvl2_busy_i, pulse => lvl2_busy_start_pulse); - lvl1_memory_busy_i <= '1' when lvl1_fifo_counter > "00001111111111" else '0'; + lvl1_memory_busy_i <= '1' when lvl1_fifo_counter(13) = '1' else '0'; --set --to --max @@ -812,7 +880,7 @@ begin if RESET = '1' then LVL1_BUSY <= '0'; else - LVL1_BUSY <= lvl1_or_lvl2_is_busy;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i; --here + LVL1_BUSY <= lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i; --here end if; end if; end process REGITERING_SIGNALS; diff --git a/trb_v2b_fpga.vhd b/trb_v2b_fpga.vhd index 1483d15..4b22691 100644 --- a/trb_v2b_fpga.vhd +++ b/trb_v2b_fpga.vhd @@ -45,8 +45,8 @@ entity trb_v2b_fpga is C_TEMP : in std_logic; D_RESERVED : in std_logic; D_TEMP : in std_logic; --- VIR_TRIG : in std_logic; --fast trigger --- VIR_TRIGB : in std_logic; + VIR_TRIG : in std_logic; --fast trigger + VIR_TRIGB : in std_logic; ------------------------------------------------------------------------- -- TDC connections ------------------------------------------------------------------------- @@ -267,11 +267,11 @@ entity trb_v2b_fpga is ------------------------------------------------------------------------- -- ADDON board ------------------------------------------------------------------------- - -- ADDON_TO_TRB_CLKINN : std_logic; - -- ADDON_TO_TRB_CLKINP : std_logic; - ADO_LV : in std_logic_vector(51 downto 0); --lvds signal - -- ADO_TTL : inout std_logic_vector(46 downto 0); - ADO_TTL : inout std_logic_vector(15 downto 0); + ADDON_TO_TRB_CLKINN : in std_logic; + ADDON_TO_TRB_CLKINP : in std_logic; + ADO_LV : in std_logic_vector(51 downto 0); --lvds signal + ADO_TTL : inout std_logic_vector(46 downto 0); + -- ADO_TTL : inout std_logic_vector(15 downto 0); -- ADO_TTL : in std_logic; ------------------------------------------------------------------------------- --JTAG @@ -382,7 +382,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is COUNTER_f : in std_logic_vector(31 downto 0); COUNTER_g : in std_logic_vector(31 downto 0); COUNTER_h : in std_logic_vector(31 downto 0); - LVL2_TRIGGER : in std_logic_vector(1 downto 0); + LVL2_TRIGGER : in std_logic;--_vector(1 downto 0); TDC_DATA_OUT : out std_logic_vector(31 downto 0); TDC_DATA_VALID : out std_logic; ETRAX_IS_READY_TO_READ : in std_logic; @@ -600,6 +600,15 @@ architecture trb_v2b_fpga of trb_v2b_fpga is ------------------------------------------------------------------------------- -- SIGNALS ------------------------------------------------------------------------------- +constant trbv2_type : integer := 0; + -- 0 - trbv2 + RPC or TOF or FWALL or Start or Veto, + -- 1 - MDC, + -- 2 - SHOWER, + -- 3 - RICH +constant trbnet_enable : integer := 0; -- 0 - disable, + -- 1 - enable +constant dsp_int_enable : integer := 0; -- dsp interface enable +constant sdram_int_enable : integer := 0; -- sdram interface enable -- constant VERSION_NUMBER_TIME : integer := 1210005214; signal CLK : std_logic; @@ -623,7 +632,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal lvl1_trigger_tag_i : std_logic_vector(7 downto 0); signal tdc_code_i : std_logic_vector(3 downto 0); signal tdc_tag_i : std_logic_vector(7 downto 0); - signal lvl2_trigger_i : std_logic_vector(1 downto 0); + signal lvl2_trigger_i : std_logic;--_vector(1 downto 0); signal lvl1_trigger_i : std_logic; signal trigg_without_hades_i : std_logic; signal trigg_without_hades_t : std_logic; @@ -828,6 +837,8 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal trigger_for_test_signal : std_logic; signal not_hades_trigger : std_logic; --internal + signal fast_ref_trigger : std_logic; + signal fast_ref_trigger_pulse : std_logic; signal lvds_or : std_logic; signal med_data_in_i_saved : std_logic_vector(63 downto 0); signal end_of_transfer : std_logic; @@ -837,6 +848,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal rx_dv_pulse : std_logic; signal opt_busy : std_logic; signal not_lvl1_busy_i : std_logic; + signal not_lvl2_busy_i : std_logic; signal not_lvl1_busy_pulse : std_logic; signal check_first_trigg_synch : std_logic; signal check_first_trigg : std_logic_vector(1 downto 0); @@ -845,6 +857,12 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal fast_trigg_pulse : std_logic; signal hub_test : std_logic_vector(7 downto 0); signal tlk_tx_en_i : std_logic; + signal lvl1_trb_ack_i : std_logic; + signal lvl2_trb_ack_i : std_logic; + signal lvl1_trb_ack_synch : std_logic; + signal lvl2_trb_ack_synch : std_logic; + signal opt_synch_stat : std_logic; + signal opt_synch_stat_counter : std_logic_vector(31 downto 0); --extension data signal timing_counter : std_logic_vector(63 downto 0); signal timing_counter_reg : std_logic_vector(63 downto 0); @@ -855,7 +873,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal ext_trigger_code : std_logic; signal ado_ttl0_i : std_logic; signal ado_ttl0_more : std_logic; - + signal addon_clk : std_logic; begin ------------------------------------------------------------------------------- -- test @@ -933,7 +951,7 @@ begin SAVE_TIME: process (CLK, external_reset_i) begin -- process SAVE_TIME if rising_edge(CLK) then -- rising clock edge - if external_reset_i = '1' and (not_hades_trigger = '1' or lvl1_trigger_i = '1') then -- asynchronous reset (active low) + if external_reset_i = '1' and (not_hades_trigger = '1' or lvl1_trigger_i = '1' or TLK_RX_DV = '1') then -- asynchronous reset (active low) timing_counter_reg <= timing_counter; else timing_counter_reg <= timing_counter_reg; @@ -983,6 +1001,9 @@ begin port map ( O => D_TDC_BU_RESET, OB =>D_TDC_BU_RESETB, I => bunch_reset_i); OBUFDS_EVENT_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map ( O => D_TDC_EV_RESET, OB =>D_TDC_EV_RESETB, I => event_reset_i); + --fast trigg----------------------------------------------------------------- + IBUFDS_FAST_TRIGGER : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI") + port map (I => VIR_TRIG, IB => VIR_TRIGB, O => fast_ref_trigger); -- SPI ---------------------------------------------------------------------- --A OBUFDS_SCK_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") @@ -1332,48 +1353,118 @@ begin -- tdc interface without trbnet ----------------------------------------------------------------------------- --opt - TLK_TXD <= x"abcd"; - TLK_TX_ER <= '0'; - SFP_TX_DIS <= fpga_register_06_i(15); - TLK_LOOPEN <= '0'; - TLK_LCKREFN <= '1'; - TLK_ENABLE <= '1'; - TLK_PRBSEN <= '0'; - SATRT_PULSE : edge_to_pulse - port map ( - clock => CLK, - en_clk => '1', - signal_in => TLK_RX_DV, - pulse => rx_dv_pulse); - not_lvl1_busy_i <= not lvl1_busy_i; - BUSY_PULSE : edge_to_pulse - port map ( - clock => tlk_clk, - en_clk => '1', - signal_in => not_lvl1_busy_i, - pulse => not_lvl1_busy_pulse); - TLK_TX_EN <= not_lvl1_busy_pulse; - - - SYNCH: process (CLK, external_reset_i) - begin - if rising_edge(tlk_rx_clk_r) then - if external_reset_i = '1' then -- asynchronous reset (active low) - TLK_RXD_i <= x"0000"; - elsif TLK_RX_DV = '1' then - TLK_RXD_i <= TLK_RXD; - else - TLK_RXD_i <= TLK_RXD_i; - end if; - end if; - end process SYNCH; - --- ADO_TTL(3 downto 0) <= TLK_RXD(3 downto 0); --- ADO_TTL(4) <= TLK_RX_DV; --- ADO_TTL(5) <= TLK_RX_ER; --- ADO_TTL(6) <= lvl1_busy_i; --- ADO_TTL(7) <= not_lvl1_busy_pulse; - --end opt + TLK_TX_ER <= '0'; + SFP_TX_DIS <= fpga_register_06_i(15); + TLK_LOOPEN <= '0'; + TLK_LCKREFN <= '1'; + TLK_ENABLE <= '1'; + TLK_PRBSEN <= '0'; + TLK_RX_CLK_BUFR: BUFR + port map( + CE => '1', + CLR => '0', + I => TLK_RX_CLK, + O => tlk_rx_clk_r + ); + TLK_CLK_BUFR: BUFR + port map( + CE => '1', + CLR => '0', + I => TLK_CLK, + O => tlk_clk_r + ); + not_lvl2_busy_i <= not lvl2_busy_i; + ACK_LVL2_PULSER : edge_to_pulse + port map ( + clock => tlk_rx_clk_r, + en_clk => '1', + signal_in => not_lvl2_busy_i, + pulse => lvl2_trb_ack_i); + not_lvl1_busy_i <= not lvl1_busy_i; + ACK_LVL1_PULSER : edge_to_pulse + port map ( + clock => tlk_rx_clk_r, + en_clk => '1', + signal_in => not_lvl1_busy_i, + pulse => lvl1_trb_ack_i); + SYNCH_ACK: process (tlk_clk_r, external_reset_i) + begin -- process SYNCH_ACK + if rising_edge(tlk_clk_r) then + if external_reset_i = '1' then -- asynchronous reset (active low) + lvl1_trb_ack_synch <= '0'; + lvl2_trb_ack_synch <= '0'; + else + lvl1_trb_ack_synch <= lvl1_trb_ack_i; + lvl2_trb_ack_synch <= lvl2_trb_ack_i; + end if; + end if; + end process SYNCH_ACK; + SEND_LVL1_LVL2_ACK: process (tlk_clk_r, external_reset_i) + begin + if rising_edge(tlk_clk_r) then + if external_reset_i = '1' and opt_synch_stat = '0' then -- asynchronous reset (active low) + TLK_TX_EN <= '0'; + TLK_TXD <= x"0000"; + elsif lvl1_trb_ack_i = '1' then + TLK_TX_EN <= '1'; + TLK_TXD <= x"1000"; + elsif lvl2_trb_ack_i = '1' then + TLK_TX_EN <= '1'; + TLK_TXD <= x"2000"; + elsif lvl1_trb_ack_i = '1' and lvl2_trb_ack_i ='1' then + TLK_TX_EN <= '1'; + TLK_TXD <= x"1000"; + elsif lvl1_trb_ack_synch = '1' and lvl2_trb_ack_synch ='1' then + TLK_TX_EN <= '1'; + TLK_TXD <= x"2000"; + else + TLK_TX_EN <= '0'; + TLK_TXD <= x"0000"; + end if; + end if; + end process SEND_LVL1_LVL2_ACK; + SAVE_LVL1_LVL2_TRIGG: process (tlk_rx_clk_r, external_reset_i, TLK_RX_DV, TLK_RXD(15 downto 12), TLK_RX_ER) + begin + if falling_edge(tlk_rx_clk_r) then + if external_reset_i = '1' or opt_synch_stat = '0' then -- asynchronous reset (active low) + lvl1_trigger_code_i <= x"0"; + lvl1_trigger_tag_i <= x"00"; + lvl2_trigger_code_i <= x"0"; + lvl1_trigger_i <= '0'; + lvl2_trigger_i <= '0'; + elsif TLK_RX_DV = '1' and TLK_RX_ER = '0' and TLK_RXD(15 downto 12)= x"1" then + lvl1_trigger_code_i <= TLK_RXD(11 downto 8); + lvl1_trigger_tag_i <= TLK_RXD(7 downto 0); + lvl2_trigger_code_i <= lvl2_trigger_code_i; + lvl1_trigger_i <= '1'; + lvl2_trigger_i <= '0'; + elsif TLK_RX_DV = '1' and TLK_RX_ER = '0' and TLK_RXD(15 downto 12)= x"2" then + lvl1_trigger_code_i <= lvl1_trigger_code_i; + lvl1_trigger_tag_i <= lvl1_trigger_tag_i; + lvl2_trigger_code_i <= TLK_RXD(11 downto 8); + lvl1_trigger_i <= '0'; + lvl2_trigger_i <= '1'; + else + lvl1_trigger_code_i <= lvl1_trigger_code_i; + lvl1_trigger_tag_i <= lvl1_trigger_tag_i; + lvl2_trigger_code_i <= lvl2_trigger_code_i; + lvl1_trigger_i <= '0'; + lvl2_trigger_i <= '0'; + end if; + end if; + end process SAVE_LVL1_LVL2_TRIGG; + CHECK_OPT_SYNCH: process (tlk_rx_clk_r, external_reset_i) + begin + if rising_edge(tlk_rx_clk_r) then + if external_reset_i = '1' or TLK_RX_ER = '1' then + opt_synch_stat_counter <= x"00000000"; + elsif (TLK_RX_ER = '0' and TLK_RX_DV = '0') and opt_synch_stat_counter(27) = '0' then + opt_synch_stat_counter <= opt_synch_stat_counter + 1; + end if; + end if; + end process CHECK_OPT_SYNCH; + opt_synch_stat <= '1';--opt_synch_stat_counter(27);sim + --end opt @@ -1389,95 +1480,101 @@ begin --from here - TDC_RESET <= '0';--fpga_register_06_i(5);--'0'; - reset_i <= not RESET_VIRT; - TOKEN_OUT <= token_out_i; - VIRT_TRST <= not fpga_register_06_i(5);--'1'; + TDC_RESET <= '0';--fpga_register_06_i(5);--'0'; + reset_i <= not RESET_VIRT; + TOKEN_OUT <= token_out_i; + VIRT_TRST <= not fpga_register_06_i(5);--'1'; - TDC_INT : tdc_interface - port map ( - CLK => CLK, - TDC_CLK => tdc_clk, --CLK,--tdc_clk, --mdc addon or CLK - RESET => external_reset_i, --not RESET_VIRT, - -- TDC_RESET => TDC_RESET, - TDC_DATA_IN => TDC_OUT, --x"0" & "00" & lvds_add_on_data(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT, --mdc addon or - START_TDC_READOUT => TLK_RX_DV,--'0',--TLK_RX_DV,--rx_dv_pulse,--lvl1_trigger_i, --lvl1_tdc_trigg_i, - A_TDC_ERROR => A_TDC_ERROR, - B_TDC_ERROR => B_TDC_ERROR, - C_TDC_ERROR => C_TDC_ERROR, - D_TDC_ERROR => D_TDC_ERROR, - A_TDC_POWERUP => open, --A_TDC_POWERUP, - B_TDC_POWERUP => open, --B_TDC_POWERUP, - C_TDC_POWERUP => open, --C_TDC_POWERUP, - D_TDC_POWERUP => open, --D_TDC_POWERUP, - A_TDC_READY => A_DATA_READY, --ADO_TTL(3), --A_DATA_READY,mdc addon or - B_TDC_READY => B_DATA_READY, --ADO_TTL(3), --B_DATA_READY,mdc addon or - C_TDC_READY => C_DATA_READY, --ADO_TTL(3), --C_DATA_READY,mdc addon or - D_TDC_READY => D_DATA_READY, --ADO_TTL(3), --D_DATA_READY,mdc addon or - SEND_TDC_TOKEN => token_out_i, --ADO_TTL(1),-- mdc or addon - RECEIVED_TDC_TOKEN => TOKEN_IN, --ADO_TTL(2),--TOKEN_IN, --mdc addon or - --normal - GET_TDC_DATA => GET_DATA, - TO_MANY_TDC_DATA => to_many_tdc_data_i, - TDC_READOUT_COMPLETED => tdc_readout_completed_i, - LVL1_TAG => TLK_RXD_i(7 downto 0),--lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i, - LVL1_CODE => TLK_RXD_i(11 downto 8),--lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i, - HOW_MANY_ADD_DATA => fpga_register_06_i(23 downto 16), --how_many_add_data_i, - COUNTER_a => scaler_counter(0)(31 downto 0),--timing_counter(31 downto 0),--test_counter_1, --scaler_counter_0, --x"12311231", - COUNTER_b => scaler_counter(0)(63 downto 32),--timing_counter(63 downto 32),--scaler_counter(0), --x"12321232", - COUNTER_c => scaler_counter(1)(31 downto 0), --x"12331233", - COUNTER_d => scaler_counter(2)(31 downto 0), --x"12341234", - COUNTER_e => scaler_counter(3)(31 downto 0), --x"12351235", - COUNTER_f => scaler_counter(3)(31 downto 0), --x"12361236", - COUNTER_g => scaler_counter(4)(31 downto 0), --x"12371237", - COUNTER_h => scaler_counter(5)(31 downto 0), --x"12381238", - LVL2_TRIGGER => lvl2_trigger_i, --lvl2_tdc_trigg_i, here - TDC_DATA_OUT => tdc_data_out_i, - TDC_DATA_VALID => tdc_data_valid_i, - ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i, - LVL1_BUSY => lvl1_busy_i, - LVL2_BUSY => lvl2_busy_i, - TDC_REGISTER_00 => tdc_register_00_i, - TDC_REGISTER_01 => tdc_register_01_i, - TDC_REGISTER_02 => tdc_register_02_i, - TDC_REGISTER_03 => tdc_register_03_i, - TDC_REGISTER_04 => tdc_register_04_i, - TDC_REGISTER_05 => fpga_register_0e_i, - BUNCH_RESET => bunch_reset_i, - EVENT_RESET => event_reset_i, - READ_ADRESS_END_UP => trb_ack_lvl2_i, - DELAY_TRIGGER => x"00", --fpga_register_06_i(31 downto 24), - TDC_START => trigger_to_tdc_i, - TRIGGER_WITHOUT_HADES => fpga_register_06_i(7), - TRIGGER_WITH_GEN_EN => fpga_register_06_i(8), - TRIGGER_WITH_GEN => not_hades_trigger --trigger_for_test_signal or generator_trigger - ); - --ADO_TTL(42 downto 35) <= tdc_register_01_i(26 downto 19); - -- not_hades_trigger <= rx_dv_pulse;--trigger_for_test_signal or generator_trigger_1 or generator_trigger_2; - -- not_hades_trigger <= '0';--generator_trigger_1 or trigger_for_test_signal; - not_hades_trigger <= '0';--generator_trigger_1 or trigger_for_test_signal; - -- not_hades_trigger <= ext_trigger_norm or ext_trigger_cal or trigger_for_test_signal; - -- not_hades_trigger <= generator_trigger_1; - a_trigg <= trigger_to_tdc_i; - b_trigg <= trigger_to_tdc_i; - c_trigg <= trigger_to_tdc_i; - d_trigg <= trigger_to_tdc_i; - A_TDC_POWERUP <= '1'; --in trbv2c this is diod - B_TDC_POWERUP <= '1'; --in trbv2c this is diod - C_TDC_POWERUP <= '1'; --in trbv2c this is diod - D_TDC_POWERUP <= '1'; --in trbv2c this is diod - DBAD <= lvl1_busy_i; - DINT <= etrax_bus_busy_i; - DWAIT <= fpga_register_06_i(6); - --- not hades trigger ---------------------------------------------------------- - EXT_TRIGGER_1 : edge_to_pulse + TDC_INT : tdc_interface port map ( - clock => CLK, - en_clk => '1', - signal_in => ADO_TTL(0), - pulse => generator_trigger_1); - generator_trigger_2 <= '0'; - ADO_TTL(0) <= 'Z'; + CLK => CLK, + TDC_CLK => tdc_clk, --CLK,--tdc_clk, --mdc addon or CLK + RESET => external_reset_i, --not RESET_VIRT, + -- TDC_RESET => TDC_RESET, + TDC_DATA_IN => TDC_OUT, --x"0" & "00" & lvds_add_on_data(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT, --mdc addon or + START_TDC_READOUT => lvl1_trigger_i,--TLK_RX_DV,--'0',--TLK_RX_DV,--rx_dv_pulse,--lvl1_trigger_i, --lvl1_tdc_trigg_i, + A_TDC_ERROR => A_TDC_ERROR, + B_TDC_ERROR => B_TDC_ERROR, + C_TDC_ERROR => C_TDC_ERROR, + D_TDC_ERROR => D_TDC_ERROR, + A_TDC_POWERUP => open, --A_TDC_POWERUP, + B_TDC_POWERUP => open, --B_TDC_POWERUP, + C_TDC_POWERUP => open, --C_TDC_POWERUP, + D_TDC_POWERUP => open, --D_TDC_POWERUP, + A_TDC_READY => A_DATA_READY, --ADO_TTL(3), --A_DATA_READY,mdc addon or + B_TDC_READY => B_DATA_READY, --ADO_TTL(3), --B_DATA_READY,mdc addon or + C_TDC_READY => C_DATA_READY, --ADO_TTL(3), --C_DATA_READY,mdc addon or + D_TDC_READY => D_DATA_READY, --ADO_TTL(3), --D_DATA_READY,mdc addon or + SEND_TDC_TOKEN => token_out_i, --ADO_TTL(1),-- mdc or addon + RECEIVED_TDC_TOKEN => TOKEN_IN, --ADO_TTL(2),--TOKEN_IN, --mdc addon or + --normal + GET_TDC_DATA => GET_DATA, + TO_MANY_TDC_DATA => to_many_tdc_data_i, + TDC_READOUT_COMPLETED => tdc_readout_completed_i, + LVL1_TAG => lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i, + LVL1_CODE => lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i, + HOW_MANY_ADD_DATA => fpga_register_06_i(23 downto 16), --how_many_add_data_i, + COUNTER_a => scaler_counter(0)(31 downto 0),--timing_counter(31 downto 0),--test_counter_1, --scaler_counter_0, --x"12311231", + COUNTER_b => scaler_counter(0)(63 downto 32),--timing_counter(63 downto 32),--scaler_counter(0), --x"12321232", + COUNTER_c => scaler_counter(1)(31 downto 0), --x"12331233", + COUNTER_d => scaler_counter(2)(31 downto 0), --x"12341234", + COUNTER_e => timing_counter(31 downto 0),--scaler_counter(3)(31 downto 0), --x"12351235", + COUNTER_f => timing_counter(63 downto 32),--scaler_counter(3)(31 downto 0), --x"12361236", + COUNTER_g => scaler_counter(4)(31 downto 0), --x"12371237", + COUNTER_h => scaler_counter(5)(31 downto 0), --x"12381238", + LVL2_TRIGGER => lvl2_trigger_i, --lvl2_tdc_trigg_i, here + TDC_DATA_OUT => tdc_data_out_i, + TDC_DATA_VALID => tdc_data_valid_i, + ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i, + LVL1_BUSY => lvl1_busy_i, + LVL2_BUSY => lvl2_busy_i, + TDC_REGISTER_00 => tdc_register_00_i, + TDC_REGISTER_01 => tdc_register_01_i, + TDC_REGISTER_02 => tdc_register_02_i, + TDC_REGISTER_03 => tdc_register_03_i, + TDC_REGISTER_04 => tdc_register_04_i, + TDC_REGISTER_05 => fpga_register_0e_i, + BUNCH_RESET => bunch_reset_i, + EVENT_RESET => event_reset_i, + READ_ADRESS_END_UP => trb_ack_lvl2_i, + DELAY_TRIGGER => x"00", --fpga_register_06_i(31 downto 24), + TDC_START => trigger_to_tdc_i, + TRIGGER_WITHOUT_HADES => fpga_register_06_i(7), + TRIGGER_WITH_GEN_EN => fpga_register_06_i(8), + TRIGGER_WITH_GEN => not_hades_trigger --trigger_for_test_signal or generator_trigger + ); + --ADO_TTL(42 downto 35) <= tdc_register_01_i(26 downto 19); + -- not_hades_trigger <= rx_dv_pulse;--trigger_for_test_signal or generator_trigger_1 or generator_trigger_2; + -- not_hades_trigger <= '0';--generator_trigger_1 or trigger_for_test_signal; + not_hades_trigger <= fast_ref_trigger_pulse;--'0';--generator_trigger_1 or trigger_for_test_signal; + -- not_hades_trigger <= ext_trigger_norm or ext_trigger_cal or trigger_for_test_signal; + -- not_hades_trigger <= generator_trigger_1; + a_trigg <= trigger_to_tdc_i; + b_trigg <= trigger_to_tdc_i; + c_trigg <= trigger_to_tdc_i; + d_trigg <= trigger_to_tdc_i; + A_TDC_POWERUP <= '1'; --in trbv2c this is diod + B_TDC_POWERUP <= '1'; --in trbv2c this is diod + C_TDC_POWERUP <= '1'; --in trbv2c this is diod + D_TDC_POWERUP <= '1'; --in trbv2c this is diod + DBAD <= lvl1_busy_i; + DINT <= etrax_bus_busy_i; + DWAIT <= fpga_register_06_i(6); + --- not hades trigger ---------------------------------------------------------- +-- EXT_TRIGGER_1 : edge_to_pulse +-- port map ( +-- clock => CLK, +-- en_clk => '1', +-- signal_in => ADO_TTL(0), +-- pulse => generator_trigger_1); + EXT_TRIGGER_1 : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => fast_ref_trigger, + pulse => fast_ref_trigger_pulse); +-- generator_trigger_2 <= '0'; + ADO_TTL(0) <= 'Z'; ------------------------------------------------------------------------------- -- tdc to api ------------------------------------------------------------------------------- @@ -1507,128 +1604,143 @@ begin -------------------------------------------------------------------------- -- MDCaddon mdc addon -------------------------------------------------------------------------- --- ADO_TTL(6) <= 'L'; --- ADO_TTL(5) <= 'L'; --- EXT_TRIGGER_NORM : edge_to_pulse --- port map ( --- clock => CLK, --- en_clk => '1', --- signal_in => ADO_TTL(6), --- pulse => ext_trigger_norm); --- EXT_TRIGGER_CAL : edge_to_pulse +-- IBUFGDS_ADDCLK : IBUFGDS +-- generic map ( +-- IOSTANDARD => "LVDS_25_DCI") +-- port map ( +-- O => addon_clk,--CLK, +-- I => ADDON_TO_TRB_CLKINP, +-- IB => ADDON_TO_TRB_CLKINN -- Diff_n clock buffer input (connect to top-level port) +-- ); +-- ADO_TTL(6) <= 'L'; +-- ADO_TTL(5) <= 'L'; + +-- EXT_TRIGGER_NORM : edge_to_pulse +-- port map ( +-- clock => CLK, +-- en_clk => '1', +-- signal_in => ADO_TTL(6), +-- pulse => ext_trigger_norm); +-- EXT_TRIGGER_CAL : edge_to_pulse +-- port map ( +-- clock => CLK, +-- en_clk => '1', +-- signal_in => ADO_TTL(5), +-- pulse => ext_trigger_cal); +-- SAVE_EXT_CODE: process (CLK, external_reset_i) +-- begin +-- if rising_edge(CLK) then +-- if external_reset_i = '1' or (not_lvl1_busy_pulse = '1' and ext_trigger_code = '0') then -- asynchronous reset (active low) +-- ext_trigger_code <= '1'; +-- elsif ext_trigger_cal = '1' then +-- ext_trigger_code <= '0'; +-- end if; +-- end if; +-- end process SAVE_EXT_CODE; +-- ADO_TTL(4) <= ext_trigger_code; +-- not_lvl1_busy_i <= not lvl1_busy_i; +-- BUSY_PULSE : edge_to_pulse -- port map ( --- clock => CLK, --- en_clk => '1', --- signal_in => ADO_TTL(5), --- pulse => ext_trigger_cal); --- SAVE_EXT_CODE: process (CLK, external_reset_i) --- begin --- if rising_edge(CLK) then --- if external_reset_i = '1' or (not_lvl1_busy_pulse = '1' and ext_trigger_code = '0') then -- asynchronous reset (active low) --- ext_trigger_code <= '1'; --- elsif ext_trigger_cal = '1' then --- ext_trigger_code <= '0'; --- end if; --- end if; --- end process SAVE_EXT_CODE; --- ADO_TTL(4) <= ext_trigger_code; --- not_lvl1_busy_i <= not lvl1_busy_i; --- BUSY_PULSE : edge_to_pulse +-- clock => tlk_clk, +-- en_clk => '1', +-- signal_in => not_lvl1_busy_i, +-- pulse => not_lvl1_busy_pulse); +-- ADO_TTL(2) <= 'Z'; +-- ADO_TTL(3) <= 'Z'; +-- ADO_TTL(15 downto 8) <= (others => 'Z'); +-- ADO_TTL(0) <= 'Z'; +-- ADO_TTL(7) <= addon_clk; +-- TDC_RESET <= '0';--fpga_register_06_i(5);--'0'; +-- reset_i <= not RESET_VIRT; +-- TOKEN_OUT <= token_out_i; +-- VIRT_TRST <= not fpga_register_06_i(5);--'1'; +-- ADO_TTL(8) <= lvl1_busy_i; +-- ADO_TTL(9) <= ADO_TTL(3); +-- ADO_TTL(10) <= tdc_register_01_i(0); +-- ADO_TTL(11) <= '1' when tdc_register_01_i(15 downto 0) > x"0030" else '0'; +-- TDC_INT : tdc_interface -- port map ( --- clock => tlk_clk, --- en_clk => '1', --- signal_in => not_lvl1_busy_i, --- pulse => not_lvl1_busy_pulse); --- ADO_TTL(2) <= 'Z'; --- ADO_TTL(3) <= 'Z'; --- ADO_TTL(15 downto 7) <= (others => 'Z'); --- ADO_TTL(0) <= 'Z'; - --- TDC_RESET <= '0';--fpga_register_06_i(5);--'0'; --- reset_i <= not RESET_VIRT; --- TOKEN_OUT <= token_out_i; --- VIRT_TRST <= not fpga_register_06_i(5);--'1'; --- ADO_TTL(8) <= lvl1_busy_i; --- ADO_TTL(9) <= ADO_TTL(3); --- ADO_TTL(10) <= tdc_register_01_i(0); --- ADO_TTL(11) <= '1' when tdc_register_01_i(15 downto 0) > x"0030" else '0'; --- TDC_INT : tdc_interface --- port map ( --- CLK => CLK, --- TDC_CLK => CLK,--tdc_clk, --mdc addon or CLK --- RESET => external_reset_i, --not RESET_VIRT, --- -- TDC_RESET => TDC_RESET, --- TDC_DATA_IN => x"0" & "00" & ADO_LV(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT, --mdc addon or --- START_TDC_READOUT => '0',--TLK_RX_DV,--rx_dv_pulse,--lvl1_trigger_i, --lvl1_tdc_trigg_i, --- A_TDC_ERROR => A_TDC_ERROR, --- B_TDC_ERROR => B_TDC_ERROR, --- C_TDC_ERROR => C_TDC_ERROR, --- D_TDC_ERROR => D_TDC_ERROR, --- A_TDC_POWERUP => open, --A_TDC_POWERUP, --- B_TDC_POWERUP => open, --B_TDC_POWERUP, --- C_TDC_POWERUP => open, --C_TDC_POWERUP, --- D_TDC_POWERUP => open, --D_TDC_POWERUP, --- A_TDC_READY => ADO_TTL(3), --A_DATA_READY,mdc addon or --- B_TDC_READY => '0', --ADO_TTL(3), --B_DATA_READY,mdc addon or --- C_TDC_READY => '0', --ADO_TTL(3), --C_DATA_READY,mdc addon or --- D_TDC_READY => '0', --ADO_TTL(3), --D_DATA_READY,mdc addon or --- SEND_TDC_TOKEN => ado_ttl0_i,--ADO_TTL(1),-- mdc or addon --- RECEIVED_TDC_TOKEN => ADO_TTL(2),--TOKEN_IN, --mdc addon or --- --normal --- GET_TDC_DATA => GET_DATA, --- TO_MANY_TDC_DATA => to_many_tdc_data_i, --- TDC_READOUT_COMPLETED => tdc_readout_completed_i, --- LVL1_TAG => TLK_RXD_i(7 downto 0),--lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i, --- LVL1_CODE => TLK_RXD_i(11 downto 8),--lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i, --- HOW_MANY_ADD_DATA => fpga_register_06_i(23 downto 16), --how_many_add_data_i, --- COUNTER_a => timing_counter(31 downto 0),--test_counter_1, --scaler_counter_0, --x"12311231", --- COUNTER_b => timing_counter(63 downto 32),--scaler_counter(0), --x"12321232", --- COUNTER_c => scaler_counter(1), --x"12331233", --- COUNTER_d => scaler_counter(2), --x"12341234", --- COUNTER_e => scaler_counter(3), --x"12351235", --- COUNTER_f => scaler_counter(4), --x"12361236", --- COUNTER_g => scaler_counter(5), --x"12371237", --- COUNTER_h => scaler_counter(6), --x"12381238", --- LVL2_TRIGGER => lvl2_trigger_i, --lvl2_tdc_trigg_i, here --- TDC_DATA_OUT => tdc_data_out_i, --- TDC_DATA_VALID => tdc_data_valid_i, --- ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i, --- LVL1_BUSY => lvl1_busy_i, --- LVL2_BUSY => lvl2_busy_i, --- TDC_REGISTER_00 => tdc_register_00_i, --- TDC_REGISTER_01 => tdc_register_01_i, --- TDC_REGISTER_02 => tdc_register_02_i, --- TDC_REGISTER_03 => tdc_register_03_i, --- TDC_REGISTER_04 => tdc_register_04_i, --- TDC_REGISTER_05 => fpga_register_0e_i, --- BUNCH_RESET => bunch_reset_i, --- EVENT_RESET => event_reset_i, --- READ_ADRESS_END_UP => trb_ack_lvl2_i, --- DELAY_TRIGGER => x"00", --fpga_register_06_i(31 downto 24), --- TDC_START => trigger_to_tdc_i, --- TRIGGER_WITHOUT_HADES => fpga_register_06_i(7), --- TRIGGER_WITH_GEN_EN => fpga_register_06_i(8), --- TRIGGER_WITH_GEN => not_hades_trigger --trigger_for_test_signal or generator_trigger --- ); --- not_hades_trigger <= ext_trigger_norm or ext_trigger_cal or trigger_for_test_signal; --- a_trigg <= trigger_to_tdc_i; --- b_trigg <= trigger_to_tdc_i; --- c_trigg <= trigger_to_tdc_i; --- d_trigg <= trigger_to_tdc_i; --- A_TDC_POWERUP <= '1'; --in trbv2c this is diod --- B_TDC_POWERUP <= '1'; --in trbv2c this is diod --- C_TDC_POWERUP <= '1'; --in trbv2c this is diod --- D_TDC_POWERUP <= '1'; --in trbv2c this is diod --- DBAD <= lvl1_busy_i; --- DINT <= etrax_bus_busy_i; --- DWAIT <= fpga_register_06_i(6); --- MAKE_LONGER: process (CLK, external_reset_i) --- begin -- process MAKE_LONGER --- if rising_edge(CLK) then --- ado_ttl0_more <= ado_ttl0_i; --- end if; --- end process MAKE_LONGER; --- ADO_TTL(1) <= ado_ttl0_more or ado_ttl0_i; +-- CLK => CLK, +-- TDC_CLK => addon_clk,--CLK,--tdc_clk, --mdc addon or CLK +-- RESET => external_reset_i, --not RESET_VIRT, +-- -- TDC_RESET => TDC_RESET, +-- TDC_DATA_IN => x"0" & "00" & ADO_LV(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT, --mdc addon or +-- START_TDC_READOUT => '0',--TLK_RX_DV,--rx_dv_pulse,--lvl1_trigger_i, --lvl1_tdc_trigg_i, +-- A_TDC_ERROR => A_TDC_ERROR, +-- B_TDC_ERROR => B_TDC_ERROR, +-- C_TDC_ERROR => C_TDC_ERROR, +-- D_TDC_ERROR => D_TDC_ERROR, +-- A_TDC_POWERUP => open, --A_TDC_POWERUP, +-- B_TDC_POWERUP => open, --B_TDC_POWERUP, +-- C_TDC_POWERUP => open, --C_TDC_POWERUP, +-- D_TDC_POWERUP => open, --D_TDC_POWERUP, +-- A_TDC_READY => ADO_TTL(3), --A_DATA_READY,mdc addon or +-- B_TDC_READY => '0', --ADO_TTL(3), --B_DATA_READY,mdc addon or +-- C_TDC_READY => '0', --ADO_TTL(3), --C_DATA_READY,mdc addon or +-- D_TDC_READY => '0', --ADO_TTL(3), --D_DATA_READY,mdc addon or +-- SEND_TDC_TOKEN => ado_ttl0_i,--ADO_TTL(1),-- mdc or addon +-- RECEIVED_TDC_TOKEN => ADO_TTL(2),--TOKEN_IN, --mdc addon or +-- --normal +-- GET_TDC_DATA => GET_DATA, +-- TO_MANY_TDC_DATA => to_many_tdc_data_i, +-- TDC_READOUT_COMPLETED => tdc_readout_completed_i, +-- LVL1_TAG => TLK_RXD_i(7 downto 0),--lvl1_trigger_tag_i, --apl_seqnr_out_i, --tdc_tag_i, +-- LVL1_CODE => TLK_RXD_i(11 downto 8),--lvl1_trigger_code_i, --apl_data_out_i(3 downto 0), --tdc_code_i, +-- HOW_MANY_ADD_DATA => fpga_register_06_i(23 downto 16), --how_many_add_data_i, +-- COUNTER_a => x"12331233",--timing_counter(31 downto 0),--test_counter_1, --scaler_counter_0, --x"12311231", +-- COUNTER_b => x"12331233",--timing_counter(63 downto 32),--scaler_counter(0), --x"12321232", +-- COUNTER_c => x"12331233",--scaler_counter(1), --x"12331233", +-- COUNTER_d => x"12331233",--scaler_counter(2), --x"12341234", +-- COUNTER_e => x"12331233",--scaler_counter(3), --x"12351235", +-- COUNTER_f => x"12331233",--scaler_counter(4), --x"12361236", +-- COUNTER_g => x"12331233",--scaler_counter(5), --x"12371237", +-- COUNTER_h => x"12331233",--scaler_counter(6), --x"12381238", +-- LVL2_TRIGGER => lvl2_trigger_i, --lvl2_tdc_trigg_i, here +-- TDC_DATA_OUT => tdc_data_out_i, +-- TDC_DATA_VALID => tdc_data_valid_i, +-- ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i, +-- LVL1_BUSY => lvl1_busy_i, +-- LVL2_BUSY => lvl2_busy_i, +-- TDC_REGISTER_00 => tdc_register_00_i, +-- TDC_REGISTER_01 => tdc_register_01_i, +-- TDC_REGISTER_02 => tdc_register_02_i, +-- TDC_REGISTER_03 => tdc_register_03_i, +-- TDC_REGISTER_04 => tdc_register_04_i, +-- TDC_REGISTER_05 => fpga_register_0e_i, +-- BUNCH_RESET => bunch_reset_i, +-- EVENT_RESET => event_reset_i, +-- READ_ADRESS_END_UP => trb_ack_lvl2_i, +-- DELAY_TRIGGER => x"00", --fpga_register_06_i(31 downto 24), +-- TDC_START => trigger_to_tdc_i, +-- TRIGGER_WITHOUT_HADES => fpga_register_06_i(7), +-- TRIGGER_WITH_GEN_EN => fpga_register_06_i(8), +-- TRIGGER_WITH_GEN => not_hades_trigger --trigger_for_test_signal or generator_trigger +-- ); +-- not_hades_trigger <= ext_trigger_norm or ext_trigger_cal or trigger_for_test_signal; +-- a_trigg <= trigger_to_tdc_i; +-- b_trigg <= trigger_to_tdc_i; +-- c_trigg <= trigger_to_tdc_i; +-- d_trigg <= trigger_to_tdc_i; +-- A_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- B_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- C_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- D_TDC_POWERUP <= '1'; --in trbv2c this is diod +-- DBAD <= lvl1_busy_i; +-- DINT <= etrax_bus_busy_i; +-- DWAIT <= fpga_register_06_i(6); +-- MAKE_LONGER: process (CLK, external_reset_i) +-- begin -- process MAKE_LONGER +-- if rising_edge(CLK) then +-- ado_ttl0_more <= ado_ttl0_i; +-- end if; +-- end process MAKE_LONGER; +-- ADO_TTL(1) <= ado_ttl0_more or ado_ttl0_i; +-- ADO_TTL(19 downto 16) <= ADO_LV(3 downto 0); +-- ADO_TTL(23 downto 20) <= tdc_register_02_i(3 downto 0); +-- ADO_TTL(27 downto 24) <= tdc_data_out_i(3 downto 0); +-- ADO_TTL(28) <= etrax_is_ready_to_read_i; +-- ADO_TTL(29) <= tdc_data_valid_i; + -- normal mdc ---- self mdc @@ -1730,7 +1842,7 @@ begin FPGA_REGISTER_0D => fpga_register_0d_i, FPGA_REGISTER_0E => fpga_register_0e_i, EXTERNAL_RESET => external_reset, - LVL2_VALID => '0' --lvl2_trigger_code_i(3) + LVL2_VALID => lvl2_trigger_code_i(3) ); fpga_register_01_i <= x"0" & "00" & lvds_add_on_data(25 downto 0);--tdc_register_00_i; fpga_register_02_i <= tdc_register_01_i;--tdc_data_valid_i & write_lvl1_busy_i & lvl2_busy_fast & lvl2_busy_i & tdc_lvl2_busy_i & tdc_lvl1_busy_i & lvl1_busy_i & trigger_register_00_i(11 downto 0) & sdram_register_00_i(5 downto 0) & dsp_register_00_i(2 downto 0); @@ -1741,7 +1853,7 @@ begin fpga_register_0a_i <= tlk_register_01_i; fpga_register_0b_i <= "00" & rx_dv_pulse & opt_busy & lvl1_trigger_code_i & lvl1_trigger_tag_i & x"00" & lvl1_trigger_tag_i; -- fpga_register_0b_i <= saved_txd & x"00" & apl_seqnr_out_i; - fpga_register_0c_i <= "00"& TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD; + fpga_register_0c_i <= opt_synch_stat & "0" & TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD; -- fpga_register_0c_i <= med_data_in_i_saved(63 downto 32);--stat_reply_buffer_i; -- fpga_register_0d_i <= med_data_in_i_saved(31 downto 0);--stat_init_buffer_i; SYNCH_RESET: process (CLK) -- 2.43.0