From 635cdbebbda3b21bf0f378eb3cc8e41cbdf25eff Mon Sep 17 00:00:00 2001 From: Peter Lemmens Date: Mon, 16 Mar 2015 15:00:33 +0100 Subject: [PATCH] Minor updates to soda_source.vhd and med_ecp3_sfp_sync_down.vhd --- code/med_ecp3_sfp_sync_down.vhd | 6 ++++-- code/soda_source.vhd | 6 +++--- 2 files changed, 7 insertions(+), 5 deletions(-) diff --git a/code/med_ecp3_sfp_sync_down.vhd b/code/med_ecp3_sfp_sync_down.vhd index 7efdb2b..4f4584d 100644 --- a/code/med_ecp3_sfp_sync_down.vhd +++ b/code/med_ecp3_sfp_sync_down.vhd @@ -107,6 +107,7 @@ signal tx_k : std_logic; signal rx_data : std_logic_vector(7 downto 0); signal rx_k : std_logic; signal rx_error : std_logic; +signal rx_dlm_S : std_logic; --PL! signal rst_n : std_logic; signal rst : std_logic; -- PL! @@ -403,7 +404,7 @@ THE_RX_CONTROL : rx_control START_POSITION_OUT => start_retr_position_i, --send_dlm: 200 MHz, 1 clock strobe, data valid until next DLM - RX_DLM => RX_DLM, + RX_DLM => rx_dlm_S, --RX_DLM, RX_DLM_WORD => RX_DLM_WORD, SEND_LINK_RESET_OUT => send_link_reset_i, @@ -415,6 +416,7 @@ THE_RX_CONTROL : rx_control STAT_REG_OUT => stat_rx_control_i ); +RX_DLM <= rx_dlm_S; --!PL 16032015 MED_DATAREADY_OUT <= buf_med_dataready_out; ------------------------------------------------- @@ -506,7 +508,7 @@ end process; led_ok <= rx_allow and tx_allow when rising_edge(clk_100_osc); led_rx <= (buf_med_dataready_out or led_rx) and not timer(20) when rising_edge(clk_100_osc); led_tx <= (MED_DATAREADY_IN or led_tx or sd_los_i) and not timer(20) when rising_edge(clk_100_osc); -led_dlm <= (led_dlm or RX_DLM) and not timer(20) when rising_edge(clk_100_osc); +led_dlm <= (led_dlm or rx_dlm_S) and not timer(20) when rising_edge(clk_100_osc); ROC_TIMER : process begin wait until rising_edge(clk_100_osc); diff --git a/code/soda_source.vhd b/code/soda_source.vhd index 6c5a7b6..4b259c2 100644 --- a/code/soda_source.vhd +++ b/code/soda_source.vhd @@ -102,13 +102,13 @@ begin --Internal Connection LINK_PHASE_IN => LINK_PHASE_IN, --link_phase_S, PL! SODA_CYCLE_IN => SODA_CYCLE_IN, - + SODA_CMD_WINDOW_IN => soda_cmd_window_S, SODA_CMD_STROBE_IN => soda_send_cmd_S, START_OF_SUPERBURST => start_of_superburst_S, SUPER_BURST_NR_IN => super_burst_nr_S, SODA_CMD_WORD_IN => soda_cmd_word_S, EXPECTED_REPLY_OUT => expected_reply_S, - TIME_CAL_OUT => start_calibration_S, + SEND_TIME_CAL_OUT => start_calibration_S, TX_DLM_PREVIEW_OUT => TX_DLM_PREVIEW_OUT, TX_DLM_OUT => TX_DLM_OUT, TX_DLM_WORD_OUT => TX_DLM_WORD_OUT @@ -252,7 +252,7 @@ soda_cmd_strobe_posedge_to_pulse: posedge_to_pulse PULSE_OUT => soda_cmd_strobe_sodaclk_S ); - SODA_CMD_FLOWCTRL : process(SODACLK) +SODA_CMD_FLOWCTRL : process(SODACLK) begin if( rising_edge(SODACLK) ) then if( RESET = '1' ) then -- 2.43.0