From 648470c67e4a1b3c33d435ecbb417edb4e263138 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Thu, 21 Jun 2012 21:11:06 +0000 Subject: [PATCH] *** empty log message *** --- special/fpga_reboot.vhd | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/special/fpga_reboot.vhd b/special/fpga_reboot.vhd index 3b1e010..9be0432 100644 --- a/special/fpga_reboot.vhd +++ b/special/fpga_reboot.vhd @@ -8,14 +8,14 @@ entity fpga_reboot is CLK : in std_logic; RESET : in std_logic; DO_REBOOT : in std_logic; - PROGRAMN : out std_logic + PROGRAMN : out std_logic := '1' ); end entity; architecture fpga_reboot_arch of fpga_reboot is - signal delayed_restart_fpga : std_logic; + signal delayed_restart_fpga : std_logic := '0'; signal restart_fpga_counter : unsigned(11 downto 0); begin @@ -39,6 +39,6 @@ PROC_REBOOT : process end if; end process; -PROGRAMN <= not delayed_restart_fpga when rising_edge(CLK); +PROGRAMN <= not delayed_restart_fpga; end architecture; \ No newline at end of file -- 2.43.0