From 64b24c36151fed4f3915741a156d13c3bbbfb65f Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Tue, 14 Nov 2017 13:24:32 +0100 Subject: [PATCH] add temperature to outputs of hub streaming --- trb_net16_hub_func.vhd | 3 ++- trb_net16_hub_streaming_port_sctrl_cts.vhd | 2 ++ 2 files changed, 4 insertions(+), 1 deletion(-) diff --git a/trb_net16_hub_func.vhd b/trb_net16_hub_func.vhd index a72189a..15ec032 100644 --- a/trb_net16_hub_func.vhd +++ b/trb_net16_hub_func.vhd @@ -630,7 +630,8 @@ component trb_net16_hub_streaming_port_sctrl_cts is REGIO_TIMEOUT_OUT : out std_logic; EXTERNAL_SEND_RESET : in std_logic := '0'; TIMER_TICKS_OUT : out std_logic_vector(1 downto 0); - + TEMPERATURE_OUT : out std_logic_vector (11 downto 0); + -- Debug and Status Ports ---------------------------------------------------------- HUB_STAT_CHANNEL : out std_logic_vector (4*16-1 downto 0); HUB_STAT_GEN : out std_logic_vector (31 downto 0); diff --git a/trb_net16_hub_streaming_port_sctrl_cts.vhd b/trb_net16_hub_streaming_port_sctrl_cts.vhd index e3abd80..1e0a50f 100644 --- a/trb_net16_hub_streaming_port_sctrl_cts.vhd +++ b/trb_net16_hub_streaming_port_sctrl_cts.vhd @@ -184,6 +184,7 @@ entity trb_net16_hub_streaming_port_sctrl_cts is REGIO_TIMEOUT_OUT : out std_logic; EXTERNAL_SEND_RESET : in std_logic := '0'; TIMER_TICKS_OUT : out std_logic_vector(1 downto 0); + TEMPERATURE_OUT : out std_logic_vector (11 downto 0); -- Debug and Status Ports ---------------------------------------------------------- HUB_STAT_CHANNEL : out std_logic_vector (4*16-1 downto 0); @@ -486,6 +487,7 @@ begin REGIO_UNKNOWN_ADDR_IN => regio_unknown_addr_i, REGIO_TIMEOUT_OUT => regio_timeout_i, TIMER_TICKS_OUT => timer_ticks, + TEMPERATURE_OUT => TEMPERATURE_OUT, ONEWIRE => ONEWIRE, ONEWIRE_MONITOR_IN => ONEWIRE_MONITOR_IN, ONEWIRE_MONITOR_OUT=> ONEWIRE_MONITOR_OUT, -- 2.43.0