From 65c5a13e652e86078ab5d920eaaba9732b64eb7b Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Fri, 1 Jul 2022 23:58:10 +0200 Subject: [PATCH] new ECP3 cores for SGMII and TSMAC --- gbe_trb/base/gbe_wrapper.vhd | 2 +- .../base/gbe_med_interface_single.vhd | 644 ++++ gbe_trb_ecp3/base/gbe_wrapper_single.vhd | 697 ++++ ..._ram_dpEbnonessdn208256208256p13732cfe.ngo | Bin 0 -> 11310 bytes gbe_trb_ecp3/media/serdes_gbe_4ch_ds.lpc | 258 ++ gbe_trb_ecp3/media/serdes_gbe_4ch_ds.txt | 167 + gbe_trb_ecp3/media/serdes_gbe_4ch_ds.vhd | 2792 +++++++++++++++++ gbe_trb_ecp3/media/sgmii_gbe_pcs42.lpc | 36 + gbe_trb_ecp3/media/sgmii_gbe_pcs42.ngo | Bin 0 -> 444341 bytes gbe_trb_ecp3/media/tsmac41.lpc | 37 + gbe_trb_ecp3/media/tsmac41.ngo | Bin 0 -> 807473 bytes 11 files changed, 4632 insertions(+), 1 deletion(-) create mode 100644 gbe_trb_ecp3/base/gbe_med_interface_single.vhd create mode 100644 gbe_trb_ecp3/base/gbe_wrapper_single.vhd create mode 100644 gbe_trb_ecp3/media/pmi_ram_dpEbnonessdn208256208256p13732cfe.ngo create mode 100644 gbe_trb_ecp3/media/serdes_gbe_4ch_ds.lpc create mode 100644 gbe_trb_ecp3/media/serdes_gbe_4ch_ds.txt create mode 100644 gbe_trb_ecp3/media/serdes_gbe_4ch_ds.vhd create mode 100644 gbe_trb_ecp3/media/sgmii_gbe_pcs42.lpc create mode 100644 gbe_trb_ecp3/media/sgmii_gbe_pcs42.ngo create mode 100644 gbe_trb_ecp3/media/tsmac41.lpc create mode 100644 gbe_trb_ecp3/media/tsmac41.ngo diff --git a/gbe_trb/base/gbe_wrapper.vhd b/gbe_trb/base/gbe_wrapper.vhd index 4a23493..ff46605 100644 --- a/gbe_trb/base/gbe_wrapper.vhd +++ b/gbe_trb/base/gbe_wrapper.vhd @@ -1194,7 +1194,7 @@ FWD_FULL_OUT => FWD_FULL_OUT(0), include_debug_gen : if (INCLUDE_DEBUG = 1) generate DEBUG_OUT(63 downto 0) <= monitor_gen_dbg(4 * 64 - 1 downto 3 * 64); - DEBUG_OUT(127 downto 65) <= (others => '0'); + DEBUG_OUT(127 downto 64) <= (others => '0'); end generate; testbench_sim : if DO_SIMULATION = 1 generate diff --git a/gbe_trb_ecp3/base/gbe_med_interface_single.vhd b/gbe_trb_ecp3/base/gbe_med_interface_single.vhd new file mode 100644 index 0000000..8af88a1 --- /dev/null +++ b/gbe_trb_ecp3/base/gbe_med_interface_single.vhd @@ -0,0 +1,644 @@ +LIBRARY IEEE; +USE IEEE.std_logic_1164.ALL; +USE IEEE.std_logic_ARITH.ALL; +USE IEEE.std_logic_UNSIGNED.ALL; + +library work; +use work.trb_net_std.all; +use work.trb_net_components.all; +use work.trb_net_gbe_components.all; +use work.med_sync_define_RS.all; + +entity gbe_med_interface_single is + generic( + NUMBER_OF_GBE_LINKS : integer range 1 to 4 := 4; + LINKS_ACTIVE : std_logic_vector(3 downto 0) := "1111" + ); + port( + RESET : in std_logic; + GSR_N : in std_logic; + CLK_SYS_IN : in std_logic; + CLK_125_OUT : out std_logic; + CLK_125_IN : in std_logic; + CLK_125_RX_OUT : out std_logic; + -- MAC status and config + MAC_READY_CONF_OUT : out std_logic; + MAC_RECONF_IN : in std_logic; + MAC_AN_READY_OUT : out std_logic; + -- MAC data interface + MAC_FIFOAVAIL_IN : in std_logic; + MAC_FIFOEOF_IN : in std_logic; + MAC_FIFOEMPTY_IN : in std_logic; + MAC_RX_FIFOFULL_IN : in std_logic; + -- MAC TX interface + MAC_TX_DATA_IN : in std_logic_vector(7 downto 0); + MAC_TX_READ_OUT : out std_logic; + MAC_TX_DISCRFRM_OUT : out std_logic; + MAC_TX_STAT_EN_OUT : out std_logic; + MAC_TX_STATS_OUT : out std_logic_vector(30 downto 0); + MAC_TX_DONE_OUT : out std_logic; + -- MAC RX interface + MAC_RX_FIFO_ERR_OUT : out std_logic; + MAC_RX_STATS_OUT : out std_logic_vector(31 downto 0); + MAC_RX_DATA_OUT : out std_logic_vector(7 downto 0); + MAC_RX_WRITE_OUT : out std_logic; + MAC_RX_STAT_EN_OUT : out std_logic; + MAC_RX_EOF_OUT : out std_logic; + MAC_RX_ERROR_OUT : out std_logic; + --SFP Connection + SD_RXD_P_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + SD_RXD_N_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + SD_TXD_P_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + SD_TXD_N_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + SD_PRSNT_N_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + SD_LOS_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); -- SFP Loss Of Signal ('0' = OK, '1' = no signal) + SD_TXDIS_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); -- SFP disable + -- Debug + STATUS_OUT : out std_logic_vector(7 downto 0); + DEBUG_OUT : out std_logic_vector(63 downto 0) + ); +end entity gbe_med_interface_single; + +architecture RTL of gbe_med_interface_single is + + component sgmii_gbe_pcs42 + port( + rst_n : in std_logic; + signal_detect : in std_logic; + gbe_mode : in std_logic; + sgmii_mode : in std_logic; + operational_rate : in std_logic_vector(1 downto 0); + debug_link_timer_short : in std_logic; + + force_isolate : in std_logic; + force_loopback : in std_logic; + force_unidir : in std_logic; + + rx_compensation_err : out std_logic; + + ctc_drop_flag : out std_logic; + ctc_add_flag : out std_logic; + an_link_ok : out std_logic; + + tx_clk_125 : in std_logic; + tx_clock_enable_source : out std_logic; + tx_clock_enable_sink : in std_logic; + tx_d : in std_logic_vector(7 downto 0); + tx_en : in std_logic; + tx_er : in std_logic; + rx_clk_125 : in std_logic; + rx_clock_enable_source : out std_logic; + rx_clock_enable_sink : in std_logic; + rx_d : out std_logic_vector(7 downto 0); + rx_dv : out std_logic; + rx_er : out std_logic; + col : out std_logic; + crs : out std_logic; + tx_data : out std_logic_vector(7 downto 0); + tx_kcntl : out std_logic; + tx_disparity_cntl : out std_logic; + + xmit_autoneg : out std_logic; + + serdes_recovered_clk : in std_logic; + rx_data : in std_logic_vector(7 downto 0); + rx_even : in std_logic; + rx_kcntl : in std_logic; + rx_disp_err : in std_logic; + rx_cv_err : in std_logic; + rx_err_decode_mode : in std_logic; + mr_an_complete : out std_logic; + mr_page_rx : out std_logic; + mr_lp_adv_ability : out std_logic_vector(15 downto 0); + mr_main_reset : in std_logic; + mr_an_enable : in std_logic; + mr_restart_an : in std_logic; + mr_adv_ability : in std_logic_vector(15 downto 0) + ); + end component; + + component tsmac41 + port( + --------------- clock and reset port declarations ------------------ + hclk : in std_logic; + txmac_clk : in std_logic; + rxmac_clk : in std_logic; + reset_n : in std_logic; + ------------------- Input signals to the GMII ---------------- + rxd : in std_logic_vector(7 downto 0); + rx_dv : in std_logic; + rx_er : in std_logic; + -------------------- Input signals to the CPU I/F ------------------- + haddr : in std_logic_vector(7 downto 0); + hdatain : in std_logic_vector(7 downto 0); + hcs_n : in std_logic; + hwrite_n : in std_logic; + hread_n : in std_logic; + ---------------- Input signals to the Tx MAC FIFO I/F --------------- + tx_fifodata : in std_logic_vector(7 downto 0); + tx_fifoavail : in std_logic; + tx_fifoeof : in std_logic; + tx_fifoempty : in std_logic; + tx_sndpaustim : in std_logic_vector(15 downto 0); + tx_sndpausreq : in std_logic; + tx_fifoctrl : in std_logic; + ---------------- Input signals to the Rx MAC FIFO I/F --------------- + rx_fifo_full : in std_logic; + ignore_pkt : in std_logic; + -------------------- Output signals from the GMII ----------------------- + txd : out std_logic_vector(7 downto 0); + tx_en : out std_logic; + tx_er : out std_logic; + -------------------- Output signals from the CPU I/F ------------------- + hdataout : out std_logic_vector(7 downto 0); + hdataout_en_n : out std_logic; + hready_n : out std_logic; + cpu_if_gbit_en : out std_logic; + ---------------- Output signals from the Tx MAC FIFO I/F --------------- + tx_macread : out std_logic; + tx_discfrm : out std_logic; + tx_staten : out std_logic; + tx_done : out std_logic; + tx_statvec : out std_logic_vector(30 downto 0); + ---------------- Output signals from the Rx MAC FIFO I/F --------------- + rx_fifo_error : out std_logic; + rx_stat_vector : out std_logic_vector(31 downto 0); + rx_dbout : out std_logic_vector(7 downto 0); + rx_write : out std_logic; + rx_stat_en : out std_logic; + rx_eof : out std_logic; + rx_error : out std_logic + ); + end component; + + signal sd_rx_clk : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal sd_tx_kcntl : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal sd_tx_data : std_logic_vector(8 * NUMBER_OF_GBE_LINKS - 1 downto 0); + signal xmit : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal sd_tx_correct_disp : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal sd_rx_data : std_logic_vector(8 * NUMBER_OF_GBE_LINKS - 1 downto 0); + signal sd_rx_kcntl : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal sd_rx_disp_error : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal sd_rx_cv_error : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal lsm_status : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal rx_clk_en : std_logic; + signal tx_clk_en : std_logic; + signal operational_rate : std_logic_vector(1 downto 0); + signal an_complete : std_logic; + signal mr_page_rx : std_logic; + signal mr_lp_adv_ability : std_logic_vector(15 downto 0); + signal mr_main_reset : std_logic; + signal mr_restart_an : std_logic; + signal mr_adv_ability : std_logic_vector(15 downto 0); + signal mr_an_enable : std_logic; + signal an_link_ok : std_logic; + signal pcs_rxd : std_logic_vector(7 downto 0); + signal pcs_rx_en : std_logic; + signal pcs_rx_er : std_logic; + signal pcs_txd : std_logic_vector(7 downto 0); + signal pcs_tx_en : std_logic; + signal pcs_tx_er : std_logic; + signal tsm_hdataout_en_n : std_logic; + signal tsm_hready_n : std_logic; + signal tsm_hread_n : std_logic; + signal tsm_hwrite_n : std_logic; + signal tsm_hcs_n : std_logic; + signal tsm_hdata : std_logic_vector(7 downto 0); + signal tsm_haddr : std_logic_vector(7 downto 0); + + signal synced_rst : std_logic; + + signal fifo_eof_q, fifo_eof_qq, fifo_eof_qqq, fifo_eof_qqqq : std_logic; + + signal powerup_ch : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal link_rx_ready : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal rx_los_low : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal rx_cdr_lol : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal rx_pcs_rst : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal rx_pcs_rst_q : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal rx_serdes_rst : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal rx_serdes_rst_q : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + signal rst_quad : std_logic; + signal tx_pcs_rst : std_logic; + signal link_tx_ready : std_logic; + signal tx_plol_lol : std_logic; + + signal debug : std_logic_vector(63 downto 0); + + -- for replacing register interface + signal delay_q : std_logic_vector(7 downto 0); + signal pulse : std_logic; + + signal led_timer : unsigned(19 downto 0); + signal led_timer_done_x : std_logic; + signal led_timer_done : std_logic; + signal led_activity_x : std_logic; + signal led_activity : std_logic_vector(1 downto 0); + +begin + + -- We allow only one GbE in ECP5 for now + assert not (LINKS_ACTIVE = b"0000") report "Error: no GbE interface selected" severity error; + + assert ((LINKS_ACTIVE = b"0001") or (LINKS_ACTIVE = b"0010") or (LINKS_ACTIVE = b"0100") or (LINKS_ACTIVE = b"1000")) + report "Error: only one GbE interface allowed" severity error; + + -------------------------------------------------------------------- + -------------------------------------------------------------------- + DEBUG_OUT <= debug; + -- debug(19..0) are on INTCOM + -- debug(33..20) are on GPIO + -- 33 = CLK2 (white/green) + -- 32 = CLK1 (white/blue) + -------------------------------------------------------------------- + -------------------------------------------------------------------- + + synced_rst <= GSR_N; + rst_quad <= not GSR_N; + + SD_TXDIS_OUT <= (others => '0'); --- BUG + + CLK_125_OUT <= CLK_125_IN; + + gbe_serdes: entity serdes_gbe_4ch_ds + port map( + -- CH0 -- + hdinp_ch0 => SD_RXD_P_IN(0), + hdinn_ch0 => SD_RXD_N_IN(0), + hdoutp_ch0 => SD_TXD_P_OUT(0), + hdoutn_ch0 => SD_TXD_N_OUT(0), + rxiclk_ch0 => sd_rx_clk(0), + txiclk_ch0 => CLK_125_IN, + rx_full_clk_ch0 => sd_rx_clk(0), + rx_half_clk_ch0 => open, + tx_full_clk_ch0 => open, + tx_half_clk_ch0 => open, + fpga_rxrefclk_ch0 => CLK_125_IN, + txdata_ch0 => sd_tx_data(7 downto 0), + tx_k_ch0 => sd_tx_kcntl(0), + xmit_ch0 => xmit(0), + tx_disp_correct_ch0 => sd_tx_correct_disp(0), + rxdata_ch0 => sd_rx_data(7 downto 0), + rx_k_ch0 => sd_rx_kcntl(0), + rx_disp_err_ch0 => sd_rx_disp_error(0), + rx_cv_err_ch0 => sd_rx_cv_error(0), + rx_serdes_rst_ch0_c => rx_serdes_rst(0), + sb_felb_ch0_c => '0', + sb_felb_rst_ch0_c => '0', + tx_pcs_rst_ch0_c => tx_pcs_rst, + tx_pwrup_ch0_c => powerup_ch(0), + rx_pcs_rst_ch0_c => rx_pcs_rst(0), + rx_pwrup_ch0_c => powerup_ch(0), + rx_los_low_ch0_s => rx_los_low(0), + lsm_status_ch0_s => lsm_status(0), + rx_cdr_lol_ch0_s => rx_cdr_lol(0), + -- CH1 -- + hdinp_ch1 => SD_RXD_P_IN(1), + hdinn_ch1 => SD_RXD_N_IN(1), + hdoutp_ch1 => SD_TXD_P_OUT(1), + hdoutn_ch1 => SD_TXD_N_OUT(1), + rxiclk_ch1 => sd_rx_clk(1), + txiclk_ch1 => CLK_125_IN, + rx_full_clk_ch1 => sd_rx_clk(1), + rx_half_clk_ch1 => open, + tx_full_clk_ch1 => open, + tx_half_clk_ch1 => open, + fpga_rxrefclk_ch1 => CLK_125_IN, + txdata_ch1 => sd_tx_data(15 downto 8), + tx_k_ch1 => sd_tx_kcntl(1), + xmit_ch1 => xmit(1), + tx_disp_correct_ch1 => sd_tx_correct_disp(1), + rxdata_ch1 => sd_rx_data(15 downto 8), + rx_k_ch1 => sd_rx_kcntl(1), + rx_disp_err_ch1 => sd_rx_disp_error(1), + rx_cv_err_ch1 => sd_rx_cv_error(1), + rx_serdes_rst_ch1_c => rx_serdes_rst(1), + sb_felb_ch1_c => '0', + sb_felb_rst_ch1_c => '0', + tx_pcs_rst_ch1_c => tx_pcs_rst, + tx_pwrup_ch1_c => powerup_ch(1), + rx_pcs_rst_ch1_c => rx_pcs_rst(1), + rx_pwrup_ch1_c => powerup_ch(1), + rx_los_low_ch1_s => rx_los_low(1), + lsm_status_ch1_s => lsm_status(1), + rx_cdr_lol_ch1_s => rx_cdr_lol(1), + -- CH2 -- + hdinp_ch2 => SD_RXD_P_IN(2), + hdinn_ch2 => SD_RXD_N_IN(2), + hdoutp_ch2 => SD_TXD_P_OUT(2), + hdoutn_ch2 => SD_TXD_N_OUT(2), + rxiclk_ch2 => sd_rx_clk(2), + txiclk_ch2 => CLK_125_IN, + rx_full_clk_ch2 => sd_rx_clk(2), + rx_half_clk_ch2 => open, + tx_full_clk_ch2 => open, + tx_half_clk_ch2 => open, + fpga_rxrefclk_ch2 => CLK_125_IN, + txdata_ch2 => sd_tx_data(23 downto 16), + tx_k_ch2 => sd_tx_kcntl(2), + xmit_ch2 => xmit(2), + tx_disp_correct_ch2 => sd_tx_correct_disp(2), + rxdata_ch2 => sd_rx_data(23 downto 16), + rx_k_ch2 => sd_rx_kcntl(2), + rx_disp_err_ch2 => sd_rx_disp_error(2), + rx_cv_err_ch2 => sd_rx_cv_error(2), + rx_serdes_rst_ch2_c => rx_serdes_rst(2), + sb_felb_ch2_c => '0', + sb_felb_rst_ch2_c => '0', + tx_pcs_rst_ch2_c => tx_pcs_rst, + tx_pwrup_ch2_c => powerup_ch(2), + rx_pcs_rst_ch2_c => rx_pcs_rst(2), + rx_pwrup_ch2_c => powerup_ch(2), + rx_los_low_ch2_s => rx_los_low(2), + lsm_status_ch2_s => lsm_status(2), + rx_cdr_lol_ch2_s => rx_cdr_lol(2), + -- CH3 -- + hdinp_ch3 => SD_RXD_P_IN(3), + hdinn_ch3 => SD_RXD_N_IN(3), + hdoutp_ch3 => SD_TXD_P_OUT(3), + hdoutn_ch3 => SD_TXD_N_OUT(3), + rxiclk_ch3 => sd_rx_clk(3), + txiclk_ch3 => CLK_125_IN, + rx_full_clk_ch3 => sd_rx_clk(3), + rx_half_clk_ch3 => open, + tx_full_clk_ch3 => open, + tx_half_clk_ch3 => open, + fpga_rxrefclk_ch3 => CLK_125_IN, + txdata_ch3 => sd_tx_data(31 downto 24), + tx_k_ch3 => sd_tx_kcntl(3), + xmit_ch3 => xmit(3), + tx_disp_correct_ch3 => sd_tx_correct_disp(3), + rxdata_ch3 => sd_rx_data(31 downto 24), + rx_k_ch3 => sd_rx_kcntl(3), + rx_disp_err_ch3 => sd_rx_disp_error(3), + rx_cv_err_ch3 => sd_rx_cv_error(3), + rx_serdes_rst_ch3_c => rx_serdes_rst(3), + sb_felb_ch3_c => '0', + sb_felb_rst_ch3_c => '0', + tx_pcs_rst_ch3_c => tx_pcs_rst, + tx_pwrup_ch3_c => powerup_ch(3), + rx_pcs_rst_ch3_c => rx_pcs_rst(3), + rx_pwrup_ch3_c => powerup_ch(3), + rx_los_low_ch3_s => rx_los_low(3), + lsm_status_ch3_s => lsm_status(3), + rx_cdr_lol_ch3_s => rx_cdr_lol(3), + ---- Miscallaneous ports + fpga_txrefclk => CLK_125_IN, + tx_serdes_rst_c => '0', + tx_pll_lol_qd_s => tx_plol_lol, + rst_qd_c => rst_quad, + serdes_rst_qd_c => '0', + tx_sync_qd_c => '0' + ); + + -- RSL for TX of SerDes, based on extRSL logic + THE_MAIN_TX_RST: main_tx_reset_RS + port map ( + CLEAR => rst_quad, + CLK_REF => CLK_125_IN, + TX_PLL_LOL_IN => tx_plol_lol, + TX_CLOCK_AVAIL_IN => '1', -- not needed here + TX_PCS_RST_CH_C_OUT => tx_pcs_rst, + SYNC_TX_QUAD_OUT => open, --not needed here + LINK_TX_READY_OUT => link_tx_ready, + STATE_OUT => open + ); + + CHANNEL_GEN : for i in 0 to NUMBER_OF_GBE_LINKS - 1 generate + + CHANNEL_ACTIVE_GEN : if LINKS_ACTIVE(i) = '1' generate + + powerup_ch(i) <= '1'; + + -- RSL for RX of SerDes, based on extRSL logic + -- CAVEAT: reset signals MUST BE sync'ed to recovered RX clock! + THE_MAIN_RX_RST: main_rx_reset_RS + port map( + CLEAR => rst_quad, + CLK_REF => CLK_125_IN, + CDR_LOL_IN => rx_cdr_lol(i), + CV_IN => sd_rx_cv_error(i), + LSM_IN => lsm_status(i), + LOS_IN => rx_los_low(i), + WAP_ZERO_IN => '1', -- not needed here + -- outputs + WAP_REQ_OUT => open, -- not needed here + RX_SERDES_RST_OUT => rx_serdes_rst(i), -- CLK_REF based + RX_PCS_RST_OUT => rx_pcs_rst(i), -- CLK_REF based + LINK_RX_READY_OUT => link_rx_ready(i), -- CLK_REF based + STATE_OUT => open + ); + + -- reset signals for RX SerDes need to be sync'ed to real RX clock for ECP5 + SYNC_RST_SIGS: entity work.signal_sync + generic map( WIDTH => 2 ) + port map( + RESET => '0', + CLK0 => sd_rx_clk(i), + CLK1 => sd_rx_clk(i), + D_IN(0) => rx_pcs_rst(i), + D_IN(1) => rx_serdes_rst(i), + D_OUT(0) => rx_pcs_rst_q(i), + D_OUT(1) => rx_serdes_rst_q(i) + ); + + CLK_125_RX_OUT <= sd_rx_clk(i); + + -- SGMII core + SGMII_GBE_PCS : sgmii_gbe_pcs42 + port map( + rst_n => synced_rst, + signal_detect => link_rx_ready(i), + gbe_mode => '1', + sgmii_mode => '0', + operational_rate => operational_rate, + debug_link_timer_short => '0', + force_isolate => '0', + force_loopback => '0', + force_unidir => '0', + rx_compensation_err => open, + ctc_drop_flag => open, + ctc_add_flag => open, + an_link_ok => open, + -- MAC interface + tx_clk_125 => CLK_125_IN, + tx_clock_enable_source => tx_clk_en, + tx_clock_enable_sink => tx_clk_en, + tx_d => pcs_txd, -- TX data from MAC + tx_en => pcs_tx_en, -- TX data enable from MAC + tx_er => pcs_tx_er, -- TX error from MAC + rx_clk_125 => CLK_125_IN, + rx_clock_enable_source => rx_clk_en, + rx_clock_enable_sink => rx_clk_en, + rx_d => pcs_rxd, -- RX data to MAC + rx_dv => pcs_rx_en, -- RX data enable to MAC + rx_er => pcs_rx_er, -- RX error to MAC + col => open, + crs => open, + -- SerDes interface + tx_data => sd_tx_data((i + 1) * 8 - 1 downto i * 8), -- TX data to SerDes + tx_kcntl => sd_tx_kcntl(i), -- TX komma control to SerDes + tx_disparity_cntl => sd_tx_correct_disp(i), -- idle parity state control in IPG (to SerDes) + xmit_autoneg => xmit(i), + serdes_recovered_clk => sd_rx_clk(i), -- 125MHz recovered from receive bit stream + rx_data => sd_rx_data((i+1) * 8 - 1 downto i * 8), -- RX data from SerDes + rx_kcntl => sd_rx_kcntl(i), -- RX komma control from SerDes + rx_err_decode_mode => '0', -- receive error control mode fixed to normal + rx_even => '0', -- unused (receive error control mode = normal, tie to GND) + rx_disp_err => sd_rx_disp_error(i), -- RX disparity error from SerDes + rx_cv_err => sd_rx_cv_error(i), -- RX code violation error from SerDes + -- Autonegotiation stuff + mr_an_complete => an_complete, + mr_page_rx => mr_page_rx, + mr_lp_adv_ability => mr_lp_adv_ability, + mr_main_reset => mr_main_reset, + mr_an_enable => mr_an_enable, + mr_restart_an => mr_restart_an, + mr_adv_ability => mr_adv_ability + ); + + MAC_AN_READY_OUT <= an_complete; + + --- SIMPLE --- + operational_rate <= b"10"; + --- /SIMPLE --- + + --- SIMPLE --- + mr_main_reset <= rst_quad; + mr_restart_an <= pulse; + mr_an_enable <= link_rx_ready(i); + mr_adv_ability <= x"0020"; + + SYNC_PROC: process( CLK_125_IN ) + begin + if( rising_edge(CLK_125_IN) ) then + delay_q <= delay_q(6 downto 0) & link_rx_ready(i); + end if; + end process SYNC_PROC; + + pulse <= not delay_q(7) and delay_q(6); + --- /SIMPLE --- + + MAC: tsmac41 + port map( + ----------------- clock and reset port declarations ------------------ + hclk => CLK_SYS_IN, + txmac_clk => CLK_125_IN, + rxmac_clk => CLK_125_IN, + reset_n => synced_rst, -- was GSR_N + ------------------- Input signals to the GMII ---------------- + rxd => pcs_rxd, + rx_dv => pcs_rx_en, + rx_er => pcs_rx_er, + -------------------- Input signals to the CPU I/F ------------------- + haddr => tsm_haddr, + hdatain => tsm_hdata, + hcs_n => tsm_hcs_n, + hwrite_n => tsm_hwrite_n, + hread_n => tsm_hread_n, + ---------------- Input signals to the Tx MAC FIFO I/F --------------- + tx_fifodata => MAC_TX_DATA_IN, + tx_fifoavail => MAC_FIFOAVAIL_IN, + tx_fifoeof => MAC_FIFOEOF_IN, + tx_fifoempty => MAC_FIFOEMPTY_IN, + tx_sndpaustim => x"0000", + tx_sndpausreq => '0', + tx_fifoctrl => '0', -- always data frame + ---------------- Input signals to the Rx MAC FIFO I/F --------------- + rx_fifo_full => MAC_RX_FIFOFULL_IN, + ignore_pkt => '0', + ---------------- Output signals from the GMII ----------------------- + txd => pcs_txd, + tx_en => pcs_tx_en, + tx_er => pcs_tx_er, + ----------------- Output signals from the CPU I/F ------------------- + hdataout => open, + hdataout_en_n => tsm_hdataout_en_n, + hready_n => tsm_hready_n, + cpu_if_gbit_en => open, + ------------- Output signals from the Tx MAC FIFO I/F --------------- + tx_macread => MAC_TX_READ_OUT, + tx_discfrm => MAC_TX_DISCRFRM_OUT, + tx_staten => MAC_TX_STAT_EN_OUT, + tx_statvec => MAC_TX_STATS_OUT, + tx_done => MAC_TX_DONE_OUT, + ------------- Output signals from the Rx MAC FIFO I/F --------------- + rx_fifo_error => MAC_RX_FIFO_ERR_OUT, + rx_stat_vector => MAC_RX_STATS_OUT, + rx_dbout => MAC_RX_DATA_OUT, + rx_write => MAC_RX_WRITE_OUT, + rx_stat_en => MAC_RX_STAT_EN_OUT, + rx_eof => MAC_RX_EOF_OUT, + rx_error => MAC_RX_ERROR_OUT + ); + + TSMAC_CONTROLLER : trb_net16_gbe_mac_control + port map( + CLK => CLK_SYS_IN, + RESET => RESET, + -- signals to/from main controller + MC_TSMAC_READY_OUT => MAC_READY_CONF_OUT, + MC_RECONF_IN => MAC_RECONF_IN, + MC_GBE_EN_IN => '1', + MC_RX_DISCARD_FCS => '0', + MC_PROMISC_IN => '1', + MC_MAC_ADDR_IN => (others => '0'), + -- signal to/from Host interface of TriSpeed MAC + TSM_HADDR_OUT => tsm_haddr, + TSM_HDATA_OUT => tsm_hdata, + TSM_HCS_N_OUT => tsm_hcs_n, + TSM_HWRITE_N_OUT => tsm_hwrite_n, + TSM_HREAD_N_OUT => tsm_hread_n, + TSM_HREADY_N_IN => tsm_hready_n, + TSM_HDATA_EN_N_IN => tsm_hdataout_en_n, + -- Debug + DEBUG_OUT => open + ); + + -- Status signals + STATUS_OUT(7) <= '0'; + STATUS_OUT(6) <= '0'; + STATUS_OUT(5) <= led_activity(1); -- long LED signal for activity + STATUS_OUT(4) <= pcs_rx_en; -- SerDes RX activity + STATUS_OUT(3) <= pcs_tx_en; -- SerDes TX activity + STATUS_OUT(2) <= an_complete; -- GbE Autonegotiation completed + STATUS_OUT(1) <= link_rx_ready(i); -- SerDes Rx channel operational + STATUS_OUT(0) <= link_tx_ready; -- SerDes TX channel operational + + end generate CHANNEL_ACTIVE_GEN; + + CHANNEL_INACTIVE_GEN : if LINKS_ACTIVE(i) = '0' generate + + powerup_ch(i) <= '0'; + rx_pcs_rst_q(i) <= '1'; + rx_serdes_rst_q(i) <= '1'; + + end generate CHANNEL_INACTIVE_GEN; + + end generate CHANNEL_GEN; + + THE_LED_TIMER_PROC: process( CLK_125_IN ) + begin + if( rising_edge(CLK_125_IN) ) then + led_timer_done <= led_timer_done_x; + led_timer <= led_timer + 1; + end if; + end process THE_LED_TIMER_PROC; + + led_timer_done_x <= '1' when (std_logic_vector(led_timer) = x"fffff") else '0'; + + led_activity_x <= pcs_rx_en or pcs_tx_en; + + THE_LED_ACT_PROC: process( CLK_125_IN ) + begin + if( rising_edge(CLK_125_IN) ) then + if( led_activity_x = '1' ) then + led_activity(0) <= '1'; + end if; + if( led_timer_done = '1' ) then + led_activity <= led_activity(0) & '0'; + end if; + end if; + end process THE_LED_ACT_PROC; + +end architecture RTL; diff --git a/gbe_trb_ecp3/base/gbe_wrapper_single.vhd b/gbe_trb_ecp3/base/gbe_wrapper_single.vhd new file mode 100644 index 0000000..2083c96 --- /dev/null +++ b/gbe_trb_ecp3/base/gbe_wrapper_single.vhd @@ -0,0 +1,697 @@ +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.std_logic_ARITH.all; +use IEEE.std_logic_UNSIGNED.all; + +library work; +use work.trb_net_std.all; +use work.trb_net_components.all; + +use work.trb_net_gbe_components.all; +use work.trb_net_gbe_protocols.all; + + +entity gbe_wrapper_single is + generic( + DO_SIMULATION : integer range 0 to 1 := 0; + INCLUDE_DEBUG : integer range 0 to 1 := 0; + USE_INTERNAL_TRBNET_DUMMY : integer range 0 to 1 := 0; -- only for debugging + USE_EXTERNAL_TRBNET_DUMMY : integer range 0 to 1 := 0; -- only for debugging + RX_PATH_ENABLE : integer range 0 to 1 := 1; -- + FIXED_SIZE_MODE : integer range 0 to 1 := 1; -- only for debugging + INCREMENTAL_MODE : integer range 0 to 1 := 0; -- only for debugging + FIXED_SIZE : integer range 0 to 65535 := 10; -- only for debugging + FIXED_DELAY_MODE : integer range 0 to 1 := 1; -- only for debugging + UP_DOWN_MODE : integer range 0 to 1 := 0; -- only for debugging + UP_DOWN_LIMIT : integer range 0 to 16777215 := 0; -- only for debugging + FIXED_DELAY : integer range 0 to 16777215 := 16777215; -- only for debugging + NUMBER_OF_GBE_LINKS : integer range 1 to 4 := 4; + LINKS_ACTIVE : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_PING : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_ARP : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_DHCP : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_READOUT : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_SLOWCTRL : std_logic_vector(3 downto 0) := "1111"; + LINK_HAS_FWD : std_logic_vector(3 downto 0) := "1111" + ); + port( + CLK_SYS_IN : in std_logic; + CLK_125_IN : in std_logic; + RESET : in std_logic; + GSR_N : in std_logic; + SD_PRSNT_N_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + SD_LOS_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); -- SFP Loss Of Signal ('0' = OK, '1' = no signal) + SD_TXDIS_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); -- SFP disable + -- + TRIGGER_IN : in std_logic; -- for debug purpose only + -- CTS interface + CTS_NUMBER_IN : in std_logic_vector(15 downto 0) := (others => '0'); + CTS_CODE_IN : in std_logic_vector(7 downto 0) := (others => '0'); + CTS_INFORMATION_IN : in std_logic_vector(7 downto 0) := (others => '0'); + CTS_READOUT_TYPE_IN : in std_logic_vector(3 downto 0) := (others => '0'); + CTS_START_READOUT_IN : in std_logic := '0'; + CTS_DATA_OUT : out std_logic_vector(31 downto 0); + CTS_DATAREADY_OUT : out std_logic; + CTS_READOUT_FINISHED_OUT : out std_logic; + CTS_READ_IN : in std_logic := '0'; + CTS_LENGTH_OUT : out std_logic_vector(15 downto 0); + CTS_ERROR_PATTERN_OUT : out std_logic_vector(31 downto 0); + -- Data payload interface + FEE_DATA_IN : in std_logic_vector(15 downto 0) := (others => '0'); + FEE_DATAREADY_IN : in std_logic := '0'; + FEE_READ_OUT : out std_logic; + FEE_STATUS_BITS_IN : in std_logic_vector(31 downto 0) := (others => '0'); + FEE_BUSY_IN : in std_logic := '0'; + -- SlowControl + MY_TRBNET_ADDRESS_IN : in std_logic_vector(15 downto 0); + ISSUE_REBOOT_OUT : out std_logic; + MC_UNIQUE_ID_IN : in std_logic_vector(63 downto 0); + GSC_CLK_IN : in std_logic; + GSC_INIT_DATAREADY_OUT : out std_logic; + GSC_INIT_DATA_OUT : out std_logic_vector(15 downto 0); + GSC_INIT_PACKET_NUM_OUT : out std_logic_vector(2 downto 0); + GSC_INIT_READ_IN : in std_logic; + GSC_REPLY_DATAREADY_IN : in std_logic; + GSC_REPLY_DATA_IN : in std_logic_vector(15 downto 0); + GSC_REPLY_PACKET_NUM_IN : in std_logic_vector(2 downto 0); + GSC_REPLY_READ_OUT : out std_logic; + GSC_BUSY_IN : in std_logic; + -- IP configuration + BUS_IP_RX : in CTRLBUS_RX; + BUS_IP_TX : out CTRLBUS_TX; + -- Registers config + BUS_REG_RX : in CTRLBUS_RX; + BUS_REG_TX : out CTRLBUS_TX; + -- Forwarder + FWD_DST_MAC_IN : in std_logic_vector(48 * NUMBER_OF_GBE_LINKS - 1 downto 0) := (others => '0'); + FWD_DST_IP_IN : in std_logic_vector(32 * NUMBER_OF_GBE_LINKS - 1 downto 0) := (others => '0'); + FWD_DST_UDP_IN : in std_logic_vector(16 * NUMBER_OF_GBE_LINKS - 1 downto 0) := (others => '0'); + FWD_DATA_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS * 8 - 1 downto 0) := (others => '0'); + FWD_DATA_VALID_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0) := (others => '0'); + FWD_SOP_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0) := (others => '0'); + FWD_EOP_IN : in std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0) := (others => '0'); + FWD_READY_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + FWD_FULL_OUT : out std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + -- + MAKE_RESET_OUT : out std_logic; + -- + STATUS_OUT : out std_logic_vector(15 downto 0); + DEBUG_OUT : out std_logic_vector(127 downto 0) + ); +end entity gbe_wrapper_single; + +architecture RTL of gbe_wrapper_single is + signal mac_ready_conf : std_logic; + signal mac_reconf : std_logic; + signal mac_an_ready : std_logic; + signal mac_fifoavail : std_logic; + signal mac_fifoeof : std_logic; + signal mac_fifoempty : std_logic; + signal mac_rx_fifofull : std_logic; + signal mac_tx_data : std_logic_vector(7 downto 0); + signal mac_tx_read : std_logic; + signal mac_tx_discrfrm : std_logic; + signal mac_tx_stat_en : std_logic; + signal mac_tx_stats : std_logic_vector(30 downto 0); + signal mac_tx_done : std_logic; + signal mac_rx_fifo_err : std_logic; + signal mac_rx_stats : std_logic_vector(31 downto 0); + signal mac_rx_data : std_logic_vector(7 downto 0); + signal mac_rx_write : std_logic; + signal mac_rx_stat_en : std_logic; + signal mac_rx_eof : std_logic; + signal mac_rx_err : std_logic; + + signal cfg_gbe_enable : std_logic; + signal cfg_ipu_enable : std_logic; + signal cfg_mult_enable : std_logic; + signal cfg_subevent_id : std_logic_vector(31 downto 0); + signal cfg_subevent_dec : std_logic_vector(31 downto 0); + signal cfg_queue_dec : std_logic_vector(31 downto 0); + signal cfg_readout_ctr : std_logic_vector(23 downto 0); + signal cfg_readout_ctr_valid : std_logic; + signal cfg_insert_ttype : std_logic; + signal cfg_max_sub : std_logic_vector(15 downto 0); + signal cfg_max_queue : std_logic_vector(15 downto 0); + signal cfg_max_subs_in_queue : std_logic_vector(15 downto 0); + signal cfg_max_single_sub : std_logic_vector(15 downto 0); + signal cfg_additional_hdr : std_logic; + signal cfg_soft_rst : std_logic; + signal cfg_allow_rx : std_logic; + signal cfg_max_frame : std_logic_vector(15 downto 0); + + signal dbg_hist, dbg_hist2 : hist_array; + + signal mac_0 : std_logic_vector(47 downto 0); + signal cfg_max_reply : std_logic_vector(31 downto 0); + + signal mlt_cts_number : std_logic_vector(15 downto 0); + signal mlt_cts_code : std_logic_vector(7 downto 0); + signal mlt_cts_information : std_logic_vector(7 downto 0); + signal mlt_cts_readout_type : std_logic_vector(3 downto 0); + signal mlt_cts_start_readout : std_logic_vector(0 downto 0); + signal mlt_cts_data : std_logic_vector(31 downto 0); + signal mlt_cts_dataready : std_logic_vector(0 downto 0); + signal mlt_cts_readout_finished : std_logic_vector(0 downto 0); + signal mlt_cts_read : std_logic_vector(0 downto 0); + signal mlt_cts_length : std_logic_vector(15 downto 0); + signal mlt_cts_error_pattern : std_logic_vector(31 downto 0); + signal mlt_fee_data : std_logic_vector(15 downto 0); + signal mlt_fee_dataready : std_logic_vector(0 downto 0); + signal mlt_fee_read : std_logic_vector(0 downto 0); + signal mlt_fee_status : std_logic_vector(31 downto 0); + signal mlt_fee_busy : std_logic_vector(0 downto 0); + + signal mlt_gsc_clk : std_logic; + signal mlt_gsc_init_dataready : std_logic; + signal mlt_gsc_init_data : std_logic_vector(15 downto 0); + signal mlt_gsc_init_packet : std_logic_vector(2 downto 0); + signal mlt_gsc_init_read : std_logic; + signal mlt_gsc_reply_dataready : std_logic; + signal mlt_gsc_reply_data : std_logic_vector(15 downto 0); + signal mlt_gsc_reply_packet : std_logic_vector(2 downto 0); + signal mlt_gsc_reply_read : std_logic; + signal mlt_gsc_busy : std_logic; + + signal local_cts_number : std_logic_vector(15 downto 0); + signal local_cts_code : std_logic_vector(7 downto 0); + signal local_cts_information : std_logic_vector(7 downto 0); + signal local_cts_readout_type : std_logic_vector(3 downto 0); + signal local_cts_start_readout : std_logic; + signal local_cts_readout_finished : std_logic; + signal local_cts_status_bits : std_logic_vector(31 downto 0); + signal local_fee_data : std_logic_vector(15 downto 0); + signal local_fee_dataready : std_logic; + signal local_fee_read : std_logic; + signal local_fee_status_bits : std_logic_vector(31 downto 0); + signal local_fee_busy : std_logic; + signal dhcp_done : std_logic; + signal all_links_ready : std_logic; + signal monitor_rx_frames : std_logic_vector(31 downto 0); + signal monitor_rx_bytes : std_logic_vector(31 downto 0); + signal monitor_tx_frames : std_logic_vector(31 downto 0); + signal monitor_tx_bytes : std_logic_vector(31 downto 0); + signal monitor_tx_packets : std_logic_vector(31 downto 0); + signal monitor_dropped : std_logic_vector(31 downto 0); + signal sum_rx_frames : std_logic_vector(31 downto 0); + signal sum_rx_bytes : std_logic_vector(31 downto 0); + signal sum_tx_frames : std_logic_vector(31 downto 0); + signal sum_tx_bytes : std_logic_vector(31 downto 0); + signal sum_tx_packets : std_logic_vector(31 downto 0); + signal sum_dropped : std_logic_vector(31 downto 0); + + signal busip0 : CTRLBUS_TX; + signal SD_RXD_P_IN, SD_RXD_N_IN, SD_TXD_P_OUT, SD_TXD_N_OUT : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); + --attribute nopad : string; + --attribute nopad of SD_RXD_P_IN, SD_RXD_N_IN, SD_TXD_P_OUT, SD_TXD_N_OUT : signal is "true"; + + signal dummy_event : std_logic_vector(15 downto 0); + signal dummy_mode : std_logic; + signal make_reset0 : std_logic := '0'; + signal monitor_gen_dbg : std_logic_vector(c_MAX_PROTOCOLS * 64 - 1 downto 0); + + signal cfg_autothrottle : std_logic; + signal cfg_throttle_pause : std_logic_vector(15 downto 0); + + signal issue_reboot : std_logic; + signal my_ip : std_logic_vector(127 downto 0); + signal debug : std_logic_vector(127 downto 0); + +begin + +-- assert hits if condition in brackets is true, or overall condition is false + assert not (LINKS_ACTIVE = b"0000") report "Error: no GbE interface selected" severity error; + + assert ((LINKS_ACTIVE = b"0001") or (LINKS_ACTIVE = b"0010") or (LINKS_ACTIVE = b"0100") or (LINKS_ACTIVE = b"1000")) + report "Error: only one GbE interface allowed" severity error; + + -- debug(127 downto 64) are local + -- debug(63 downto 0) are media interface + DEBUG_OUT <= debug; + + mac_0 <= MC_UNIQUE_ID_IN(15 downto 8) & MC_UNIQUE_ID_IN(23 downto 16) & MC_UNIQUE_ID_IN(31 downto 24) & x"0" & MC_UNIQUE_ID_IN(35 downto 32) & x"7ada"; + + all_links_ready <= '1' when dhcp_done = '1' else '0'; + + MAKE_RESET_OUT <= '1' when make_reset0 = '1' else '0'; + + ISSUE_REBOOT_OUT <= '0' when issue_reboot = '0' else '1'; + + physical : entity work.gbe_med_interface_single + generic map( + NUMBER_OF_GBE_LINKS => NUMBER_OF_GBE_LINKS, + LINKS_ACTIVE => LINKS_ACTIVE + ) + port map( + RESET => RESET, + GSR_N => GSR_N, + CLK_SYS_IN => CLK_SYS_IN, + CLK_125_OUT => open, -- not needed + CLK_125_IN => CLK_125_IN, + CLK_125_RX_OUT => open, -- not needed + MAC_READY_CONF_OUT => mac_ready_conf, + MAC_RECONF_IN => mac_reconf, + MAC_AN_READY_OUT => mac_an_ready, + MAC_FIFOAVAIL_IN => mac_fifoavail, + MAC_FIFOEOF_IN => mac_fifoeof, + MAC_FIFOEMPTY_IN => mac_fifoempty, + MAC_RX_FIFOFULL_IN => mac_rx_fifofull, + MAC_TX_DATA_IN => mac_tx_data, + MAC_TX_READ_OUT => mac_tx_read, + MAC_TX_DISCRFRM_OUT => mac_tx_discrfrm, + MAC_TX_STAT_EN_OUT => mac_tx_stat_en, + MAC_TX_STATS_OUT => mac_tx_stats, + MAC_TX_DONE_OUT => mac_tx_done, + MAC_RX_FIFO_ERR_OUT => mac_rx_fifo_err, + MAC_RX_STATS_OUT => mac_rx_stats, + MAC_RX_DATA_OUT => mac_rx_data, + MAC_RX_WRITE_OUT => mac_rx_write, + MAC_RX_STAT_EN_OUT => mac_rx_stat_en, + MAC_RX_EOF_OUT => mac_rx_eof, + MAC_RX_ERROR_OUT => mac_rx_err, + SD_RXD_P_IN => SD_RXD_P_IN, + SD_RXD_N_IN => SD_RXD_N_IN, + SD_TXD_P_OUT => SD_TXD_P_OUT, + SD_TXD_N_OUT => SD_TXD_N_OUT, + SD_PRSNT_N_IN => SD_PRSNT_N_IN, + SD_LOS_IN => SD_LOS_IN, + SD_TXDIS_OUT => SD_TXDIS_OUT, + STATUS_OUT => STATUS_OUT(7 downto 0), + DEBUG_OUT => debug(63 downto 0) --open + ); + + STATUS_OUT(8) <= dhcp_done; -- DHCP has completed + STATUS_OUT(15 downto 9) <= (others => '0'); + + gbe_inst : entity work.gbe_logic_wrapper + generic map(DO_SIMULATION => DO_SIMULATION, + INCLUDE_DEBUG => INCLUDE_DEBUG, + USE_INTERNAL_TRBNET_DUMMY => USE_INTERNAL_TRBNET_DUMMY, + RX_PATH_ENABLE => RX_PATH_ENABLE, + INCLUDE_READOUT => LINK_HAS_READOUT(0), + INCLUDE_SLOWCTRL => LINK_HAS_SLOWCTRL(0), + INCLUDE_DHCP => LINK_HAS_DHCP(0), + INCLUDE_ARP => LINK_HAS_ARP(0), + INCLUDE_PING => LINK_HAS_PING(0), + INCLUDE_FWD => LINK_HAS_FWD(0), + FRAME_BUFFER_SIZE => 1, + READOUT_BUFFER_SIZE => 4, + SLOWCTRL_BUFFER_SIZE => 2, + FIXED_SIZE_MODE => FIXED_SIZE_MODE, + INCREMENTAL_MODE => INCREMENTAL_MODE, + FIXED_SIZE => FIXED_SIZE, + FIXED_DELAY_MODE => FIXED_DELAY_MODE, + UP_DOWN_MODE => UP_DOWN_MODE, + UP_DOWN_LIMIT => UP_DOWN_LIMIT, + FIXED_DELAY => FIXED_DELAY) + port map( + CLK_SYS_IN => CLK_SYS_IN, + CLK_125_IN => CLK_125_IN, + CLK_RX_125_IN => CLK_125_IN, + RESET => RESET, + GSR_N => GSR_N, + MY_MAC_IN => mac_0, + DHCP_DONE_OUT => dhcp_done, + MY_IP_OUT => my_ip(31 downto 0), + MY_TRBNET_ADDRESS_IN => MY_TRBNET_ADDRESS_IN, + ISSUE_REBOOT_OUT => issue_reboot, + MAC_READY_CONF_IN => mac_ready_conf, + MAC_RECONF_OUT => mac_reconf, + MAC_AN_READY_IN => mac_an_ready, + MAC_FIFOAVAIL_OUT => mac_fifoavail, + MAC_FIFOEOF_OUT => mac_fifoeof, + MAC_FIFOEMPTY_OUT => mac_fifoempty, + MAC_RX_FIFOFULL_OUT => mac_rx_fifofull, + MAC_TX_DATA_OUT => mac_tx_data, + MAC_TX_READ_IN => mac_tx_read, + MAC_TX_DISCRFRM_IN => mac_tx_discrfrm, + MAC_TX_STAT_EN_IN => mac_tx_stat_en, + MAC_TX_STATS_IN => mac_tx_stats, + MAC_TX_DONE_IN => mac_tx_done, + MAC_RX_FIFO_ERR_IN => mac_rx_fifo_err, + MAC_RX_STATS_IN => mac_rx_stats, + MAC_RX_DATA_IN => mac_rx_data, + MAC_RX_WRITE_IN => mac_rx_write, + MAC_RX_STAT_EN_IN => mac_rx_stat_en, + MAC_RX_EOF_IN => mac_rx_eof, + MAC_RX_ERROR_IN => mac_rx_err, + CTS_NUMBER_IN => mlt_cts_number, + CTS_CODE_IN => mlt_cts_code, + CTS_INFORMATION_IN => mlt_cts_information, + CTS_READOUT_TYPE_IN => mlt_cts_readout_type, + CTS_START_READOUT_IN => mlt_cts_start_readout(0), + CTS_DATA_OUT => mlt_cts_data, + CTS_DATAREADY_OUT => mlt_cts_dataready(0), + CTS_READOUT_FINISHED_OUT => mlt_cts_readout_finished(0), + CTS_READ_IN => mlt_cts_read(0), + CTS_LENGTH_OUT => mlt_cts_length, + CTS_ERROR_PATTERN_OUT => mlt_cts_error_pattern, + FEE_DATA_IN => mlt_fee_data, + FEE_DATAREADY_IN => mlt_fee_dataready(0), + FEE_READ_OUT => mlt_fee_read(0), + FEE_STATUS_BITS_IN => mlt_fee_status, + FEE_BUSY_IN => mlt_fee_busy(0), + GSC_CLK_IN => mlt_gsc_clk, + GSC_INIT_DATAREADY_OUT => mlt_gsc_init_dataready, + GSC_INIT_DATA_OUT => mlt_gsc_init_data, + GSC_INIT_PACKET_NUM_OUT => mlt_gsc_init_packet, + GSC_INIT_READ_IN => mlt_gsc_init_read, + GSC_REPLY_DATAREADY_IN => mlt_gsc_reply_dataready, + GSC_REPLY_DATA_IN => mlt_gsc_reply_data, + GSC_REPLY_PACKET_NUM_IN => mlt_gsc_reply_packet, + GSC_REPLY_READ_OUT => mlt_gsc_reply_read, + GSC_BUSY_IN => mlt_gsc_busy, + SLV_ADDR_IN => BUS_IP_RX.addr(7 downto 0), + SLV_READ_IN => BUS_IP_RX.read, + SLV_WRITE_IN => BUS_IP_RX.write, + SLV_BUSY_OUT => busip0.nack, + SLV_ACK_OUT => busip0.ack, + SLV_DATA_IN => BUS_IP_RX.data, + SLV_DATA_OUT => busip0.data, + CFG_GBE_ENABLE_IN => cfg_gbe_enable, + CFG_IPU_ENABLE_IN => cfg_ipu_enable, + CFG_MULT_ENABLE_IN => cfg_mult_enable, + CFG_MAX_FRAME_IN => cfg_max_frame, + CFG_ALLOW_RX_IN => cfg_allow_rx, + CFG_SOFT_RESET_IN => cfg_soft_rst, + CFG_SUBEVENT_ID_IN => cfg_subevent_id, + CFG_SUBEVENT_DEC_IN => cfg_subevent_dec, + CFG_QUEUE_DEC_IN => cfg_queue_dec, + CFG_READOUT_CTR_IN => cfg_readout_ctr, + CFG_READOUT_CTR_VALID_IN => cfg_readout_ctr_valid, + CFG_INSERT_TTYPE_IN => cfg_insert_ttype, + CFG_MAX_SUB_IN => cfg_max_sub, + CFG_MAX_QUEUE_IN => cfg_max_queue, + CFG_MAX_SUBS_IN_QUEUE_IN => cfg_max_subs_in_queue, + CFG_MAX_SINGLE_SUB_IN => cfg_max_single_sub, + CFG_ADDITIONAL_HDR_IN => cfg_additional_hdr, + CFG_MAX_REPLY_SIZE_IN => cfg_max_reply, + CFG_AUTO_THROTTLE_IN => cfg_autothrottle, + CFG_THROTTLE_PAUSE_IN => cfg_throttle_pause, + FWD_DST_MAC_IN => FWD_DST_MAC_IN(47 downto 0), + FWD_DST_IP_IN => FWD_DST_IP_IN(31 downto 0), + FWD_DST_UDP_IN => FWD_DST_UDP_IN(15 downto 0), + FWD_DATA_IN => FWD_DATA_IN(7 downto 0), + FWD_DATA_VALID_IN => FWD_DATA_VALID_IN(0), + FWD_SOP_IN => FWD_SOP_IN(0), + FWD_EOP_IN => FWD_EOP_IN(0), + FWD_READY_OUT => FWD_READY_OUT(0), + FWD_FULL_OUT => FWD_FULL_OUT(0), + MONITOR_RX_FRAMES_OUT => monitor_rx_frames, + MONITOR_RX_BYTES_OUT => monitor_rx_bytes, + MONITOR_TX_FRAMES_OUT => monitor_tx_frames, + MONITOR_TX_BYTES_OUT => monitor_tx_bytes, + MONITOR_TX_PACKETS_OUT => monitor_tx_packets, + MONITOR_DROPPED_OUT => monitor_dropped, + MONITOR_GEN_DBG_OUT => monitor_gen_dbg, + MAKE_RESET_OUT => make_reset0 + ); + + BUS_IP_TX.ack <= busip0.ack when rising_edge(CLK_SYS_IN); + BUS_IP_TX.nack <= busip0.nack when rising_edge(CLK_SYS_IN); + BUS_IP_TX.data <= busip0.data when rising_edge(CLK_SYS_IN); + + real_ipu_gen : if USE_EXTERNAL_TRBNET_DUMMY = 0 generate + ipu_mult : entity work.gbe_ipu_multiplexer + generic map( + DO_SIMULATION => DO_SIMULATION, + INCLUDE_DEBUG => INCLUDE_DEBUG, + LINK_HAS_READOUT => LINK_HAS_READOUT, +-- NUMBER_OF_GBE_LINKS => NUMBER_OF_GBE_LINKS + NUMBER_OF_GBE_LINKS => 1 + ) + port map( + CLK_SYS_IN => CLK_SYS_IN, + RESET => RESET, + CTS_NUMBER_IN => CTS_NUMBER_IN, + CTS_CODE_IN => CTS_CODE_IN, + CTS_INFORMATION_IN => CTS_INFORMATION_IN, + CTS_READOUT_TYPE_IN => CTS_READOUT_TYPE_IN, + CTS_START_READOUT_IN => CTS_START_READOUT_IN, + CTS_DATA_OUT => CTS_DATA_OUT, + CTS_DATAREADY_OUT => CTS_DATAREADY_OUT, + CTS_READOUT_FINISHED_OUT => CTS_READOUT_FINISHED_OUT, + CTS_READ_IN => CTS_READ_IN, + CTS_LENGTH_OUT => CTS_LENGTH_OUT, + CTS_ERROR_PATTERN_OUT => CTS_ERROR_PATTERN_OUT, + FEE_DATA_IN => FEE_DATA_IN, + FEE_DATAREADY_IN => FEE_DATAREADY_IN, + FEE_READ_OUT => FEE_READ_OUT, + FEE_STATUS_BITS_IN => FEE_STATUS_BITS_IN, + FEE_BUSY_IN => FEE_BUSY_IN, + MLT_CTS_NUMBER_OUT => mlt_cts_number, + MLT_CTS_CODE_OUT => mlt_cts_code, + MLT_CTS_INFORMATION_OUT => mlt_cts_information, + MLT_CTS_READOUT_TYPE_OUT => mlt_cts_readout_type, + MLT_CTS_START_READOUT_OUT => mlt_cts_start_readout, + MLT_CTS_DATA_IN => mlt_cts_data, + MLT_CTS_DATAREADY_IN => mlt_cts_dataready, + MLT_CTS_READOUT_FINISHED_IN => mlt_cts_readout_finished, + MLT_CTS_READ_OUT => mlt_cts_read, + MLT_CTS_LENGTH_IN => mlt_cts_length, + MLT_CTS_ERROR_PATTERN_IN => mlt_cts_error_pattern, + MLT_FEE_DATA_OUT => mlt_fee_data, + MLT_FEE_DATAREADY_OUT => mlt_fee_dataready, + MLT_FEE_READ_IN => mlt_fee_read, + MLT_FEE_STATUS_BITS_OUT => mlt_fee_status, + MLT_FEE_BUSY_OUT => mlt_fee_busy, + DEBUG_OUT => open + ); + end generate real_ipu_gen; + + dummy_ipu_gen : if (USE_EXTERNAL_TRBNET_DUMMY = 1) generate + ipu_mult : entity work.gbe_ipu_multiplexer + generic map( + DO_SIMULATION => DO_SIMULATION, + INCLUDE_DEBUG => INCLUDE_DEBUG, + LINK_HAS_READOUT => LINK_HAS_READOUT, + NUMBER_OF_GBE_LINKS => NUMBER_OF_GBE_LINKS + ) + port map( + CLK_SYS_IN => CLK_SYS_IN, + RESET => RESET, + CTS_NUMBER_IN => local_cts_number, + CTS_CODE_IN => local_cts_code, + CTS_INFORMATION_IN => local_cts_information, + CTS_READOUT_TYPE_IN => local_cts_readout_type, + CTS_START_READOUT_IN => local_cts_start_readout, + CTS_DATA_OUT => open, + CTS_DATAREADY_OUT => open, + CTS_READOUT_FINISHED_OUT => local_cts_readout_finished, + CTS_READ_IN => '1', + CTS_LENGTH_OUT => open, + CTS_ERROR_PATTERN_OUT => local_cts_status_bits, + FEE_DATA_IN => local_fee_data, + FEE_DATAREADY_IN => local_fee_dataready, + FEE_READ_OUT => local_fee_read, + FEE_STATUS_BITS_IN => local_fee_status_bits, + FEE_BUSY_IN => local_fee_busy, + MLT_CTS_NUMBER_OUT => mlt_cts_number, + MLT_CTS_CODE_OUT => mlt_cts_code, + MLT_CTS_INFORMATION_OUT => mlt_cts_information, + MLT_CTS_READOUT_TYPE_OUT => mlt_cts_readout_type, + MLT_CTS_START_READOUT_OUT => mlt_cts_start_readout, + MLT_CTS_DATA_IN => mlt_cts_data, + MLT_CTS_DATAREADY_IN => mlt_cts_dataready, + MLT_CTS_READOUT_FINISHED_IN => mlt_cts_readout_finished, + MLT_CTS_READ_OUT => mlt_cts_read, + MLT_CTS_LENGTH_IN => mlt_cts_length, + MLT_CTS_ERROR_PATTERN_IN => mlt_cts_error_pattern, + MLT_FEE_DATA_OUT => mlt_fee_data, + MLT_FEE_DATAREADY_OUT => mlt_fee_dataready, + MLT_FEE_READ_IN => mlt_fee_read, + MLT_FEE_STATUS_BITS_OUT => mlt_fee_status, + MLT_FEE_BUSY_OUT => mlt_fee_busy, + DEBUG_OUT => open + ); + + dummy : entity work.gbe_ipu_dummy + generic map( + DO_SIMULATION => DO_SIMULATION, + FIXED_SIZE_MODE => FIXED_SIZE_MODE, + INCREMENTAL_MODE => INCREMENTAL_MODE, + FIXED_SIZE => FIXED_SIZE, + UP_DOWN_MODE => UP_DOWN_MODE, + UP_DOWN_LIMIT => UP_DOWN_LIMIT, + FIXED_DELAY_MODE => FIXED_DELAY_MODE, + FIXED_DELAY => FIXED_DELAY + ) + port map( + clk => CLK_SYS_IN, + rst => RESET, + GBE_READY_IN => all_links_ready, + CFG_EVENT_SIZE_IN => dummy_event, + CFG_TRIGGERED_MODE_IN => '0', + TRIGGER_IN => TRIGGER_IN, + CTS_NUMBER_OUT => local_cts_number, + CTS_CODE_OUT => local_cts_code, + CTS_INFORMATION_OUT => local_cts_information, + CTS_READOUT_TYPE_OUT => local_cts_readout_type, + CTS_START_READOUT_OUT => local_cts_start_readout, + CTS_DATA_IN => (others => '0'), + CTS_DATAREADY_IN => '0', + CTS_READOUT_FINISHED_IN => local_cts_readout_finished, + CTS_READ_OUT => open, + CTS_LENGTH_IN => (others => '0'), + CTS_ERROR_PATTERN_IN => local_cts_status_bits, + -- Data payload interface + FEE_DATA_OUT => local_fee_data, + FEE_DATAREADY_OUT => local_fee_dataready, + FEE_READ_IN => local_fee_read, + FEE_STATUS_BITS_OUT => local_fee_status_bits, + FEE_BUSY_OUT => local_fee_busy + ); + + -- handler for triggers + DUMMY_HANDLER : entity work.trb_net16_gbe_ipu_interface + port map( + CLK_IPU => CLK_SYS_IN, + CLK_GBE => CLK_125_IN, + RESET => RESET, + --Event information coming from CTS + CTS_NUMBER_IN => CTS_NUMBER_IN, + CTS_CODE_IN => CTS_CODE_IN, + CTS_INFORMATION_IN => CTS_INFORMATION_IN, + CTS_READOUT_TYPE_IN => CTS_READOUT_TYPE_IN, + CTS_START_READOUT_IN => CTS_START_READOUT_IN, + --Information sent to CTS + --status data, equipped with DHDR + CTS_DATA_OUT => CTS_DATA_OUT, + CTS_DATAREADY_OUT => CTS_DATAREADY_OUT, + CTS_READOUT_FINISHED_OUT => CTS_READOUT_FINISHED_OUT, + CTS_READ_IN => CTS_READ_IN, + CTS_LENGTH_OUT => CTS_LENGTH_OUT, + CTS_ERROR_PATTERN_OUT => CTS_ERROR_PATTERN_OUT, + -- Data from Frontends + FEE_DATA_IN => FEE_DATA_IN, + FEE_DATAREADY_IN => FEE_DATAREADY_IN, + FEE_READ_OUT => FEE_READ_OUT, + FEE_STATUS_BITS_IN => FEE_STATUS_BITS_IN, + FEE_BUSY_IN => FEE_BUSY_IN, + -- slow control interface + START_CONFIG_OUT => open, + BANK_SELECT_OUT => open, + CONFIG_DONE_IN => '1', + DATA_GBE_ENABLE_IN => '1', + DATA_IPU_ENABLE_IN => '1', + MULT_EVT_ENABLE_IN => '1', + MAX_SUBEVENT_SIZE_IN => (others => '0'), + MAX_QUEUE_SIZE_IN => (others => '0'), + MAX_SUBS_IN_QUEUE_IN => (others => '0'), + MAX_SINGLE_SUB_SIZE_IN => (others => '0'), + READOUT_CTR_IN => (others => '0'), + READOUT_CTR_VALID_IN => '0', + CFG_AUTO_THROTTLE_IN => '0', + CFG_THROTTLE_PAUSE_IN => (others => '0'), + -- PacketConstructor interface + PC_WR_EN_OUT => open, + PC_DATA_OUT => open, + PC_READY_IN => '1', + PC_SOS_OUT => open, + PC_EOS_OUT => open, + PC_EOQ_OUT => open, + PC_SUB_SIZE_OUT => open, + PC_TRIG_NR_OUT => open, + PC_TRIGGER_TYPE_OUT => open, + MONITOR_OUT => open, + DEBUG_OUT => open + ); + end generate dummy_ipu_gen; + + SETUP : gbe_setup + port map( + CLK => CLK_SYS_IN, + RESET => RESET, + -- interface to regio bus + BUS_ADDR_IN => BUS_REG_RX.addr(7 downto 0), + BUS_DATA_IN => BUS_REG_RX.data, + BUS_DATA_OUT => BUS_REG_TX.data, + BUS_WRITE_EN_IN => BUS_REG_RX.write, + BUS_READ_EN_IN => BUS_REG_RX.read, + BUS_ACK_OUT => BUS_REG_TX.ack, + -- output to gbe_buf + GBE_SUBEVENT_ID_OUT => cfg_subevent_id, + GBE_SUBEVENT_DEC_OUT => cfg_subevent_dec, + GBE_QUEUE_DEC_OUT => cfg_queue_dec, + GBE_MAX_FRAME_OUT => cfg_max_frame, + GBE_USE_GBE_OUT => cfg_gbe_enable, + GBE_USE_TRBNET_OUT => cfg_ipu_enable, + GBE_USE_MULTIEVENTS_OUT => cfg_mult_enable, + GBE_READOUT_CTR_OUT => cfg_readout_ctr, + GBE_READOUT_CTR_VALID_OUT => cfg_readout_ctr_valid, + GBE_ALLOW_RX_OUT => cfg_allow_rx, + GBE_ADDITIONAL_HDR_OUT => cfg_additional_hdr, + GBE_INSERT_TTYPE_OUT => cfg_insert_ttype, + GBE_SOFT_RESET_OUT => cfg_soft_rst, + GBE_MAX_REPLY_OUT => cfg_max_reply, + GBE_MAX_SUB_OUT => cfg_max_sub, + GBE_MAX_QUEUE_OUT => cfg_max_queue, + GBE_MAX_SUBS_IN_QUEUE_OUT => cfg_max_subs_in_queue, + GBE_MAX_SINGLE_SUB_OUT => cfg_max_single_sub, + GBE_AUTOTHROTTLE_OUT => cfg_autothrottle, + GBE_THROTTLE_PAUSE_OUT => cfg_throttle_pause, + MONITOR_RX_BYTES_IN => sum_rx_bytes, + MONITOR_RX_FRAMES_IN => sum_rx_frames, + MONITOR_TX_BYTES_IN => sum_tx_bytes, + MONITOR_TX_FRAMES_IN => sum_tx_frames, + MONITOR_TX_PACKETS_IN => sum_tx_packets, + MONITOR_DROPPED_IN => sum_dropped, + MONITOR_SELECT_REC_IN => (others => '0'), + MONITOR_SELECT_REC_BYTES_IN => (others => '0'), + MONITOR_SELECT_SENT_BYTES_IN => (others => '0'), + MONITOR_SELECT_SENT_IN => (others => '0'), + MONITOR_SELECT_DROP_IN_IN => (others => '0'), + MONITOR_SELECT_DROP_OUT_IN => (others => '0'), + MONITOR_SELECT_GEN_DBG_IN => monitor_gen_dbg, + MONITOR_IP_IN => my_ip, + DUMMY_EVENT_SIZE_OUT => dummy_event, + DUMMY_TRIGGERED_MODE_OUT => dummy_mode, + DATA_HIST_IN => (others => (others => '0')), + SCTRL_HIST_IN => (others => (others => '0')) + ); + + NOSCTRL_MAP_GEN : if (LINK_HAS_SLOWCTRL = "0000") generate + GSC_INIT_DATAREADY_OUT <= '0'; + GSC_INIT_DATA_OUT <= (others => '0'); + GSC_INIT_PACKET_NUM_OUT <= (others => '0'); + GSC_REPLY_READ_OUT <= '1'; + mlt_gsc_clk <= (others => '0'); + mlt_gsc_init_read <= (others => '0'); + mlt_gsc_reply_dataready <= (others => '0'); + mlt_gsc_reply_data <= (others => '0'); + mlt_gsc_reply_packet <= (others => '0'); + mlt_gsc_busy <= (others => '0'); + end generate NOSCTRL_MAP_GEN; + + SCTRL_MAP_GEN : if (LINK_HAS_SLOWCTRL /= "0000") generate + ACTIVE_MAP_GEN : if (LINK_HAS_SLOWCTRL(0) = '1') generate + mlt_gsc_clk <= GSC_CLK_IN; + GSC_INIT_DATAREADY_OUT <= mlt_gsc_init_dataready; + GSC_INIT_DATA_OUT <= mlt_gsc_init_data; + GSC_INIT_PACKET_NUM_OUT <= mlt_gsc_init_packet; + mlt_gsc_init_read <= GSC_INIT_READ_IN; + mlt_gsc_reply_dataready <= GSC_REPLY_DATAREADY_IN; + mlt_gsc_reply_data <= GSC_REPLY_DATA_IN; + mlt_gsc_reply_packet <= GSC_REPLY_PACKET_NUM_IN; + GSC_REPLY_READ_OUT <= mlt_gsc_reply_read; + mlt_gsc_busy <= GSC_BUSY_IN; + end generate ACTIVE_MAP_GEN; + + INACTIVE_MAP_GEN : if (LINK_HAS_SLOWCTRL(0) = '0') generate + mlt_gsc_clk <= '0'; + mlt_gsc_init_read <= '0'; + mlt_gsc_reply_dataready <= '0'; + mlt_gsc_reply_data <= (others => '0'); + mlt_gsc_reply_packet <= (others => '0'); + mlt_gsc_busy <= '0'; + end generate INACTIVE_MAP_GEN; + end generate SCTRL_MAP_GEN; + + sum_rx_bytes <= monitor_rx_bytes; + sum_rx_frames <= monitor_rx_frames; + sum_tx_bytes <= monitor_tx_bytes; + sum_tx_frames <= monitor_tx_frames; + sum_tx_packets <= monitor_tx_packets; + sum_dropped <= monitor_dropped; + +end architecture RTL; diff --git a/gbe_trb_ecp3/media/pmi_ram_dpEbnonessdn208256208256p13732cfe.ngo b/gbe_trb_ecp3/media/pmi_ram_dpEbnonessdn208256208256p13732cfe.ngo new file mode 100644 index 0000000000000000000000000000000000000000..508f8324f8604e6c8e23aa4d425a13ee709d7a9a GIT binary patch literal 11310 zcmb7JeUuy3b=TWnuRmgA*(Q*HA=5*;p*ZoUXq7)Vo+fRYe!L!iCC zd!JS#X?jjNd*15a-~GM!?t5=$-o5jRrE;Y+5LzAJt6e=cV3VZMho+N<#}6eZ)3LoX zvoljij!e#Un(I1OuaW=hP}kb7&WU|f0rl)ZpsJcmubwbXQvbdTwJ+YC2q(6~Y_Q%F z>$+vF84IY5f6YK75epB;lEd--*wApe-zLLXQeZ}7+u{-8!IM@6`rdQjoM=VE=&Kbx zw0e2vH$Ou3CCYuUgnGxvCnpcD4k-7F!8vs;scTJE4^PdIaMBwntyx2)o~cgy&dBG?IV(KR)T|iw z=Yw-r4D-uFY=04ng3_Kq}?C4lS#V6=%0zwE%!hm(DHltPVRTJ z`;+5G$ERmPG*Qkwc?2s}O%0J`< z=r(lTOnDd~)VZPPK``@1-UHCeIKM1=fDm=;yjAof=%^#_L1?Dk)|3Ybp_%vcUW7*I z{I=*t@RS5HUIgAOOnDKs+-`Xh+#EM$ya)kPp6SL^rpzi~dImGO!=qy&N9~#&bFw>F z(=oaUj}AB2dvu<}J4>|+@cKZZrsL+mty0r5gYHI8g%G+JNP8Hl((7p=gh9l-Rp7;z ztfvZk9rm_?soLsgV5VlgZIFIfq1FUd=sw96w)G8??c+h%_({%n7?4K~M-I+T(38FK zYveUeP<(t~Ynz-1$cVbvjb^v8*D?nF+K)?bp>= zgF33{V_8dg$a3m6!^dKxpNWExC4@SMifo~mzw-b|fdzAJ-b_Oy zM)!jiFt+u^1IpT*A-QdMyAA#YC~9c?K!j#0VnNxuGjuB^1Qm0)bH&7D-LTRnL0|&Uba{hD<(Z>1qo3NEnzZpVZ+CQsm*npJ{C-EZX+3*TEjx+ zHe`G(n5NuqWgiQc{nUr`Sn5WJ@jItVRA<4Q*Lps4y*8Sv&J_A}Rx*?r8m6B5vR?B# zm>o2v60xD!aQ|SGrasr7_mXY}=>kKE*d|Tcoc2<9O15OY5YI(C<%M`k6BREM;)&U2 z)L~q;$Mj;Di`*v53-MBq*0DkuPj0M^7J~b^k)XF~i2WTVs5Vm}_HM9PhwhUDXqwhg^y7%TAxV$jq*#?vsI+<=jc_H?s z8c@Db4j2untmGl95$67OHNw11GmWE@JLI6**amyIuiOZ8Bh!S|O@`dapA0s_Jed8J zMwn-Gve*doJu_8mg!wkyQEr5BHw{RS@h;k-oSrOG20Ljxa=N9NLAF?FvCwYmtkeeD zteq!-UybFf-j9xD2$go)XRU(BT?l`|K~W%EMUfGXg;OHaKI_P9F*?{qTdET-i=m4x z8Lc3kiP@rb!V=Z(c2bW{B&}(X4J~6hk)kM_a64Mi3i^+xw1UCKNT!7u?1Y!GidMMK zyjI{^kEIn%+h$u7$nl_-!P->P3R>P|Y6TATltn?qn=;w}|9jI~LI1smR^VoDQ7ag8 zyr32CGo=-@wwYuMAcR3nloE}j|IHOP#L@lcypX^-FMh>`!rgtbC@NV(ggbpDk$D08 zG!w3EDT@J~^<_kcWBqB7c>((kt+1u26?nN-x65~$ofQkO=Af+=bT6;OXdII{kka+x z^dQMJ$~dp)U_~o%W-zZ6jM=ud0++X%T7d(0Su1FIYep-W&0+082$rFsSP&*UiL_QQ zg$YB~fn3xE_&ik53i=#MX$7vyh7pY;qI8BU+QNP2wF1{hvRZ*`X&HkaDT@MmTLyAu zXcW~irr8i44kt(B(c#`?g1}2w12~FmrmlvLMvI~((BP<{6XlZw;mZvpsQ!H zVu{8gwAXV-&lc(+bhE1nC>&+)#!_tW+XAn~f?5G>JIOSN7#pOO7~?kZ?ij6rH6NU| z?!Lju7T(|ndMG}2wpWA!m$v6chT}Udk>U5w3}kWw3n6bmQr1GrY75qDr>PYz(Jn(P zSp1zOtzf-&rL}@?(nV1cAviFb(Hbi4AsM|U(9!OcR&d8iVnZ&#x!nbAfeSNbt-#Zn ztXAO9tf>{akT$f!x8`g~YwS?EYJzrGs;WT=Ru%LsNe8(H<#-XMb?f5;_Q>%1#?ab1 zRq&b{BSq(uLFSe&xOpZ6W~aG+aAX)w%|$296SlTUA9Xv$w+z_Ky`~9g&aJ(YEB2>Z$4icWpD(71eo-JTZ z^l|Df6E9gpoD#AU5-#KsX_0U-P0uOFmn;ZN9(n!|a^n@1yVtIFV@95S=99ozW1lWjYP)SiTN32y2)t1Ru)n%zAlvQz>^f{g(@UAWCYi zJBmIU+8Z^Rv6VL3Gj(euQ!T{a%K25L3bp_P&#BB2fz{q#!UV?IO|>HZ0t=@+Kotu% zW1Mn%VASQbt`Rdl0Eysn%IATBZT9F8fpJ>m!5K?=fGW?&KhAGFRMh2cs1Y+f0Eysn zqUC`Z%V6$5R;a>^(F`m1qggM8q*u9rP{%`hr`#J$ULKM`v=>_?ut4da!}<4O>bSKqRL%j*I`skxr@?XEK&qEzm69%fVI`}ki#oiWxaK~ zGlV(s7wRw**t^7*3u6nHfnD+PIGSLQ(YXPAaJ6RmJ>FFR?3Z#EylJUvh|Ry zw*Y3mNX3?869jNnOgVQ!h({$T2POytsgP|rjd~+w8zI}M4Q(t%QbmrC5NeWCk^>_I zqa+pNxC!AZNo1P{qTVFgCdf8bfwrH@zN~WZEJ2$tQ%TFI5yECFww%=2$DjE#DqC0mAUpEIGIut+M&i7Pfs zk_vL*itSXnU&zYoHG&h8$ab=VdRZ!8BHM!{Xb+XCq~)|4ArKW?jW{<-+JoowM@~`676U>Kx$^T z|7h=`9m1r(=prVLjhdPBzIL|f9$`wPMob)6H8b13e*cxz!jw1R?w%-d4IR&*sp~t(Gr*&13t{Q z@0_^zU13VB1ty(~HT&5*)3;2|l0<4?-d6TuwtU;Z`?9oo!s1_IZpipB)eC1|=@;gG zhGycts=4>ThWl3{bfj657!$qIclavviF9>7SKK%G!Ji3pgTcSVJY@MWmv=mM%OYV) zu7@@cnm){ByY^iO3sW*hFb^0$%-|nB_KmH=jN%9PeD0G$ALi2D!^_?lro?$*P6vFL zS5;ComxL)fE|~i(KFlRc-WXjfOv#YJ+*kHtF8<<)yPpxJ z{rKT^r&F-zHd`;g@#W*foGw?HyDT4O%ky9T#5Q3bELNF2%05gr^;D%>nDQkEBcfBf z=H3G@{LPae7p8niBBmU%6IjhJQJYV|8IxRyu+x_<0_dvdOoYkFIAf;RsBVYBBJm`L zMSC~N7C@Nfy1>S2qdt?LdwyHixeHLgnhjATnj1Ce#!_Q0zabFp5T@i(U~V&fn9FXu z=5PO8m=d{yITG|?2LJM>ld==(xEIXffDd!&`EtiHX;Y$RFcTFY=2eMnQlAi}#N1$R zt*v8iL`xnx_mAITrjFRbv`ap1E}nd@{cd4OybtDJ(TDkg%IVi)!jx z{;>3KNPSqkgtUjHe@Dv0()W<=u=IVTIxPJFX%0(2M2f@GkC5K5^a4^FmVS)1hNTyg z(y;Ur(ixWi1E~y4Wu!4Iy^IuwrOQZPSb7Di3rnveZDHv(q%17`1nCM(|A|zErJo{A zVd=k+qOkNj(i4_`hSY?mpCc_{=@&>zSb78L2up7w6=A7@G=!yJA_ZaTS4clt`ZZDy zmfk|z!P0M#a CONFIG_FILE, + QUAD_MODE => QUAD_MODE, + CH0_CDR_SRC => CH0_CDR_SRC, + CH1_CDR_SRC => CH1_CDR_SRC, + CH2_CDR_SRC => CH2_CDR_SRC, + CH3_CDR_SRC => CH3_CDR_SRC, + PLL_SRC => PLL_SRC + ) +port map ( + HDINN0 => HDINN0, + HDINN1 => HDINN1, + HDINN2 => HDINN2, + HDINN3 => HDINN3, + HDINP0 => HDINP0, + HDINP1 => HDINP1, + HDINP2 => HDINP2, + HDINP3 => HDINP3, + REFCLKN => REFCLKN, + REFCLKP => REFCLKP, + CIN11 => CIN11, + CIN10 => CIN10, + CIN9 => CIN9, + CIN8 => CIN8, + CIN7 => CIN7, + CIN6 => CIN6, + CIN5 => CIN5, + CIN4 => CIN4, + CIN3 => CIN3, + CIN2 => CIN2, + CIN1 => CIN1, + CIN0 => CIN0, + CYAWSTN => CYAWSTN, + FF_EBRD_CLK_3 => FF_EBRD_CLK_3, + FF_EBRD_CLK_2 => FF_EBRD_CLK_2, + FF_EBRD_CLK_1 => FF_EBRD_CLK_1, + FF_EBRD_CLK_0 => FF_EBRD_CLK_0, + FF_RXI_CLK_3 => FF_RXI_CLK_3, + FF_RXI_CLK_2 => FF_RXI_CLK_2, + FF_RXI_CLK_1 => FF_RXI_CLK_1, + FF_RXI_CLK_0 => FF_RXI_CLK_0, + FF_TX_D_0_0 => FF_TX_D_0_0, + FF_TX_D_0_1 => FF_TX_D_0_1, + FF_TX_D_0_2 => FF_TX_D_0_2, + FF_TX_D_0_3 => FF_TX_D_0_3, + FF_TX_D_0_4 => FF_TX_D_0_4, + FF_TX_D_0_5 => FF_TX_D_0_5, + FF_TX_D_0_6 => FF_TX_D_0_6, + FF_TX_D_0_7 => FF_TX_D_0_7, + FF_TX_D_0_8 => FF_TX_D_0_8, + FF_TX_D_0_9 => FF_TX_D_0_9, + FF_TX_D_0_10 => FF_TX_D_0_10, + FF_TX_D_0_11 => FF_TX_D_0_11, + FF_TX_D_0_12 => FF_TX_D_0_12, + FF_TX_D_0_13 => FF_TX_D_0_13, + FF_TX_D_0_14 => FF_TX_D_0_14, + FF_TX_D_0_15 => FF_TX_D_0_15, + FF_TX_D_0_16 => FF_TX_D_0_16, + FF_TX_D_0_17 => FF_TX_D_0_17, + FF_TX_D_0_18 => FF_TX_D_0_18, + FF_TX_D_0_19 => FF_TX_D_0_19, + FF_TX_D_0_20 => FF_TX_D_0_20, + FF_TX_D_0_21 => FF_TX_D_0_21, + FF_TX_D_0_22 => FF_TX_D_0_22, + FF_TX_D_0_23 => FF_TX_D_0_23, + FF_TX_D_1_0 => FF_TX_D_1_0, + FF_TX_D_1_1 => FF_TX_D_1_1, + FF_TX_D_1_2 => FF_TX_D_1_2, + FF_TX_D_1_3 => FF_TX_D_1_3, + FF_TX_D_1_4 => FF_TX_D_1_4, + FF_TX_D_1_5 => FF_TX_D_1_5, + FF_TX_D_1_6 => FF_TX_D_1_6, + FF_TX_D_1_7 => FF_TX_D_1_7, + FF_TX_D_1_8 => FF_TX_D_1_8, + FF_TX_D_1_9 => FF_TX_D_1_9, + FF_TX_D_1_10 => FF_TX_D_1_10, + FF_TX_D_1_11 => FF_TX_D_1_11, + FF_TX_D_1_12 => FF_TX_D_1_12, + FF_TX_D_1_13 => FF_TX_D_1_13, + FF_TX_D_1_14 => FF_TX_D_1_14, + FF_TX_D_1_15 => FF_TX_D_1_15, + FF_TX_D_1_16 => FF_TX_D_1_16, + FF_TX_D_1_17 => FF_TX_D_1_17, + FF_TX_D_1_18 => FF_TX_D_1_18, + FF_TX_D_1_19 => FF_TX_D_1_19, + FF_TX_D_1_20 => FF_TX_D_1_20, + FF_TX_D_1_21 => FF_TX_D_1_21, + FF_TX_D_1_22 => FF_TX_D_1_22, + FF_TX_D_1_23 => FF_TX_D_1_23, + FF_TX_D_2_0 => FF_TX_D_2_0, + FF_TX_D_2_1 => FF_TX_D_2_1, + FF_TX_D_2_2 => FF_TX_D_2_2, + FF_TX_D_2_3 => FF_TX_D_2_3, + FF_TX_D_2_4 => FF_TX_D_2_4, + FF_TX_D_2_5 => FF_TX_D_2_5, + FF_TX_D_2_6 => FF_TX_D_2_6, + FF_TX_D_2_7 => FF_TX_D_2_7, + FF_TX_D_2_8 => FF_TX_D_2_8, + FF_TX_D_2_9 => FF_TX_D_2_9, + FF_TX_D_2_10 => FF_TX_D_2_10, + FF_TX_D_2_11 => FF_TX_D_2_11, + FF_TX_D_2_12 => FF_TX_D_2_12, + FF_TX_D_2_13 => FF_TX_D_2_13, + FF_TX_D_2_14 => FF_TX_D_2_14, + FF_TX_D_2_15 => FF_TX_D_2_15, + FF_TX_D_2_16 => FF_TX_D_2_16, + FF_TX_D_2_17 => FF_TX_D_2_17, + FF_TX_D_2_18 => FF_TX_D_2_18, + FF_TX_D_2_19 => FF_TX_D_2_19, + FF_TX_D_2_20 => FF_TX_D_2_20, + FF_TX_D_2_21 => FF_TX_D_2_21, + FF_TX_D_2_22 => FF_TX_D_2_22, + FF_TX_D_2_23 => FF_TX_D_2_23, + FF_TX_D_3_0 => FF_TX_D_3_0, + FF_TX_D_3_1 => FF_TX_D_3_1, + FF_TX_D_3_2 => FF_TX_D_3_2, + FF_TX_D_3_3 => FF_TX_D_3_3, + FF_TX_D_3_4 => FF_TX_D_3_4, + FF_TX_D_3_5 => FF_TX_D_3_5, + FF_TX_D_3_6 => FF_TX_D_3_6, + FF_TX_D_3_7 => FF_TX_D_3_7, + FF_TX_D_3_8 => FF_TX_D_3_8, + FF_TX_D_3_9 => FF_TX_D_3_9, + FF_TX_D_3_10 => FF_TX_D_3_10, + FF_TX_D_3_11 => FF_TX_D_3_11, + FF_TX_D_3_12 => FF_TX_D_3_12, + FF_TX_D_3_13 => FF_TX_D_3_13, + FF_TX_D_3_14 => FF_TX_D_3_14, + FF_TX_D_3_15 => FF_TX_D_3_15, + FF_TX_D_3_16 => FF_TX_D_3_16, + FF_TX_D_3_17 => FF_TX_D_3_17, + FF_TX_D_3_18 => FF_TX_D_3_18, + FF_TX_D_3_19 => FF_TX_D_3_19, + FF_TX_D_3_20 => FF_TX_D_3_20, + FF_TX_D_3_21 => FF_TX_D_3_21, + FF_TX_D_3_22 => FF_TX_D_3_22, + FF_TX_D_3_23 => FF_TX_D_3_23, + FF_TXI_CLK_0 => FF_TXI_CLK_0, + FF_TXI_CLK_1 => FF_TXI_CLK_1, + FF_TXI_CLK_2 => FF_TXI_CLK_2, + FF_TXI_CLK_3 => FF_TXI_CLK_3, + FFC_CK_CORE_RX_0 => FFC_CK_CORE_RX_0, + FFC_CK_CORE_RX_1 => FFC_CK_CORE_RX_1, + FFC_CK_CORE_RX_2 => FFC_CK_CORE_RX_2, + FFC_CK_CORE_RX_3 => FFC_CK_CORE_RX_3, + FFC_CK_CORE_TX => FFC_CK_CORE_TX, + FFC_EI_EN_0 => FFC_EI_EN_0, + FFC_EI_EN_1 => FFC_EI_EN_1, + FFC_EI_EN_2 => FFC_EI_EN_2, + FFC_EI_EN_3 => FFC_EI_EN_3, + FFC_ENABLE_CGALIGN_0 => FFC_ENABLE_CGALIGN_0, + FFC_ENABLE_CGALIGN_1 => FFC_ENABLE_CGALIGN_1, + FFC_ENABLE_CGALIGN_2 => FFC_ENABLE_CGALIGN_2, + FFC_ENABLE_CGALIGN_3 => FFC_ENABLE_CGALIGN_3, + FFC_FB_LOOPBACK_0 => FFC_FB_LOOPBACK_0, + FFC_FB_LOOPBACK_1 => FFC_FB_LOOPBACK_1, + FFC_FB_LOOPBACK_2 => FFC_FB_LOOPBACK_2, + FFC_FB_LOOPBACK_3 => FFC_FB_LOOPBACK_3, + FFC_LANE_RX_RST_0 => FFC_LANE_RX_RST_0, + FFC_LANE_RX_RST_1 => FFC_LANE_RX_RST_1, + FFC_LANE_RX_RST_2 => FFC_LANE_RX_RST_2, + FFC_LANE_RX_RST_3 => FFC_LANE_RX_RST_3, + FFC_LANE_TX_RST_0 => FFC_LANE_TX_RST_0, + FFC_LANE_TX_RST_1 => FFC_LANE_TX_RST_1, + FFC_LANE_TX_RST_2 => FFC_LANE_TX_RST_2, + FFC_LANE_TX_RST_3 => FFC_LANE_TX_RST_3, + FFC_MACRO_RST => FFC_MACRO_RST, + FFC_PCI_DET_EN_0 => FFC_PCI_DET_EN_0, + FFC_PCI_DET_EN_1 => FFC_PCI_DET_EN_1, + FFC_PCI_DET_EN_2 => FFC_PCI_DET_EN_2, + FFC_PCI_DET_EN_3 => FFC_PCI_DET_EN_3, + FFC_PCIE_CT_0 => FFC_PCIE_CT_0, + FFC_PCIE_CT_1 => FFC_PCIE_CT_1, + FFC_PCIE_CT_2 => FFC_PCIE_CT_2, + FFC_PCIE_CT_3 => FFC_PCIE_CT_3, + FFC_PFIFO_CLR_0 => FFC_PFIFO_CLR_0, + FFC_PFIFO_CLR_1 => FFC_PFIFO_CLR_1, + FFC_PFIFO_CLR_2 => FFC_PFIFO_CLR_2, + FFC_PFIFO_CLR_3 => FFC_PFIFO_CLR_3, + FFC_QUAD_RST => FFC_QUAD_RST, + FFC_RRST_0 => FFC_RRST_0, + FFC_RRST_1 => FFC_RRST_1, + FFC_RRST_2 => FFC_RRST_2, + FFC_RRST_3 => FFC_RRST_3, + FFC_RXPWDNB_0 => FFC_RXPWDNB_0, + FFC_RXPWDNB_1 => FFC_RXPWDNB_1, + FFC_RXPWDNB_2 => FFC_RXPWDNB_2, + FFC_RXPWDNB_3 => FFC_RXPWDNB_3, + FFC_SB_INV_RX_0 => FFC_SB_INV_RX_0, + FFC_SB_INV_RX_1 => FFC_SB_INV_RX_1, + FFC_SB_INV_RX_2 => FFC_SB_INV_RX_2, + FFC_SB_INV_RX_3 => FFC_SB_INV_RX_3, + FFC_SB_PFIFO_LP_0 => FFC_SB_PFIFO_LP_0, + FFC_SB_PFIFO_LP_1 => FFC_SB_PFIFO_LP_1, + FFC_SB_PFIFO_LP_2 => FFC_SB_PFIFO_LP_2, + FFC_SB_PFIFO_LP_3 => FFC_SB_PFIFO_LP_3, + FFC_SIGNAL_DETECT_0 => FFC_SIGNAL_DETECT_0, + FFC_SIGNAL_DETECT_1 => FFC_SIGNAL_DETECT_1, + FFC_SIGNAL_DETECT_2 => FFC_SIGNAL_DETECT_2, + FFC_SIGNAL_DETECT_3 => FFC_SIGNAL_DETECT_3, + FFC_SYNC_TOGGLE => FFC_SYNC_TOGGLE, + FFC_TRST => FFC_TRST, + FFC_TXPWDNB_0 => FFC_TXPWDNB_0, + FFC_TXPWDNB_1 => FFC_TXPWDNB_1, + FFC_TXPWDNB_2 => FFC_TXPWDNB_2, + FFC_TXPWDNB_3 => FFC_TXPWDNB_3, + FFC_RATE_MODE_RX_0 => FFC_RATE_MODE_RX_0, + FFC_RATE_MODE_RX_1 => FFC_RATE_MODE_RX_1, + FFC_RATE_MODE_RX_2 => FFC_RATE_MODE_RX_2, + FFC_RATE_MODE_RX_3 => FFC_RATE_MODE_RX_3, + FFC_RATE_MODE_TX_0 => FFC_RATE_MODE_TX_0, + FFC_RATE_MODE_TX_1 => FFC_RATE_MODE_TX_1, + FFC_RATE_MODE_TX_2 => FFC_RATE_MODE_TX_2, + FFC_RATE_MODE_TX_3 => FFC_RATE_MODE_TX_3, + FFC_DIV11_MODE_RX_0 => FFC_DIV11_MODE_RX_0, + FFC_DIV11_MODE_RX_1 => FFC_DIV11_MODE_RX_1, + FFC_DIV11_MODE_RX_2 => FFC_DIV11_MODE_RX_2, + FFC_DIV11_MODE_RX_3 => FFC_DIV11_MODE_RX_3, + FFC_DIV11_MODE_TX_0 => FFC_DIV11_MODE_TX_0, + FFC_DIV11_MODE_TX_1 => FFC_DIV11_MODE_TX_1, + FFC_DIV11_MODE_TX_2 => FFC_DIV11_MODE_TX_2, + FFC_DIV11_MODE_TX_3 => FFC_DIV11_MODE_TX_3, + LDR_CORE2TX_0 => LDR_CORE2TX_0, + LDR_CORE2TX_1 => LDR_CORE2TX_1, + LDR_CORE2TX_2 => LDR_CORE2TX_2, + LDR_CORE2TX_3 => LDR_CORE2TX_3, + FFC_LDR_CORE2TX_EN_0 => FFC_LDR_CORE2TX_EN_0, + FFC_LDR_CORE2TX_EN_1 => FFC_LDR_CORE2TX_EN_1, + FFC_LDR_CORE2TX_EN_2 => FFC_LDR_CORE2TX_EN_2, + FFC_LDR_CORE2TX_EN_3 => FFC_LDR_CORE2TX_EN_3, + PCIE_POWERDOWN_0_0 => PCIE_POWERDOWN_0_0, + PCIE_POWERDOWN_0_1 => PCIE_POWERDOWN_0_1, + PCIE_POWERDOWN_1_0 => PCIE_POWERDOWN_1_0, + PCIE_POWERDOWN_1_1 => PCIE_POWERDOWN_1_1, + PCIE_POWERDOWN_2_0 => PCIE_POWERDOWN_2_0, + PCIE_POWERDOWN_2_1 => PCIE_POWERDOWN_2_1, + PCIE_POWERDOWN_3_0 => PCIE_POWERDOWN_3_0, + PCIE_POWERDOWN_3_1 => PCIE_POWERDOWN_3_1, + PCIE_RXPOLARITY_0 => PCIE_RXPOLARITY_0, + PCIE_RXPOLARITY_1 => PCIE_RXPOLARITY_1, + PCIE_RXPOLARITY_2 => PCIE_RXPOLARITY_2, + PCIE_RXPOLARITY_3 => PCIE_RXPOLARITY_3, + PCIE_TXCOMPLIANCE_0 => PCIE_TXCOMPLIANCE_0, + PCIE_TXCOMPLIANCE_1 => PCIE_TXCOMPLIANCE_1, + PCIE_TXCOMPLIANCE_2 => PCIE_TXCOMPLIANCE_2, + PCIE_TXCOMPLIANCE_3 => PCIE_TXCOMPLIANCE_3, + PCIE_TXDETRX_PR2TLB_0 => PCIE_TXDETRX_PR2TLB_0, + PCIE_TXDETRX_PR2TLB_1 => PCIE_TXDETRX_PR2TLB_1, + PCIE_TXDETRX_PR2TLB_2 => PCIE_TXDETRX_PR2TLB_2, + PCIE_TXDETRX_PR2TLB_3 => PCIE_TXDETRX_PR2TLB_3, + SCIADDR0 => SCIADDR0, + SCIADDR1 => SCIADDR1, + SCIADDR2 => SCIADDR2, + SCIADDR3 => SCIADDR3, + SCIADDR4 => SCIADDR4, + SCIADDR5 => SCIADDR5, + SCIENAUX => SCIENAUX, + SCIENCH0 => SCIENCH0, + SCIENCH1 => SCIENCH1, + SCIENCH2 => SCIENCH2, + SCIENCH3 => SCIENCH3, + SCIRD => SCIRD, + SCISELAUX => SCISELAUX, + SCISELCH0 => SCISELCH0, + SCISELCH1 => SCISELCH1, + SCISELCH2 => SCISELCH2, + SCISELCH3 => SCISELCH3, + SCIWDATA0 => SCIWDATA0, + SCIWDATA1 => SCIWDATA1, + SCIWDATA2 => SCIWDATA2, + SCIWDATA3 => SCIWDATA3, + SCIWDATA4 => SCIWDATA4, + SCIWDATA5 => SCIWDATA5, + SCIWDATA6 => SCIWDATA6, + SCIWDATA7 => SCIWDATA7, + SCIWSTN => SCIWSTN, + HDOUTN0 => HDOUTN0, + HDOUTN1 => HDOUTN1, + HDOUTN2 => HDOUTN2, + HDOUTN3 => HDOUTN3, + HDOUTP0 => HDOUTP0, + HDOUTP1 => HDOUTP1, + HDOUTP2 => HDOUTP2, + HDOUTP3 => HDOUTP3, + COUT19 => COUT19, + COUT18 => COUT18, + COUT17 => COUT17, + COUT16 => COUT16, + COUT15 => COUT15, + COUT14 => COUT14, + COUT13 => COUT13, + COUT12 => COUT12, + COUT11 => COUT11, + COUT10 => COUT10, + COUT9 => COUT9, + COUT8 => COUT8, + COUT7 => COUT7, + COUT6 => COUT6, + COUT5 => COUT5, + COUT4 => COUT4, + COUT3 => COUT3, + COUT2 => COUT2, + COUT1 => COUT1, + COUT0 => COUT0, + FF_RX_D_0_0 => FF_RX_D_0_0, + FF_RX_D_0_1 => FF_RX_D_0_1, + FF_RX_D_0_2 => FF_RX_D_0_2, + FF_RX_D_0_3 => FF_RX_D_0_3, + FF_RX_D_0_4 => FF_RX_D_0_4, + FF_RX_D_0_5 => FF_RX_D_0_5, + FF_RX_D_0_6 => FF_RX_D_0_6, + FF_RX_D_0_7 => FF_RX_D_0_7, + FF_RX_D_0_8 => FF_RX_D_0_8, + FF_RX_D_0_9 => FF_RX_D_0_9, + FF_RX_D_0_10 => FF_RX_D_0_10, + FF_RX_D_0_11 => FF_RX_D_0_11, + FF_RX_D_0_12 => FF_RX_D_0_12, + FF_RX_D_0_13 => FF_RX_D_0_13, + FF_RX_D_0_14 => FF_RX_D_0_14, + FF_RX_D_0_15 => FF_RX_D_0_15, + FF_RX_D_0_16 => FF_RX_D_0_16, + FF_RX_D_0_17 => FF_RX_D_0_17, + FF_RX_D_0_18 => FF_RX_D_0_18, + FF_RX_D_0_19 => FF_RX_D_0_19, + FF_RX_D_0_20 => FF_RX_D_0_20, + FF_RX_D_0_21 => FF_RX_D_0_21, + FF_RX_D_0_22 => FF_RX_D_0_22, + FF_RX_D_0_23 => FF_RX_D_0_23, + FF_RX_D_1_0 => FF_RX_D_1_0, + FF_RX_D_1_1 => FF_RX_D_1_1, + FF_RX_D_1_2 => FF_RX_D_1_2, + FF_RX_D_1_3 => FF_RX_D_1_3, + FF_RX_D_1_4 => FF_RX_D_1_4, + FF_RX_D_1_5 => FF_RX_D_1_5, + FF_RX_D_1_6 => FF_RX_D_1_6, + FF_RX_D_1_7 => FF_RX_D_1_7, + FF_RX_D_1_8 => FF_RX_D_1_8, + FF_RX_D_1_9 => FF_RX_D_1_9, + FF_RX_D_1_10 => FF_RX_D_1_10, + FF_RX_D_1_11 => FF_RX_D_1_11, + FF_RX_D_1_12 => FF_RX_D_1_12, + FF_RX_D_1_13 => FF_RX_D_1_13, + FF_RX_D_1_14 => FF_RX_D_1_14, + FF_RX_D_1_15 => FF_RX_D_1_15, + FF_RX_D_1_16 => FF_RX_D_1_16, + FF_RX_D_1_17 => FF_RX_D_1_17, + FF_RX_D_1_18 => FF_RX_D_1_18, + FF_RX_D_1_19 => FF_RX_D_1_19, + FF_RX_D_1_20 => FF_RX_D_1_20, + FF_RX_D_1_21 => FF_RX_D_1_21, + FF_RX_D_1_22 => FF_RX_D_1_22, + FF_RX_D_1_23 => FF_RX_D_1_23, + FF_RX_D_2_0 => FF_RX_D_2_0, + FF_RX_D_2_1 => FF_RX_D_2_1, + FF_RX_D_2_2 => FF_RX_D_2_2, + FF_RX_D_2_3 => FF_RX_D_2_3, + FF_RX_D_2_4 => FF_RX_D_2_4, + FF_RX_D_2_5 => FF_RX_D_2_5, + FF_RX_D_2_6 => FF_RX_D_2_6, + FF_RX_D_2_7 => FF_RX_D_2_7, + FF_RX_D_2_8 => FF_RX_D_2_8, + FF_RX_D_2_9 => FF_RX_D_2_9, + FF_RX_D_2_10 => FF_RX_D_2_10, + FF_RX_D_2_11 => FF_RX_D_2_11, + FF_RX_D_2_12 => FF_RX_D_2_12, + FF_RX_D_2_13 => FF_RX_D_2_13, + FF_RX_D_2_14 => FF_RX_D_2_14, + FF_RX_D_2_15 => FF_RX_D_2_15, + FF_RX_D_2_16 => FF_RX_D_2_16, + FF_RX_D_2_17 => FF_RX_D_2_17, + FF_RX_D_2_18 => FF_RX_D_2_18, + FF_RX_D_2_19 => FF_RX_D_2_19, + FF_RX_D_2_20 => FF_RX_D_2_20, + FF_RX_D_2_21 => FF_RX_D_2_21, + FF_RX_D_2_22 => FF_RX_D_2_22, + FF_RX_D_2_23 => FF_RX_D_2_23, + FF_RX_D_3_0 => FF_RX_D_3_0, + FF_RX_D_3_1 => FF_RX_D_3_1, + FF_RX_D_3_2 => FF_RX_D_3_2, + FF_RX_D_3_3 => FF_RX_D_3_3, + FF_RX_D_3_4 => FF_RX_D_3_4, + FF_RX_D_3_5 => FF_RX_D_3_5, + FF_RX_D_3_6 => FF_RX_D_3_6, + FF_RX_D_3_7 => FF_RX_D_3_7, + FF_RX_D_3_8 => FF_RX_D_3_8, + FF_RX_D_3_9 => FF_RX_D_3_9, + FF_RX_D_3_10 => FF_RX_D_3_10, + FF_RX_D_3_11 => FF_RX_D_3_11, + FF_RX_D_3_12 => FF_RX_D_3_12, + FF_RX_D_3_13 => FF_RX_D_3_13, + FF_RX_D_3_14 => FF_RX_D_3_14, + FF_RX_D_3_15 => FF_RX_D_3_15, + FF_RX_D_3_16 => FF_RX_D_3_16, + FF_RX_D_3_17 => FF_RX_D_3_17, + FF_RX_D_3_18 => FF_RX_D_3_18, + FF_RX_D_3_19 => FF_RX_D_3_19, + FF_RX_D_3_20 => FF_RX_D_3_20, + FF_RX_D_3_21 => FF_RX_D_3_21, + FF_RX_D_3_22 => FF_RX_D_3_22, + FF_RX_D_3_23 => FF_RX_D_3_23, + FF_RX_F_CLK_0 => FF_RX_F_CLK_0, + FF_RX_F_CLK_1 => FF_RX_F_CLK_1, + FF_RX_F_CLK_2 => FF_RX_F_CLK_2, + FF_RX_F_CLK_3 => FF_RX_F_CLK_3, + FF_RX_H_CLK_0 => FF_RX_H_CLK_0, + FF_RX_H_CLK_1 => FF_RX_H_CLK_1, + FF_RX_H_CLK_2 => FF_RX_H_CLK_2, + FF_RX_H_CLK_3 => FF_RX_H_CLK_3, + FF_TX_F_CLK_0 => FF_TX_F_CLK_0, + FF_TX_F_CLK_1 => FF_TX_F_CLK_1, + FF_TX_F_CLK_2 => FF_TX_F_CLK_2, + FF_TX_F_CLK_3 => FF_TX_F_CLK_3, + FF_TX_H_CLK_0 => FF_TX_H_CLK_0, + FF_TX_H_CLK_1 => FF_TX_H_CLK_1, + FF_TX_H_CLK_2 => FF_TX_H_CLK_2, + FF_TX_H_CLK_3 => FF_TX_H_CLK_3, + FFS_CC_OVERRUN_0 => FFS_CC_OVERRUN_0, + FFS_CC_OVERRUN_1 => FFS_CC_OVERRUN_1, + FFS_CC_OVERRUN_2 => FFS_CC_OVERRUN_2, + FFS_CC_OVERRUN_3 => FFS_CC_OVERRUN_3, + FFS_CC_UNDERRUN_0 => FFS_CC_UNDERRUN_0, + FFS_CC_UNDERRUN_1 => FFS_CC_UNDERRUN_1, + FFS_CC_UNDERRUN_2 => FFS_CC_UNDERRUN_2, + FFS_CC_UNDERRUN_3 => FFS_CC_UNDERRUN_3, + FFS_LS_SYNC_STATUS_0 => FFS_LS_SYNC_STATUS_0, + FFS_LS_SYNC_STATUS_1 => FFS_LS_SYNC_STATUS_1, + FFS_LS_SYNC_STATUS_2 => FFS_LS_SYNC_STATUS_2, + FFS_LS_SYNC_STATUS_3 => FFS_LS_SYNC_STATUS_3, + FFS_CDR_TRAIN_DONE_0 => FFS_CDR_TRAIN_DONE_0, + FFS_CDR_TRAIN_DONE_1 => FFS_CDR_TRAIN_DONE_1, + FFS_CDR_TRAIN_DONE_2 => FFS_CDR_TRAIN_DONE_2, + FFS_CDR_TRAIN_DONE_3 => FFS_CDR_TRAIN_DONE_3, + FFS_PCIE_CON_0 => FFS_PCIE_CON_0, + FFS_PCIE_CON_1 => FFS_PCIE_CON_1, + FFS_PCIE_CON_2 => FFS_PCIE_CON_2, + FFS_PCIE_CON_3 => FFS_PCIE_CON_3, + FFS_PCIE_DONE_0 => FFS_PCIE_DONE_0, + FFS_PCIE_DONE_1 => FFS_PCIE_DONE_1, + FFS_PCIE_DONE_2 => FFS_PCIE_DONE_2, + FFS_PCIE_DONE_3 => FFS_PCIE_DONE_3, + FFS_PLOL => FFS_PLOL, + FFS_RLOL_0 => FFS_RLOL_0, + FFS_RLOL_1 => FFS_RLOL_1, + FFS_RLOL_2 => FFS_RLOL_2, + FFS_RLOL_3 => FFS_RLOL_3, + FFS_RLOS_HI_0 => FFS_RLOS_HI_0, + FFS_RLOS_HI_1 => FFS_RLOS_HI_1, + FFS_RLOS_HI_2 => FFS_RLOS_HI_2, + FFS_RLOS_HI_3 => FFS_RLOS_HI_3, + FFS_RLOS_LO_0 => FFS_RLOS_LO_0, + FFS_RLOS_LO_1 => FFS_RLOS_LO_1, + FFS_RLOS_LO_2 => FFS_RLOS_LO_2, + FFS_RLOS_LO_3 => FFS_RLOS_LO_3, + FFS_RXFBFIFO_ERROR_0 => FFS_RXFBFIFO_ERROR_0, + FFS_RXFBFIFO_ERROR_1 => FFS_RXFBFIFO_ERROR_1, + FFS_RXFBFIFO_ERROR_2 => FFS_RXFBFIFO_ERROR_2, + FFS_RXFBFIFO_ERROR_3 => FFS_RXFBFIFO_ERROR_3, + FFS_TXFBFIFO_ERROR_0 => FFS_TXFBFIFO_ERROR_0, + FFS_TXFBFIFO_ERROR_1 => FFS_TXFBFIFO_ERROR_1, + FFS_TXFBFIFO_ERROR_2 => FFS_TXFBFIFO_ERROR_2, + FFS_TXFBFIFO_ERROR_3 => FFS_TXFBFIFO_ERROR_3, + PCIE_PHYSTATUS_0 => PCIE_PHYSTATUS_0, + PCIE_PHYSTATUS_1 => PCIE_PHYSTATUS_1, + PCIE_PHYSTATUS_2 => PCIE_PHYSTATUS_2, + PCIE_PHYSTATUS_3 => PCIE_PHYSTATUS_3, + PCIE_RXVALID_0 => PCIE_RXVALID_0, + PCIE_RXVALID_1 => PCIE_RXVALID_1, + PCIE_RXVALID_2 => PCIE_RXVALID_2, + PCIE_RXVALID_3 => PCIE_RXVALID_3, + FFS_SKP_ADDED_0 => FFS_SKP_ADDED_0, + FFS_SKP_ADDED_1 => FFS_SKP_ADDED_1, + FFS_SKP_ADDED_2 => FFS_SKP_ADDED_2, + FFS_SKP_ADDED_3 => FFS_SKP_ADDED_3, + FFS_SKP_DELETED_0 => FFS_SKP_DELETED_0, + FFS_SKP_DELETED_1 => FFS_SKP_DELETED_1, + FFS_SKP_DELETED_2 => FFS_SKP_DELETED_2, + FFS_SKP_DELETED_3 => FFS_SKP_DELETED_3, + LDR_RX2CORE_0 => LDR_RX2CORE_0, + LDR_RX2CORE_1 => LDR_RX2CORE_1, + LDR_RX2CORE_2 => LDR_RX2CORE_2, + LDR_RX2CORE_3 => LDR_RX2CORE_3, + REFCK2CORE => REFCK2CORE, + SCIINT => SCIINT, + SCIRDATA0 => SCIRDATA0, + SCIRDATA1 => SCIRDATA1, + SCIRDATA2 => SCIRDATA2, + SCIRDATA3 => SCIRDATA3, + SCIRDATA4 => SCIRDATA4, + SCIRDATA5 => SCIRDATA5, + SCIRDATA6 => SCIRDATA6, + SCIRDATA7 => SCIRDATA7, + REFCLK_FROM_NQ => REFCLK_FROM_NQ, + REFCLK_TO_NQ => REFCLK_TO_NQ + ); + +end PCSD_arch; + +--synopsys translate_on + + + + +--synopsys translate_off +library ECP3; +use ECP3.components.all; +--synopsys translate_on + + +library IEEE, STD; +use IEEE.std_logic_1164.all; +use STD.TEXTIO.all; + +entity serdes_gbe_4ch_ds is + GENERIC (USER_CONFIG_FILE : String := "serdes_gbe_4ch_ds.txt"); + port ( +------------------ +-- CH0 -- + hdinp_ch0, hdinn_ch0 : in std_logic; + hdoutp_ch0, hdoutn_ch0 : out std_logic; + rxiclk_ch0 : in std_logic; + txiclk_ch0 : in std_logic; + rx_full_clk_ch0 : out std_logic; + rx_half_clk_ch0 : out std_logic; + tx_full_clk_ch0 : out std_logic; + tx_half_clk_ch0 : out std_logic; + fpga_rxrefclk_ch0 : in std_logic; + txdata_ch0 : in std_logic_vector (7 downto 0); + tx_k_ch0 : in std_logic; + xmit_ch0 : in std_logic; + tx_disp_correct_ch0 : in std_logic; + rxdata_ch0 : out std_logic_vector (7 downto 0); + rx_k_ch0 : out std_logic; + rx_disp_err_ch0 : out std_logic; + rx_cv_err_ch0 : out std_logic; + rx_serdes_rst_ch0_c : in std_logic; + sb_felb_ch0_c : in std_logic; + sb_felb_rst_ch0_c : in std_logic; + tx_pcs_rst_ch0_c : in std_logic; + tx_pwrup_ch0_c : in std_logic; + rx_pcs_rst_ch0_c : in std_logic; + rx_pwrup_ch0_c : in std_logic; + rx_los_low_ch0_s : out std_logic; + lsm_status_ch0_s : out std_logic; + rx_cdr_lol_ch0_s : out std_logic; +-- CH1 -- + hdinp_ch1, hdinn_ch1 : in std_logic; + hdoutp_ch1, hdoutn_ch1 : out std_logic; + rxiclk_ch1 : in std_logic; + txiclk_ch1 : in std_logic; + rx_full_clk_ch1 : out std_logic; + rx_half_clk_ch1 : out std_logic; + tx_full_clk_ch1 : out std_logic; + tx_half_clk_ch1 : out std_logic; + fpga_rxrefclk_ch1 : in std_logic; + txdata_ch1 : in std_logic_vector (7 downto 0); + tx_k_ch1 : in std_logic; + xmit_ch1 : in std_logic; + tx_disp_correct_ch1 : in std_logic; + rxdata_ch1 : out std_logic_vector (7 downto 0); + rx_k_ch1 : out std_logic; + rx_disp_err_ch1 : out std_logic; + rx_cv_err_ch1 : out std_logic; + rx_serdes_rst_ch1_c : in std_logic; + sb_felb_ch1_c : in std_logic; + sb_felb_rst_ch1_c : in std_logic; + tx_pcs_rst_ch1_c : in std_logic; + tx_pwrup_ch1_c : in std_logic; + rx_pcs_rst_ch1_c : in std_logic; + rx_pwrup_ch1_c : in std_logic; + rx_los_low_ch1_s : out std_logic; + lsm_status_ch1_s : out std_logic; + rx_cdr_lol_ch1_s : out std_logic; +-- CH2 -- + hdinp_ch2, hdinn_ch2 : in std_logic; + hdoutp_ch2, hdoutn_ch2 : out std_logic; + rxiclk_ch2 : in std_logic; + txiclk_ch2 : in std_logic; + rx_full_clk_ch2 : out std_logic; + rx_half_clk_ch2 : out std_logic; + tx_full_clk_ch2 : out std_logic; + tx_half_clk_ch2 : out std_logic; + fpga_rxrefclk_ch2 : in std_logic; + txdata_ch2 : in std_logic_vector (7 downto 0); + tx_k_ch2 : in std_logic; + xmit_ch2 : in std_logic; + tx_disp_correct_ch2 : in std_logic; + rxdata_ch2 : out std_logic_vector (7 downto 0); + rx_k_ch2 : out std_logic; + rx_disp_err_ch2 : out std_logic; + rx_cv_err_ch2 : out std_logic; + rx_serdes_rst_ch2_c : in std_logic; + sb_felb_ch2_c : in std_logic; + sb_felb_rst_ch2_c : in std_logic; + tx_pcs_rst_ch2_c : in std_logic; + tx_pwrup_ch2_c : in std_logic; + rx_pcs_rst_ch2_c : in std_logic; + rx_pwrup_ch2_c : in std_logic; + rx_los_low_ch2_s : out std_logic; + lsm_status_ch2_s : out std_logic; + rx_cdr_lol_ch2_s : out std_logic; +-- CH3 -- + hdinp_ch3, hdinn_ch3 : in std_logic; + hdoutp_ch3, hdoutn_ch3 : out std_logic; + rxiclk_ch3 : in std_logic; + txiclk_ch3 : in std_logic; + rx_full_clk_ch3 : out std_logic; + rx_half_clk_ch3 : out std_logic; + tx_full_clk_ch3 : out std_logic; + tx_half_clk_ch3 : out std_logic; + fpga_rxrefclk_ch3 : in std_logic; + txdata_ch3 : in std_logic_vector (7 downto 0); + tx_k_ch3 : in std_logic; + xmit_ch3 : in std_logic; + tx_disp_correct_ch3 : in std_logic; + rxdata_ch3 : out std_logic_vector (7 downto 0); + rx_k_ch3 : out std_logic; + rx_disp_err_ch3 : out std_logic; + rx_cv_err_ch3 : out std_logic; + rx_serdes_rst_ch3_c : in std_logic; + sb_felb_ch3_c : in std_logic; + sb_felb_rst_ch3_c : in std_logic; + tx_pcs_rst_ch3_c : in std_logic; + tx_pwrup_ch3_c : in std_logic; + rx_pcs_rst_ch3_c : in std_logic; + rx_pwrup_ch3_c : in std_logic; + rx_los_low_ch3_s : out std_logic; + lsm_status_ch3_s : out std_logic; + rx_cdr_lol_ch3_s : out std_logic; +---- Miscillaneous ports + fpga_txrefclk : in std_logic; + tx_serdes_rst_c : in std_logic; + tx_pll_lol_qd_s : out std_logic; + tx_sync_qd_c : in std_logic; + rst_qd_c : in std_logic; + serdes_rst_qd_c : in std_logic); + +end serdes_gbe_4ch_ds; + + +architecture serdes_gbe_4ch_ds_arch of serdes_gbe_4ch_ds is + +component VLO +port ( + Z : out std_logic); +end component; + +component VHI +port ( + Z : out std_logic); +end component; + + + +component PCSD +--synopsys translate_off +GENERIC( + CONFIG_FILE : String; + QUAD_MODE : String; + CH0_CDR_SRC : String := "REFCLK_EXT"; + CH1_CDR_SRC : String := "REFCLK_EXT"; + CH2_CDR_SRC : String := "REFCLK_EXT"; + CH3_CDR_SRC : String := "REFCLK_EXT"; + PLL_SRC : String + ); +--synopsys translate_on +port ( + HDINN0 : in std_logic; + HDINN1 : in std_logic; + HDINN2 : in std_logic; + HDINN3 : in std_logic; + HDINP0 : in std_logic; + HDINP1 : in std_logic; + HDINP2 : in std_logic; + HDINP3 : in std_logic; + REFCLKN : in std_logic; + REFCLKP : in std_logic; + CIN0 : in std_logic; + CIN1 : in std_logic; + CIN2 : in std_logic; + CIN3 : in std_logic; + CIN4 : in std_logic; + CIN5 : in std_logic; + CIN6 : in std_logic; + CIN7 : in std_logic; + CIN8 : in std_logic; + CIN9 : in std_logic; + CIN10 : in std_logic; + CIN11 : in std_logic; + CYAWSTN : in std_logic; + FF_EBRD_CLK_0 : in std_logic; + FF_EBRD_CLK_1 : in std_logic; + FF_EBRD_CLK_2 : in std_logic; + FF_EBRD_CLK_3 : in std_logic; + FF_RXI_CLK_0 : in std_logic; + FF_RXI_CLK_1 : in std_logic; + FF_RXI_CLK_2 : in std_logic; + FF_RXI_CLK_3 : in std_logic; + FF_TX_D_0_0 : in std_logic; + FF_TX_D_0_1 : in std_logic; + FF_TX_D_0_2 : in std_logic; + FF_TX_D_0_3 : in std_logic; + FF_TX_D_0_4 : in std_logic; + FF_TX_D_0_5 : in std_logic; + FF_TX_D_0_6 : in std_logic; + FF_TX_D_0_7 : in std_logic; + FF_TX_D_0_8 : in std_logic; + FF_TX_D_0_9 : in std_logic; + FF_TX_D_0_10 : in std_logic; + FF_TX_D_0_11 : in std_logic; + FF_TX_D_0_12 : in std_logic; + FF_TX_D_0_13 : in std_logic; + FF_TX_D_0_14 : in std_logic; + FF_TX_D_0_15 : in std_logic; + FF_TX_D_0_16 : in std_logic; + FF_TX_D_0_17 : in std_logic; + FF_TX_D_0_18 : in std_logic; + FF_TX_D_0_19 : in std_logic; + FF_TX_D_0_20 : in std_logic; + FF_TX_D_0_21 : in std_logic; + FF_TX_D_0_22 : in std_logic; + FF_TX_D_0_23 : in std_logic; + FF_TX_D_1_0 : in std_logic; + FF_TX_D_1_1 : in std_logic; + FF_TX_D_1_2 : in std_logic; + FF_TX_D_1_3 : in std_logic; + FF_TX_D_1_4 : in std_logic; + FF_TX_D_1_5 : in std_logic; + FF_TX_D_1_6 : in std_logic; + FF_TX_D_1_7 : in std_logic; + FF_TX_D_1_8 : in std_logic; + FF_TX_D_1_9 : in std_logic; + FF_TX_D_1_10 : in std_logic; + FF_TX_D_1_11 : in std_logic; + FF_TX_D_1_12 : in std_logic; + FF_TX_D_1_13 : in std_logic; + FF_TX_D_1_14 : in std_logic; + FF_TX_D_1_15 : in std_logic; + FF_TX_D_1_16 : in std_logic; + FF_TX_D_1_17 : in std_logic; + FF_TX_D_1_18 : in std_logic; + FF_TX_D_1_19 : in std_logic; + FF_TX_D_1_20 : in std_logic; + FF_TX_D_1_21 : in std_logic; + FF_TX_D_1_22 : in std_logic; + FF_TX_D_1_23 : in std_logic; + FF_TX_D_2_0 : in std_logic; + FF_TX_D_2_1 : in std_logic; + FF_TX_D_2_2 : in std_logic; + FF_TX_D_2_3 : in std_logic; + FF_TX_D_2_4 : in std_logic; + FF_TX_D_2_5 : in std_logic; + FF_TX_D_2_6 : in std_logic; + FF_TX_D_2_7 : in std_logic; + FF_TX_D_2_8 : in std_logic; + FF_TX_D_2_9 : in std_logic; + FF_TX_D_2_10 : in std_logic; + FF_TX_D_2_11 : in std_logic; + FF_TX_D_2_12 : in std_logic; + FF_TX_D_2_13 : in std_logic; + FF_TX_D_2_14 : in std_logic; + FF_TX_D_2_15 : in std_logic; + FF_TX_D_2_16 : in std_logic; + FF_TX_D_2_17 : in std_logic; + FF_TX_D_2_18 : in std_logic; + FF_TX_D_2_19 : in std_logic; + FF_TX_D_2_20 : in std_logic; + FF_TX_D_2_21 : in std_logic; + FF_TX_D_2_22 : in std_logic; + FF_TX_D_2_23 : in std_logic; + FF_TX_D_3_0 : in std_logic; + FF_TX_D_3_1 : in std_logic; + FF_TX_D_3_2 : in std_logic; + FF_TX_D_3_3 : in std_logic; + FF_TX_D_3_4 : in std_logic; + FF_TX_D_3_5 : in std_logic; + FF_TX_D_3_6 : in std_logic; + FF_TX_D_3_7 : in std_logic; + FF_TX_D_3_8 : in std_logic; + FF_TX_D_3_9 : in std_logic; + FF_TX_D_3_10 : in std_logic; + FF_TX_D_3_11 : in std_logic; + FF_TX_D_3_12 : in std_logic; + FF_TX_D_3_13 : in std_logic; + FF_TX_D_3_14 : in std_logic; + FF_TX_D_3_15 : in std_logic; + FF_TX_D_3_16 : in std_logic; + FF_TX_D_3_17 : in std_logic; + FF_TX_D_3_18 : in std_logic; + FF_TX_D_3_19 : in std_logic; + FF_TX_D_3_20 : in std_logic; + FF_TX_D_3_21 : in std_logic; + FF_TX_D_3_22 : in std_logic; + FF_TX_D_3_23 : in std_logic; + FF_TXI_CLK_0 : in std_logic; + FF_TXI_CLK_1 : in std_logic; + FF_TXI_CLK_2 : in std_logic; + FF_TXI_CLK_3 : in std_logic; + FFC_CK_CORE_RX_0 : in std_logic; + FFC_CK_CORE_RX_1 : in std_logic; + FFC_CK_CORE_RX_2 : in std_logic; + FFC_CK_CORE_RX_3 : in std_logic; + FFC_CK_CORE_TX : in std_logic; + FFC_EI_EN_0 : in std_logic; + FFC_EI_EN_1 : in std_logic; + FFC_EI_EN_2 : in std_logic; + FFC_EI_EN_3 : in std_logic; + FFC_ENABLE_CGALIGN_0 : in std_logic; + FFC_ENABLE_CGALIGN_1 : in std_logic; + FFC_ENABLE_CGALIGN_2 : in std_logic; + FFC_ENABLE_CGALIGN_3 : in std_logic; + FFC_FB_LOOPBACK_0 : in std_logic; + FFC_FB_LOOPBACK_1 : in std_logic; + FFC_FB_LOOPBACK_2 : in std_logic; + FFC_FB_LOOPBACK_3 : in std_logic; + FFC_LANE_RX_RST_0 : in std_logic; + FFC_LANE_RX_RST_1 : in std_logic; + FFC_LANE_RX_RST_2 : in std_logic; + FFC_LANE_RX_RST_3 : in std_logic; + FFC_LANE_TX_RST_0 : in std_logic; + FFC_LANE_TX_RST_1 : in std_logic; + FFC_LANE_TX_RST_2 : in std_logic; + FFC_LANE_TX_RST_3 : in std_logic; + FFC_MACRO_RST : in std_logic; + FFC_PCI_DET_EN_0 : in std_logic; + FFC_PCI_DET_EN_1 : in std_logic; + FFC_PCI_DET_EN_2 : in std_logic; + FFC_PCI_DET_EN_3 : in std_logic; + FFC_PCIE_CT_0 : in std_logic; + FFC_PCIE_CT_1 : in std_logic; + FFC_PCIE_CT_2 : in std_logic; + FFC_PCIE_CT_3 : in std_logic; + FFC_PFIFO_CLR_0 : in std_logic; + FFC_PFIFO_CLR_1 : in std_logic; + FFC_PFIFO_CLR_2 : in std_logic; + FFC_PFIFO_CLR_3 : in std_logic; + FFC_QUAD_RST : in std_logic; + FFC_RRST_0 : in std_logic; + FFC_RRST_1 : in std_logic; + FFC_RRST_2 : in std_logic; + FFC_RRST_3 : in std_logic; + FFC_RXPWDNB_0 : in std_logic; + FFC_RXPWDNB_1 : in std_logic; + FFC_RXPWDNB_2 : in std_logic; + FFC_RXPWDNB_3 : in std_logic; + FFC_SB_INV_RX_0 : in std_logic; + FFC_SB_INV_RX_1 : in std_logic; + FFC_SB_INV_RX_2 : in std_logic; + FFC_SB_INV_RX_3 : in std_logic; + FFC_SB_PFIFO_LP_0 : in std_logic; + FFC_SB_PFIFO_LP_1 : in std_logic; + FFC_SB_PFIFO_LP_2 : in std_logic; + FFC_SB_PFIFO_LP_3 : in std_logic; + FFC_SIGNAL_DETECT_0 : in std_logic; + FFC_SIGNAL_DETECT_1 : in std_logic; + FFC_SIGNAL_DETECT_2 : in std_logic; + FFC_SIGNAL_DETECT_3 : in std_logic; + FFC_SYNC_TOGGLE : in std_logic; + FFC_TRST : in std_logic; + FFC_TXPWDNB_0 : in std_logic; + FFC_TXPWDNB_1 : in std_logic; + FFC_TXPWDNB_2 : in std_logic; + FFC_TXPWDNB_3 : in std_logic; + FFC_RATE_MODE_RX_0 : in std_logic; + FFC_RATE_MODE_RX_1 : in std_logic; + FFC_RATE_MODE_RX_2 : in std_logic; + FFC_RATE_MODE_RX_3 : in std_logic; + FFC_RATE_MODE_TX_0 : in std_logic; + FFC_RATE_MODE_TX_1 : in std_logic; + FFC_RATE_MODE_TX_2 : in std_logic; + FFC_RATE_MODE_TX_3 : in std_logic; + FFC_DIV11_MODE_RX_0 : in std_logic; + FFC_DIV11_MODE_RX_1 : in std_logic; + FFC_DIV11_MODE_RX_2 : in std_logic; + FFC_DIV11_MODE_RX_3 : in std_logic; + FFC_DIV11_MODE_TX_0 : in std_logic; + FFC_DIV11_MODE_TX_1 : in std_logic; + FFC_DIV11_MODE_TX_2 : in std_logic; + FFC_DIV11_MODE_TX_3 : in std_logic; + LDR_CORE2TX_0 : in std_logic; + LDR_CORE2TX_1 : in std_logic; + LDR_CORE2TX_2 : in std_logic; + LDR_CORE2TX_3 : in std_logic; + FFC_LDR_CORE2TX_EN_0 : in std_logic; + FFC_LDR_CORE2TX_EN_1 : in std_logic; + FFC_LDR_CORE2TX_EN_2 : in std_logic; + FFC_LDR_CORE2TX_EN_3 : in std_logic; + PCIE_POWERDOWN_0_0 : in std_logic; + PCIE_POWERDOWN_0_1 : in std_logic; + PCIE_POWERDOWN_1_0 : in std_logic; + PCIE_POWERDOWN_1_1 : in std_logic; + PCIE_POWERDOWN_2_0 : in std_logic; + PCIE_POWERDOWN_2_1 : in std_logic; + PCIE_POWERDOWN_3_0 : in std_logic; + PCIE_POWERDOWN_3_1 : in std_logic; + PCIE_RXPOLARITY_0 : in std_logic; + PCIE_RXPOLARITY_1 : in std_logic; + PCIE_RXPOLARITY_2 : in std_logic; + PCIE_RXPOLARITY_3 : in std_logic; + PCIE_TXCOMPLIANCE_0 : in std_logic; + PCIE_TXCOMPLIANCE_1 : in std_logic; + PCIE_TXCOMPLIANCE_2 : in std_logic; + PCIE_TXCOMPLIANCE_3 : in std_logic; + PCIE_TXDETRX_PR2TLB_0 : in std_logic; + PCIE_TXDETRX_PR2TLB_1 : in std_logic; + PCIE_TXDETRX_PR2TLB_2 : in std_logic; + PCIE_TXDETRX_PR2TLB_3 : in std_logic; + SCIADDR0 : in std_logic; + SCIADDR1 : in std_logic; + SCIADDR2 : in std_logic; + SCIADDR3 : in std_logic; + SCIADDR4 : in std_logic; + SCIADDR5 : in std_logic; + SCIENAUX : in std_logic; + SCIENCH0 : in std_logic; + SCIENCH1 : in std_logic; + SCIENCH2 : in std_logic; + SCIENCH3 : in std_logic; + SCIRD : in std_logic; + SCISELAUX : in std_logic; + SCISELCH0 : in std_logic; + SCISELCH1 : in std_logic; + SCISELCH2 : in std_logic; + SCISELCH3 : in std_logic; + SCIWDATA0 : in std_logic; + SCIWDATA1 : in std_logic; + SCIWDATA2 : in std_logic; + SCIWDATA3 : in std_logic; + SCIWDATA4 : in std_logic; + SCIWDATA5 : in std_logic; + SCIWDATA6 : in std_logic; + SCIWDATA7 : in std_logic; + SCIWSTN : in std_logic; + REFCLK_FROM_NQ : in std_logic; + HDOUTN0 : out std_logic; + HDOUTN1 : out std_logic; + HDOUTN2 : out std_logic; + HDOUTN3 : out std_logic; + HDOUTP0 : out std_logic; + HDOUTP1 : out std_logic; + HDOUTP2 : out std_logic; + HDOUTP3 : out std_logic; + COUT0 : out std_logic; + COUT1 : out std_logic; + COUT2 : out std_logic; + COUT3 : out std_logic; + COUT4 : out std_logic; + COUT5 : out std_logic; + COUT6 : out std_logic; + COUT7 : out std_logic; + COUT8 : out std_logic; + COUT9 : out std_logic; + COUT10 : out std_logic; + COUT11 : out std_logic; + COUT12 : out std_logic; + COUT13 : out std_logic; + COUT14 : out std_logic; + COUT15 : out std_logic; + COUT16 : out std_logic; + COUT17 : out std_logic; + COUT18 : out std_logic; + COUT19 : out std_logic; + FF_RX_D_0_0 : out std_logic; + FF_RX_D_0_1 : out std_logic; + FF_RX_D_0_2 : out std_logic; + FF_RX_D_0_3 : out std_logic; + FF_RX_D_0_4 : out std_logic; + FF_RX_D_0_5 : out std_logic; + FF_RX_D_0_6 : out std_logic; + FF_RX_D_0_7 : out std_logic; + FF_RX_D_0_8 : out std_logic; + FF_RX_D_0_9 : out std_logic; + FF_RX_D_0_10 : out std_logic; + FF_RX_D_0_11 : out std_logic; + FF_RX_D_0_12 : out std_logic; + FF_RX_D_0_13 : out std_logic; + FF_RX_D_0_14 : out std_logic; + FF_RX_D_0_15 : out std_logic; + FF_RX_D_0_16 : out std_logic; + FF_RX_D_0_17 : out std_logic; + FF_RX_D_0_18 : out std_logic; + FF_RX_D_0_19 : out std_logic; + FF_RX_D_0_20 : out std_logic; + FF_RX_D_0_21 : out std_logic; + FF_RX_D_0_22 : out std_logic; + FF_RX_D_0_23 : out std_logic; + FF_RX_D_1_0 : out std_logic; + FF_RX_D_1_1 : out std_logic; + FF_RX_D_1_2 : out std_logic; + FF_RX_D_1_3 : out std_logic; + FF_RX_D_1_4 : out std_logic; + FF_RX_D_1_5 : out std_logic; + FF_RX_D_1_6 : out std_logic; + FF_RX_D_1_7 : out std_logic; + FF_RX_D_1_8 : out std_logic; + FF_RX_D_1_9 : out std_logic; + FF_RX_D_1_10 : out std_logic; + FF_RX_D_1_11 : out std_logic; + FF_RX_D_1_12 : out std_logic; + FF_RX_D_1_13 : out std_logic; + FF_RX_D_1_14 : out std_logic; + FF_RX_D_1_15 : out std_logic; + FF_RX_D_1_16 : out std_logic; + FF_RX_D_1_17 : out std_logic; + FF_RX_D_1_18 : out std_logic; + FF_RX_D_1_19 : out std_logic; + FF_RX_D_1_20 : out std_logic; + FF_RX_D_1_21 : out std_logic; + FF_RX_D_1_22 : out std_logic; + FF_RX_D_1_23 : out std_logic; + FF_RX_D_2_0 : out std_logic; + FF_RX_D_2_1 : out std_logic; + FF_RX_D_2_2 : out std_logic; + FF_RX_D_2_3 : out std_logic; + FF_RX_D_2_4 : out std_logic; + FF_RX_D_2_5 : out std_logic; + FF_RX_D_2_6 : out std_logic; + FF_RX_D_2_7 : out std_logic; + FF_RX_D_2_8 : out std_logic; + FF_RX_D_2_9 : out std_logic; + FF_RX_D_2_10 : out std_logic; + FF_RX_D_2_11 : out std_logic; + FF_RX_D_2_12 : out std_logic; + FF_RX_D_2_13 : out std_logic; + FF_RX_D_2_14 : out std_logic; + FF_RX_D_2_15 : out std_logic; + FF_RX_D_2_16 : out std_logic; + FF_RX_D_2_17 : out std_logic; + FF_RX_D_2_18 : out std_logic; + FF_RX_D_2_19 : out std_logic; + FF_RX_D_2_20 : out std_logic; + FF_RX_D_2_21 : out std_logic; + FF_RX_D_2_22 : out std_logic; + FF_RX_D_2_23 : out std_logic; + FF_RX_D_3_0 : out std_logic; + FF_RX_D_3_1 : out std_logic; + FF_RX_D_3_2 : out std_logic; + FF_RX_D_3_3 : out std_logic; + FF_RX_D_3_4 : out std_logic; + FF_RX_D_3_5 : out std_logic; + FF_RX_D_3_6 : out std_logic; + FF_RX_D_3_7 : out std_logic; + FF_RX_D_3_8 : out std_logic; + FF_RX_D_3_9 : out std_logic; + FF_RX_D_3_10 : out std_logic; + FF_RX_D_3_11 : out std_logic; + FF_RX_D_3_12 : out std_logic; + FF_RX_D_3_13 : out std_logic; + FF_RX_D_3_14 : out std_logic; + FF_RX_D_3_15 : out std_logic; + FF_RX_D_3_16 : out std_logic; + FF_RX_D_3_17 : out std_logic; + FF_RX_D_3_18 : out std_logic; + FF_RX_D_3_19 : out std_logic; + FF_RX_D_3_20 : out std_logic; + FF_RX_D_3_21 : out std_logic; + FF_RX_D_3_22 : out std_logic; + FF_RX_D_3_23 : out std_logic; + FF_RX_F_CLK_0 : out std_logic; + FF_RX_F_CLK_1 : out std_logic; + FF_RX_F_CLK_2 : out std_logic; + FF_RX_F_CLK_3 : out std_logic; + FF_RX_H_CLK_0 : out std_logic; + FF_RX_H_CLK_1 : out std_logic; + FF_RX_H_CLK_2 : out std_logic; + FF_RX_H_CLK_3 : out std_logic; + FF_TX_F_CLK_0 : out std_logic; + FF_TX_F_CLK_1 : out std_logic; + FF_TX_F_CLK_2 : out std_logic; + FF_TX_F_CLK_3 : out std_logic; + FF_TX_H_CLK_0 : out std_logic; + FF_TX_H_CLK_1 : out std_logic; + FF_TX_H_CLK_2 : out std_logic; + FF_TX_H_CLK_3 : out std_logic; + FFS_CC_OVERRUN_0 : out std_logic; + FFS_CC_OVERRUN_1 : out std_logic; + FFS_CC_OVERRUN_2 : out std_logic; + FFS_CC_OVERRUN_3 : out std_logic; + FFS_CC_UNDERRUN_0 : out std_logic; + FFS_CC_UNDERRUN_1 : out std_logic; + FFS_CC_UNDERRUN_2 : out std_logic; + FFS_CC_UNDERRUN_3 : out std_logic; + FFS_LS_SYNC_STATUS_0 : out std_logic; + FFS_LS_SYNC_STATUS_1 : out std_logic; + FFS_LS_SYNC_STATUS_2 : out std_logic; + FFS_LS_SYNC_STATUS_3 : out std_logic; + FFS_CDR_TRAIN_DONE_0 : out std_logic; + FFS_CDR_TRAIN_DONE_1 : out std_logic; + FFS_CDR_TRAIN_DONE_2 : out std_logic; + FFS_CDR_TRAIN_DONE_3 : out std_logic; + FFS_PCIE_CON_0 : out std_logic; + FFS_PCIE_CON_1 : out std_logic; + FFS_PCIE_CON_2 : out std_logic; + FFS_PCIE_CON_3 : out std_logic; + FFS_PCIE_DONE_0 : out std_logic; + FFS_PCIE_DONE_1 : out std_logic; + FFS_PCIE_DONE_2 : out std_logic; + FFS_PCIE_DONE_3 : out std_logic; + FFS_PLOL : out std_logic; + FFS_RLOL_0 : out std_logic; + FFS_RLOL_1 : out std_logic; + FFS_RLOL_2 : out std_logic; + FFS_RLOL_3 : out std_logic; + FFS_RLOS_HI_0 : out std_logic; + FFS_RLOS_HI_1 : out std_logic; + FFS_RLOS_HI_2 : out std_logic; + FFS_RLOS_HI_3 : out std_logic; + FFS_RLOS_LO_0 : out std_logic; + FFS_RLOS_LO_1 : out std_logic; + FFS_RLOS_LO_2 : out std_logic; + FFS_RLOS_LO_3 : out std_logic; + FFS_RXFBFIFO_ERROR_0 : out std_logic; + FFS_RXFBFIFO_ERROR_1 : out std_logic; + FFS_RXFBFIFO_ERROR_2 : out std_logic; + FFS_RXFBFIFO_ERROR_3 : out std_logic; + FFS_TXFBFIFO_ERROR_0 : out std_logic; + FFS_TXFBFIFO_ERROR_1 : out std_logic; + FFS_TXFBFIFO_ERROR_2 : out std_logic; + FFS_TXFBFIFO_ERROR_3 : out std_logic; + PCIE_PHYSTATUS_0 : out std_logic; + PCIE_PHYSTATUS_1 : out std_logic; + PCIE_PHYSTATUS_2 : out std_logic; + PCIE_PHYSTATUS_3 : out std_logic; + PCIE_RXVALID_0 : out std_logic; + PCIE_RXVALID_1 : out std_logic; + PCIE_RXVALID_2 : out std_logic; + PCIE_RXVALID_3 : out std_logic; + FFS_SKP_ADDED_0 : out std_logic; + FFS_SKP_ADDED_1 : out std_logic; + FFS_SKP_ADDED_2 : out std_logic; + FFS_SKP_ADDED_3 : out std_logic; + FFS_SKP_DELETED_0 : out std_logic; + FFS_SKP_DELETED_1 : out std_logic; + FFS_SKP_DELETED_2 : out std_logic; + FFS_SKP_DELETED_3 : out std_logic; + LDR_RX2CORE_0 : out std_logic; + LDR_RX2CORE_1 : out std_logic; + LDR_RX2CORE_2 : out std_logic; + LDR_RX2CORE_3 : out std_logic; + REFCK2CORE : out std_logic; + SCIINT : out std_logic; + SCIRDATA0 : out std_logic; + SCIRDATA1 : out std_logic; + SCIRDATA2 : out std_logic; + SCIRDATA3 : out std_logic; + SCIRDATA4 : out std_logic; + SCIRDATA5 : out std_logic; + SCIRDATA6 : out std_logic; + SCIRDATA7 : out std_logic; + REFCLK_TO_NQ : out std_logic +); +end component; + attribute CONFIG_FILE: string; + attribute CONFIG_FILE of PCSD_INST : label is USER_CONFIG_FILE; + attribute QUAD_MODE: string; + attribute QUAD_MODE of PCSD_INST : label is "SINGLE"; + attribute PLL_SRC: string; + attribute PLL_SRC of PCSD_INST : label is "REFCLK_CORE"; + attribute CH0_CDR_SRC: string; + attribute CH0_CDR_SRC of PCSD_INST : label is "REFCLK_CORE"; + attribute CH1_CDR_SRC: string; + attribute CH1_CDR_SRC of PCSD_INST : label is "REFCLK_CORE"; + attribute CH2_CDR_SRC: string; + attribute CH2_CDR_SRC of PCSD_INST : label is "REFCLK_CORE"; + attribute CH3_CDR_SRC: string; + attribute CH3_CDR_SRC of PCSD_INST : label is "REFCLK_CORE"; + attribute FREQUENCY_PIN_FF_RX_F_CLK_0: string; + attribute FREQUENCY_PIN_FF_RX_F_CLK_0 of PCSD_INST : label is "125.000"; + attribute FREQUENCY_PIN_FF_RX_F_CLK_1: string; + attribute FREQUENCY_PIN_FF_RX_F_CLK_1 of PCSD_INST : label is "125.000"; + attribute FREQUENCY_PIN_FF_RX_F_CLK_2: string; + attribute FREQUENCY_PIN_FF_RX_F_CLK_2 of PCSD_INST : label is "125.000"; + attribute FREQUENCY_PIN_FF_RX_F_CLK_3: string; + attribute FREQUENCY_PIN_FF_RX_F_CLK_3 of PCSD_INST : label is "125.000"; + attribute FREQUENCY_PIN_FF_RX_H_CLK_0: string; + attribute FREQUENCY_PIN_FF_RX_H_CLK_0 of PCSD_INST : label is "62.5000"; + attribute FREQUENCY_PIN_FF_RX_H_CLK_1: string; + attribute FREQUENCY_PIN_FF_RX_H_CLK_1 of PCSD_INST : label is "62.5000"; + attribute FREQUENCY_PIN_FF_RX_H_CLK_2: string; + attribute FREQUENCY_PIN_FF_RX_H_CLK_2 of PCSD_INST : label is "62.5000"; + attribute FREQUENCY_PIN_FF_RX_H_CLK_3: string; + attribute FREQUENCY_PIN_FF_RX_H_CLK_3 of PCSD_INST : label is "62.5000"; + attribute FREQUENCY_PIN_FF_TX_F_CLK_0: string; + attribute FREQUENCY_PIN_FF_TX_F_CLK_0 of PCSD_INST : label is "125.000"; + attribute FREQUENCY_PIN_FF_TX_F_CLK_1: string; + attribute FREQUENCY_PIN_FF_TX_F_CLK_1 of PCSD_INST : label is "125.000"; + attribute FREQUENCY_PIN_FF_TX_F_CLK_2: string; + attribute FREQUENCY_PIN_FF_TX_F_CLK_2 of PCSD_INST : label is "125.000"; + attribute FREQUENCY_PIN_FF_TX_F_CLK_3: string; + attribute FREQUENCY_PIN_FF_TX_F_CLK_3 of PCSD_INST : label is "125.000"; + attribute FREQUENCY_PIN_FF_TX_H_CLK_0: string; + attribute FREQUENCY_PIN_FF_TX_H_CLK_0 of PCSD_INST : label is "62.5000"; + attribute FREQUENCY_PIN_FF_TX_H_CLK_1: string; + attribute FREQUENCY_PIN_FF_TX_H_CLK_1 of PCSD_INST : label is "62.5000"; + attribute FREQUENCY_PIN_FF_TX_H_CLK_2: string; + attribute FREQUENCY_PIN_FF_TX_H_CLK_2 of PCSD_INST : label is "62.5000"; + attribute FREQUENCY_PIN_FF_TX_H_CLK_3: string; + attribute FREQUENCY_PIN_FF_TX_H_CLK_3 of PCSD_INST : label is "62.5000"; + attribute black_box_pad_pin: string; + attribute black_box_pad_pin of PCSD : component is "HDINP0, HDINN0, HDINP1, HDINN1, HDINP2, HDINN2, HDINP3, HDINN3, HDOUTP0, HDOUTN0, HDOUTP1, HDOUTN1, HDOUTP2, HDOUTN2, HDOUTP3, HDOUTN3, REFCLKP, REFCLKN"; + +signal refclk_from_nq : std_logic := '0'; +signal fpsc_vlo : std_logic := '0'; +signal fpsc_vhi : std_logic := '1'; +signal cin : std_logic_vector (11 downto 0) := "000000000000"; +signal cout : std_logic_vector (19 downto 0); +signal tx_full_clk_ch0_sig : std_logic; +signal tx_full_clk_ch1_sig : std_logic; +signal tx_full_clk_ch2_sig : std_logic; +signal tx_full_clk_ch3_sig : std_logic; + +signal refclk2fpga_sig : std_logic; +signal tx_pll_lol_qd_sig : std_logic; +signal rx_los_low_ch0_sig : std_logic; +signal rx_los_low_ch1_sig : std_logic; +signal rx_los_low_ch2_sig : std_logic; +signal rx_los_low_ch3_sig : std_logic; +signal rx_cdr_lol_ch0_sig : std_logic; +signal rx_cdr_lol_ch1_sig : std_logic; +signal rx_cdr_lol_ch2_sig : std_logic; +signal rx_cdr_lol_ch3_sig : std_logic; + + + + + +begin + +vlo_inst : VLO port map(Z => fpsc_vlo); +vhi_inst : VHI port map(Z => fpsc_vhi); + + rx_los_low_ch0_s <= rx_los_low_ch0_sig; + rx_los_low_ch1_s <= rx_los_low_ch1_sig; + rx_los_low_ch2_s <= rx_los_low_ch2_sig; + rx_los_low_ch3_s <= rx_los_low_ch3_sig; + rx_cdr_lol_ch0_s <= rx_cdr_lol_ch0_sig; + rx_cdr_lol_ch1_s <= rx_cdr_lol_ch1_sig; + rx_cdr_lol_ch2_s <= rx_cdr_lol_ch2_sig; + rx_cdr_lol_ch3_s <= rx_cdr_lol_ch3_sig; + tx_pll_lol_qd_s <= tx_pll_lol_qd_sig; + tx_full_clk_ch0 <= tx_full_clk_ch0_sig; + tx_full_clk_ch1 <= tx_full_clk_ch1_sig; + tx_full_clk_ch2 <= tx_full_clk_ch2_sig; + tx_full_clk_ch3 <= tx_full_clk_ch3_sig; + +-- pcs_quad instance +PCSD_INST : PCSD +--synopsys translate_off + generic map (CONFIG_FILE => USER_CONFIG_FILE, + QUAD_MODE => "SINGLE", + CH0_CDR_SRC => "REFCLK_CORE", + CH1_CDR_SRC => "REFCLK_CORE", + CH2_CDR_SRC => "REFCLK_CORE", + CH3_CDR_SRC => "REFCLK_CORE", + PLL_SRC => "REFCLK_CORE" + ) +--synopsys translate_on +port map ( + REFCLKP => fpsc_vlo, + REFCLKN => fpsc_vlo, + +----- CH0 ----- + HDOUTP0 => hdoutp_ch0, + HDOUTN0 => hdoutn_ch0, + HDINP0 => hdinp_ch0, + HDINN0 => hdinn_ch0, + PCIE_TXDETRX_PR2TLB_0 => fpsc_vlo, + PCIE_TXCOMPLIANCE_0 => fpsc_vlo, + PCIE_RXPOLARITY_0 => fpsc_vlo, + PCIE_POWERDOWN_0_0 => fpsc_vlo, + PCIE_POWERDOWN_0_1 => fpsc_vlo, + PCIE_RXVALID_0 => open, + PCIE_PHYSTATUS_0 => open, + SCISELCH0 => fpsc_vlo, + SCIENCH0 => fpsc_vlo, + FF_RXI_CLK_0 => rxiclk_ch0, + FF_TXI_CLK_0 => txiclk_ch0, + FF_EBRD_CLK_0 => fpsc_vlo, + FF_RX_F_CLK_0 => rx_full_clk_ch0, + FF_RX_H_CLK_0 => rx_half_clk_ch0, + FF_TX_F_CLK_0 => tx_full_clk_ch0_sig, + FF_TX_H_CLK_0 => tx_half_clk_ch0, + FFC_CK_CORE_RX_0 => fpga_rxrefclk_ch0, + FF_TX_D_0_0 => txdata_ch0(0), + FF_TX_D_0_1 => txdata_ch0(1), + FF_TX_D_0_2 => txdata_ch0(2), + FF_TX_D_0_3 => txdata_ch0(3), + FF_TX_D_0_4 => txdata_ch0(4), + FF_TX_D_0_5 => txdata_ch0(5), + FF_TX_D_0_6 => txdata_ch0(6), + FF_TX_D_0_7 => txdata_ch0(7), + FF_TX_D_0_8 => tx_k_ch0, + FF_TX_D_0_9 => fpsc_vlo, + FF_TX_D_0_10 => xmit_ch0, + FF_TX_D_0_11 => tx_disp_correct_ch0, + FF_TX_D_0_12 => fpsc_vlo, + FF_TX_D_0_13 => fpsc_vlo, + FF_TX_D_0_14 => fpsc_vlo, + FF_TX_D_0_15 => fpsc_vlo, + FF_TX_D_0_16 => fpsc_vlo, + FF_TX_D_0_17 => fpsc_vlo, + FF_TX_D_0_18 => fpsc_vlo, + FF_TX_D_0_19 => fpsc_vlo, + FF_TX_D_0_20 => fpsc_vlo, + FF_TX_D_0_21 => fpsc_vlo, + FF_TX_D_0_22 => fpsc_vlo, + FF_TX_D_0_23 => fpsc_vlo, + FF_RX_D_0_0 => rxdata_ch0(0), + FF_RX_D_0_1 => rxdata_ch0(1), + FF_RX_D_0_2 => rxdata_ch0(2), + FF_RX_D_0_3 => rxdata_ch0(3), + FF_RX_D_0_4 => rxdata_ch0(4), + FF_RX_D_0_5 => rxdata_ch0(5), + FF_RX_D_0_6 => rxdata_ch0(6), + FF_RX_D_0_7 => rxdata_ch0(7), + FF_RX_D_0_8 => rx_k_ch0, + FF_RX_D_0_9 => rx_disp_err_ch0, + FF_RX_D_0_10 => rx_cv_err_ch0, + FF_RX_D_0_11 => open, + FF_RX_D_0_12 => open, + FF_RX_D_0_13 => open, + FF_RX_D_0_14 => open, + FF_RX_D_0_15 => open, + FF_RX_D_0_16 => open, + FF_RX_D_0_17 => open, + FF_RX_D_0_18 => open, + FF_RX_D_0_19 => open, + FF_RX_D_0_20 => open, + FF_RX_D_0_21 => open, + FF_RX_D_0_22 => open, + FF_RX_D_0_23 => open, + + FFC_RRST_0 => rx_serdes_rst_ch0_c, + FFC_SIGNAL_DETECT_0 => fpsc_vlo, + FFC_SB_PFIFO_LP_0 => sb_felb_ch0_c, + FFC_PFIFO_CLR_0 => sb_felb_rst_ch0_c, + FFC_SB_INV_RX_0 => fpsc_vlo, + FFC_PCIE_CT_0 => fpsc_vlo, + FFC_PCI_DET_EN_0 => fpsc_vlo, + FFC_FB_LOOPBACK_0 => fpsc_vlo, + FFC_ENABLE_CGALIGN_0 => fpsc_vlo, + FFC_EI_EN_0 => fpsc_vlo, + FFC_LANE_TX_RST_0 => tx_pcs_rst_ch0_c, + FFC_TXPWDNB_0 => tx_pwrup_ch0_c, + FFC_LANE_RX_RST_0 => rx_pcs_rst_ch0_c, + FFC_RXPWDNB_0 => rx_pwrup_ch0_c, + FFS_RLOS_LO_0 => rx_los_low_ch0_sig, + FFS_RLOS_HI_0 => open, + FFS_PCIE_CON_0 => open, + FFS_PCIE_DONE_0 => open, + FFS_LS_SYNC_STATUS_0 => lsm_status_ch0_s, + FFS_CC_OVERRUN_0 => open, + FFS_CC_UNDERRUN_0 => open, + FFS_SKP_ADDED_0 => open, + FFS_SKP_DELETED_0 => open, + FFS_RLOL_0 => rx_cdr_lol_ch0_sig, + FFS_RXFBFIFO_ERROR_0 => open, + FFS_TXFBFIFO_ERROR_0 => open, + LDR_CORE2TX_0 => fpsc_vlo, + FFC_LDR_CORE2TX_EN_0 => fpsc_vlo, + LDR_RX2CORE_0 => open, + FFS_CDR_TRAIN_DONE_0 => open, + FFC_DIV11_MODE_TX_0 => fpsc_vlo, + FFC_RATE_MODE_TX_0 => fpsc_vlo, + FFC_DIV11_MODE_RX_0 => fpsc_vlo, + FFC_RATE_MODE_RX_0 => fpsc_vlo, + +----- CH1 ----- + HDOUTP1 => hdoutp_ch1, + HDOUTN1 => hdoutn_ch1, + HDINP1 => hdinp_ch1, + HDINN1 => hdinn_ch1, + PCIE_TXDETRX_PR2TLB_1 => fpsc_vlo, + PCIE_TXCOMPLIANCE_1 => fpsc_vlo, + PCIE_RXPOLARITY_1 => fpsc_vlo, + PCIE_POWERDOWN_1_0 => fpsc_vlo, + PCIE_POWERDOWN_1_1 => fpsc_vlo, + PCIE_RXVALID_1 => open, + PCIE_PHYSTATUS_1 => open, + SCISELCH1 => fpsc_vlo, + SCIENCH1 => fpsc_vlo, + FF_RXI_CLK_1 => rxiclk_ch1, + FF_TXI_CLK_1 => txiclk_ch1, + FF_EBRD_CLK_1 => fpsc_vlo, + FF_RX_F_CLK_1 => rx_full_clk_ch1, + FF_RX_H_CLK_1 => rx_half_clk_ch1, + FF_TX_F_CLK_1 => tx_full_clk_ch1_sig, + FF_TX_H_CLK_1 => tx_half_clk_ch1, + FFC_CK_CORE_RX_1 => fpga_rxrefclk_ch1, + FF_TX_D_1_0 => txdata_ch1(0), + FF_TX_D_1_1 => txdata_ch1(1), + FF_TX_D_1_2 => txdata_ch1(2), + FF_TX_D_1_3 => txdata_ch1(3), + FF_TX_D_1_4 => txdata_ch1(4), + FF_TX_D_1_5 => txdata_ch1(5), + FF_TX_D_1_6 => txdata_ch1(6), + FF_TX_D_1_7 => txdata_ch1(7), + FF_TX_D_1_8 => tx_k_ch1, + FF_TX_D_1_9 => fpsc_vlo, + FF_TX_D_1_10 => xmit_ch1, + FF_TX_D_1_11 => tx_disp_correct_ch1, + FF_TX_D_1_12 => fpsc_vlo, + FF_TX_D_1_13 => fpsc_vlo, + FF_TX_D_1_14 => fpsc_vlo, + FF_TX_D_1_15 => fpsc_vlo, + FF_TX_D_1_16 => fpsc_vlo, + FF_TX_D_1_17 => fpsc_vlo, + FF_TX_D_1_18 => fpsc_vlo, + FF_TX_D_1_19 => fpsc_vlo, + FF_TX_D_1_20 => fpsc_vlo, + FF_TX_D_1_21 => fpsc_vlo, + FF_TX_D_1_22 => fpsc_vlo, + FF_TX_D_1_23 => fpsc_vlo, + FF_RX_D_1_0 => rxdata_ch1(0), + FF_RX_D_1_1 => rxdata_ch1(1), + FF_RX_D_1_2 => rxdata_ch1(2), + FF_RX_D_1_3 => rxdata_ch1(3), + FF_RX_D_1_4 => rxdata_ch1(4), + FF_RX_D_1_5 => rxdata_ch1(5), + FF_RX_D_1_6 => rxdata_ch1(6), + FF_RX_D_1_7 => rxdata_ch1(7), + FF_RX_D_1_8 => rx_k_ch1, + FF_RX_D_1_9 => rx_disp_err_ch1, + FF_RX_D_1_10 => rx_cv_err_ch1, + FF_RX_D_1_11 => open, + FF_RX_D_1_12 => open, + FF_RX_D_1_13 => open, + FF_RX_D_1_14 => open, + FF_RX_D_1_15 => open, + FF_RX_D_1_16 => open, + FF_RX_D_1_17 => open, + FF_RX_D_1_18 => open, + FF_RX_D_1_19 => open, + FF_RX_D_1_20 => open, + FF_RX_D_1_21 => open, + FF_RX_D_1_22 => open, + FF_RX_D_1_23 => open, + + FFC_RRST_1 => rx_serdes_rst_ch1_c, + FFC_SIGNAL_DETECT_1 => fpsc_vlo, + FFC_SB_PFIFO_LP_1 => sb_felb_ch1_c, + FFC_PFIFO_CLR_1 => sb_felb_rst_ch1_c, + FFC_SB_INV_RX_1 => fpsc_vlo, + FFC_PCIE_CT_1 => fpsc_vlo, + FFC_PCI_DET_EN_1 => fpsc_vlo, + FFC_FB_LOOPBACK_1 => fpsc_vlo, + FFC_ENABLE_CGALIGN_1 => fpsc_vlo, + FFC_EI_EN_1 => fpsc_vlo, + FFC_LANE_TX_RST_1 => tx_pcs_rst_ch1_c, + FFC_TXPWDNB_1 => tx_pwrup_ch1_c, + FFC_LANE_RX_RST_1 => rx_pcs_rst_ch1_c, + FFC_RXPWDNB_1 => rx_pwrup_ch1_c, + FFS_RLOS_LO_1 => rx_los_low_ch1_sig, + FFS_RLOS_HI_1 => open, + FFS_PCIE_CON_1 => open, + FFS_PCIE_DONE_1 => open, + FFS_LS_SYNC_STATUS_1 => lsm_status_ch1_s, + FFS_CC_OVERRUN_1 => open, + FFS_CC_UNDERRUN_1 => open, + FFS_SKP_ADDED_1 => open, + FFS_SKP_DELETED_1 => open, + FFS_RLOL_1 => rx_cdr_lol_ch1_sig, + FFS_RXFBFIFO_ERROR_1 => open, + FFS_TXFBFIFO_ERROR_1 => open, + LDR_CORE2TX_1 => fpsc_vlo, + FFC_LDR_CORE2TX_EN_1 => fpsc_vlo, + LDR_RX2CORE_1 => open, + FFS_CDR_TRAIN_DONE_1 => open, + FFC_DIV11_MODE_TX_1 => fpsc_vlo, + FFC_RATE_MODE_TX_1 => fpsc_vlo, + FFC_DIV11_MODE_RX_1 => fpsc_vlo, + FFC_RATE_MODE_RX_1 => fpsc_vlo, + +----- CH2 ----- + HDOUTP2 => hdoutp_ch2, + HDOUTN2 => hdoutn_ch2, + HDINP2 => hdinp_ch2, + HDINN2 => hdinn_ch2, + PCIE_TXDETRX_PR2TLB_2 => fpsc_vlo, + PCIE_TXCOMPLIANCE_2 => fpsc_vlo, + PCIE_RXPOLARITY_2 => fpsc_vlo, + PCIE_POWERDOWN_2_0 => fpsc_vlo, + PCIE_POWERDOWN_2_1 => fpsc_vlo, + PCIE_RXVALID_2 => open, + PCIE_PHYSTATUS_2 => open, + SCISELCH2 => fpsc_vlo, + SCIENCH2 => fpsc_vlo, + FF_RXI_CLK_2 => rxiclk_ch2, + FF_TXI_CLK_2 => txiclk_ch2, + FF_EBRD_CLK_2 => fpsc_vlo, + FF_RX_F_CLK_2 => rx_full_clk_ch2, + FF_RX_H_CLK_2 => rx_half_clk_ch2, + FF_TX_F_CLK_2 => tx_full_clk_ch2_sig, + FF_TX_H_CLK_2 => tx_half_clk_ch2, + FFC_CK_CORE_RX_2 => fpga_rxrefclk_ch2, + FF_TX_D_2_0 => txdata_ch2(0), + FF_TX_D_2_1 => txdata_ch2(1), + FF_TX_D_2_2 => txdata_ch2(2), + FF_TX_D_2_3 => txdata_ch2(3), + FF_TX_D_2_4 => txdata_ch2(4), + FF_TX_D_2_5 => txdata_ch2(5), + FF_TX_D_2_6 => txdata_ch2(6), + FF_TX_D_2_7 => txdata_ch2(7), + FF_TX_D_2_8 => tx_k_ch2, + FF_TX_D_2_9 => fpsc_vlo, + FF_TX_D_2_10 => xmit_ch2, + FF_TX_D_2_11 => tx_disp_correct_ch2, + FF_TX_D_2_12 => fpsc_vlo, + FF_TX_D_2_13 => fpsc_vlo, + FF_TX_D_2_14 => fpsc_vlo, + FF_TX_D_2_15 => fpsc_vlo, + FF_TX_D_2_16 => fpsc_vlo, + FF_TX_D_2_17 => fpsc_vlo, + FF_TX_D_2_18 => fpsc_vlo, + FF_TX_D_2_19 => fpsc_vlo, + FF_TX_D_2_20 => fpsc_vlo, + FF_TX_D_2_21 => fpsc_vlo, + FF_TX_D_2_22 => fpsc_vlo, + FF_TX_D_2_23 => fpsc_vlo, + FF_RX_D_2_0 => rxdata_ch2(0), + FF_RX_D_2_1 => rxdata_ch2(1), + FF_RX_D_2_2 => rxdata_ch2(2), + FF_RX_D_2_3 => rxdata_ch2(3), + FF_RX_D_2_4 => rxdata_ch2(4), + FF_RX_D_2_5 => rxdata_ch2(5), + FF_RX_D_2_6 => rxdata_ch2(6), + FF_RX_D_2_7 => rxdata_ch2(7), + FF_RX_D_2_8 => rx_k_ch2, + FF_RX_D_2_9 => rx_disp_err_ch2, + FF_RX_D_2_10 => rx_cv_err_ch2, + FF_RX_D_2_11 => open, + FF_RX_D_2_12 => open, + FF_RX_D_2_13 => open, + FF_RX_D_2_14 => open, + FF_RX_D_2_15 => open, + FF_RX_D_2_16 => open, + FF_RX_D_2_17 => open, + FF_RX_D_2_18 => open, + FF_RX_D_2_19 => open, + FF_RX_D_2_20 => open, + FF_RX_D_2_21 => open, + FF_RX_D_2_22 => open, + FF_RX_D_2_23 => open, + + FFC_RRST_2 => rx_serdes_rst_ch2_c, + FFC_SIGNAL_DETECT_2 => fpsc_vlo, + FFC_SB_PFIFO_LP_2 => sb_felb_ch2_c, + FFC_PFIFO_CLR_2 => sb_felb_rst_ch2_c, + FFC_SB_INV_RX_2 => fpsc_vlo, + FFC_PCIE_CT_2 => fpsc_vlo, + FFC_PCI_DET_EN_2 => fpsc_vlo, + FFC_FB_LOOPBACK_2 => fpsc_vlo, + FFC_ENABLE_CGALIGN_2 => fpsc_vlo, + FFC_EI_EN_2 => fpsc_vlo, + FFC_LANE_TX_RST_2 => tx_pcs_rst_ch2_c, + FFC_TXPWDNB_2 => tx_pwrup_ch2_c, + FFC_LANE_RX_RST_2 => rx_pcs_rst_ch2_c, + FFC_RXPWDNB_2 => rx_pwrup_ch2_c, + FFS_RLOS_LO_2 => rx_los_low_ch2_sig, + FFS_RLOS_HI_2 => open, + FFS_PCIE_CON_2 => open, + FFS_PCIE_DONE_2 => open, + FFS_LS_SYNC_STATUS_2 => lsm_status_ch2_s, + FFS_CC_OVERRUN_2 => open, + FFS_CC_UNDERRUN_2 => open, + FFS_SKP_ADDED_2 => open, + FFS_SKP_DELETED_2 => open, + FFS_RLOL_2 => rx_cdr_lol_ch2_sig, + FFS_RXFBFIFO_ERROR_2 => open, + FFS_TXFBFIFO_ERROR_2 => open, + LDR_CORE2TX_2 => fpsc_vlo, + FFC_LDR_CORE2TX_EN_2 => fpsc_vlo, + LDR_RX2CORE_2 => open, + FFS_CDR_TRAIN_DONE_2 => open, + FFC_DIV11_MODE_TX_2 => fpsc_vlo, + FFC_RATE_MODE_TX_2 => fpsc_vlo, + FFC_DIV11_MODE_RX_2 => fpsc_vlo, + FFC_RATE_MODE_RX_2 => fpsc_vlo, + +----- CH3 ----- + HDOUTP3 => hdoutp_ch3, + HDOUTN3 => hdoutn_ch3, + HDINP3 => hdinp_ch3, + HDINN3 => hdinn_ch3, + PCIE_TXDETRX_PR2TLB_3 => fpsc_vlo, + PCIE_TXCOMPLIANCE_3 => fpsc_vlo, + PCIE_RXPOLARITY_3 => fpsc_vlo, + PCIE_POWERDOWN_3_0 => fpsc_vlo, + PCIE_POWERDOWN_3_1 => fpsc_vlo, + PCIE_RXVALID_3 => open, + PCIE_PHYSTATUS_3 => open, + SCISELCH3 => fpsc_vlo, + SCIENCH3 => fpsc_vlo, + FF_RXI_CLK_3 => rxiclk_ch3, + FF_TXI_CLK_3 => txiclk_ch3, + FF_EBRD_CLK_3 => fpsc_vlo, + FF_RX_F_CLK_3 => rx_full_clk_ch3, + FF_RX_H_CLK_3 => rx_half_clk_ch3, + FF_TX_F_CLK_3 => tx_full_clk_ch3_sig, + FF_TX_H_CLK_3 => tx_half_clk_ch3, + FFC_CK_CORE_RX_3 => fpga_rxrefclk_ch3, + FF_TX_D_3_0 => txdata_ch3(0), + FF_TX_D_3_1 => txdata_ch3(1), + FF_TX_D_3_2 => txdata_ch3(2), + FF_TX_D_3_3 => txdata_ch3(3), + FF_TX_D_3_4 => txdata_ch3(4), + FF_TX_D_3_5 => txdata_ch3(5), + FF_TX_D_3_6 => txdata_ch3(6), + FF_TX_D_3_7 => txdata_ch3(7), + FF_TX_D_3_8 => tx_k_ch3, + FF_TX_D_3_9 => fpsc_vlo, + FF_TX_D_3_10 => xmit_ch3, + FF_TX_D_3_11 => tx_disp_correct_ch3, + FF_TX_D_3_12 => fpsc_vlo, + FF_TX_D_3_13 => fpsc_vlo, + FF_TX_D_3_14 => fpsc_vlo, + FF_TX_D_3_15 => fpsc_vlo, + FF_TX_D_3_16 => fpsc_vlo, + FF_TX_D_3_17 => fpsc_vlo, + FF_TX_D_3_18 => fpsc_vlo, + FF_TX_D_3_19 => fpsc_vlo, + FF_TX_D_3_20 => fpsc_vlo, + FF_TX_D_3_21 => fpsc_vlo, + FF_TX_D_3_22 => fpsc_vlo, + FF_TX_D_3_23 => fpsc_vlo, + FF_RX_D_3_0 => rxdata_ch3(0), + FF_RX_D_3_1 => rxdata_ch3(1), + FF_RX_D_3_2 => rxdata_ch3(2), + FF_RX_D_3_3 => rxdata_ch3(3), + FF_RX_D_3_4 => rxdata_ch3(4), + FF_RX_D_3_5 => rxdata_ch3(5), + FF_RX_D_3_6 => rxdata_ch3(6), + FF_RX_D_3_7 => rxdata_ch3(7), + FF_RX_D_3_8 => rx_k_ch3, + FF_RX_D_3_9 => rx_disp_err_ch3, + FF_RX_D_3_10 => rx_cv_err_ch3, + FF_RX_D_3_11 => open, + FF_RX_D_3_12 => open, + FF_RX_D_3_13 => open, + FF_RX_D_3_14 => open, + FF_RX_D_3_15 => open, + FF_RX_D_3_16 => open, + FF_RX_D_3_17 => open, + FF_RX_D_3_18 => open, + FF_RX_D_3_19 => open, + FF_RX_D_3_20 => open, + FF_RX_D_3_21 => open, + FF_RX_D_3_22 => open, + FF_RX_D_3_23 => open, + + FFC_RRST_3 => rx_serdes_rst_ch3_c, + FFC_SIGNAL_DETECT_3 => fpsc_vlo, + FFC_SB_PFIFO_LP_3 => sb_felb_ch3_c, + FFC_PFIFO_CLR_3 => sb_felb_rst_ch3_c, + FFC_SB_INV_RX_3 => fpsc_vlo, + FFC_PCIE_CT_3 => fpsc_vlo, + FFC_PCI_DET_EN_3 => fpsc_vlo, + FFC_FB_LOOPBACK_3 => fpsc_vlo, + FFC_ENABLE_CGALIGN_3 => fpsc_vlo, + FFC_EI_EN_3 => fpsc_vlo, + FFC_LANE_TX_RST_3 => tx_pcs_rst_ch3_c, + FFC_TXPWDNB_3 => tx_pwrup_ch3_c, + FFC_LANE_RX_RST_3 => rx_pcs_rst_ch3_c, + FFC_RXPWDNB_3 => rx_pwrup_ch3_c, + FFS_RLOS_LO_3 => rx_los_low_ch3_sig, + FFS_RLOS_HI_3 => open, + FFS_PCIE_CON_3 => open, + FFS_PCIE_DONE_3 => open, + FFS_LS_SYNC_STATUS_3 => lsm_status_ch3_s, + FFS_CC_OVERRUN_3 => open, + FFS_CC_UNDERRUN_3 => open, + FFS_SKP_ADDED_3 => open, + FFS_SKP_DELETED_3 => open, + FFS_RLOL_3 => rx_cdr_lol_ch3_sig, + FFS_RXFBFIFO_ERROR_3 => open, + FFS_TXFBFIFO_ERROR_3 => open, + LDR_CORE2TX_3 => fpsc_vlo, + FFC_LDR_CORE2TX_EN_3 => fpsc_vlo, + LDR_RX2CORE_3 => open, + FFS_CDR_TRAIN_DONE_3 => open, + FFC_DIV11_MODE_TX_3 => fpsc_vlo, + FFC_RATE_MODE_TX_3 => fpsc_vlo, + FFC_DIV11_MODE_RX_3 => fpsc_vlo, + FFC_RATE_MODE_RX_3 => fpsc_vlo, + +----- Auxilliary ---- + SCIWDATA7 => fpsc_vlo, + SCIWDATA6 => fpsc_vlo, + SCIWDATA5 => fpsc_vlo, + SCIWDATA4 => fpsc_vlo, + SCIWDATA3 => fpsc_vlo, + SCIWDATA2 => fpsc_vlo, + SCIWDATA1 => fpsc_vlo, + SCIWDATA0 => fpsc_vlo, + SCIADDR5 => fpsc_vlo, + SCIADDR4 => fpsc_vlo, + SCIADDR3 => fpsc_vlo, + SCIADDR2 => fpsc_vlo, + SCIADDR1 => fpsc_vlo, + SCIADDR0 => fpsc_vlo, + SCIRDATA7 => open, + SCIRDATA6 => open, + SCIRDATA5 => open, + SCIRDATA4 => open, + SCIRDATA3 => open, + SCIRDATA2 => open, + SCIRDATA1 => open, + SCIRDATA0 => open, + SCIENAUX => fpsc_vlo, + SCISELAUX => fpsc_vlo, + SCIRD => fpsc_vlo, + SCIWSTN => fpsc_vlo, + CYAWSTN => fpsc_vlo, + SCIINT => open, + FFC_CK_CORE_TX => fpga_txrefclk, + FFC_MACRO_RST => serdes_rst_qd_c, + FFC_QUAD_RST => rst_qd_c, + FFC_TRST => tx_serdes_rst_c, + FFS_PLOL => tx_pll_lol_qd_sig, + FFC_SYNC_TOGGLE => tx_sync_qd_c, + REFCK2CORE => refclk2fpga_sig, + CIN0 => fpsc_vlo, + CIN1 => fpsc_vlo, + CIN2 => fpsc_vlo, + CIN3 => fpsc_vlo, + CIN4 => fpsc_vlo, + CIN5 => fpsc_vlo, + CIN6 => fpsc_vlo, + CIN7 => fpsc_vlo, + CIN8 => fpsc_vlo, + CIN9 => fpsc_vlo, + CIN10 => fpsc_vlo, + CIN11 => fpsc_vlo, + COUT0 => open, + COUT1 => open, + COUT2 => open, + COUT3 => open, + COUT4 => open, + COUT5 => open, + COUT6 => open, + COUT7 => open, + COUT8 => open, + COUT9 => open, + COUT10 => open, + COUT11 => open, + COUT12 => open, + COUT13 => open, + COUT14 => open, + COUT15 => open, + COUT16 => open, + COUT17 => open, + COUT18 => open, + COUT19 => open, + REFCLK_FROM_NQ => refclk_from_nq, + REFCLK_TO_NQ => open); + + + + +--synopsys translate_off +file_read : PROCESS +VARIABLE open_status : file_open_status; +FILE config : text; +BEGIN + file_open (open_status, config, USER_CONFIG_FILE, read_mode); + IF (open_status = name_error) THEN + report "Auto configuration file for PCS module not found. PCS internal configuration registers will not be initialized correctly during simulation!" + severity ERROR; + END IF; + wait; +END PROCESS; +--synopsys translate_on +end serdes_gbe_4ch_ds_arch ; diff --git a/gbe_trb_ecp3/media/sgmii_gbe_pcs42.lpc b/gbe_trb_ecp3/media/sgmii_gbe_pcs42.lpc new file mode 100644 index 0000000..94068ad --- /dev/null +++ b/gbe_trb_ecp3/media/sgmii_gbe_pcs42.lpc @@ -0,0 +1,36 @@ +[Device] +Family=ep5c00 +PartType=LFE3-150EA +PartName=LFE3-150EA-8FN1156C +SpeedGrade=8 +Package=FPBGA1156 +OperatingCondition=COM +Status=P + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=IPCFG +CoreStatus=Demo +CoreName=SGMII/Gb Ethernet PCS +CoreRevision=4.2 +ModuleName=sgmii_gbe_pcs42 +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=07/01/2022 +Time=10:20:54 + +[Parameters] +PROTOCOL=SGMII +NUM_CHS=1 +CH_MODE=Rx and Tx +MAX_RATE=1.250 +RX_CTC=2 +RX_CTC_LOW=16 +RX_CTC_HIGH=32 +EasyConnect=1 +DCUA=DCU0 +Channel=CH0 +SBP=0 +CORE_SYNP=1 +REFCLK_RATE=125.0000 +TX_MAX_RATE=2.5 diff --git a/gbe_trb_ecp3/media/sgmii_gbe_pcs42.ngo b/gbe_trb_ecp3/media/sgmii_gbe_pcs42.ngo new file mode 100644 index 0000000000000000000000000000000000000000..6fcca19185219c68dab71e3309d1ba667b52b1af GIT binary patch literal 444341 zcma%E34B~t_0GJei%pYETMS8BnrUkhTws!JfFi?gS%+1m;7ppNC3G8-l(Hy{i2F7O z3V&1>1;rJ{1rgVAK@mj;6ja=%dy+Qov}9|Ow*T)tZ<%-ByDtL2ALM=CIp>~x@44qL zZ<&0dSZb+gURojlOfgQptAxKo-D}o&b|%)W>PV#8x|g(67;kMZ67NVYZHq>Endjw2 zE6%$7%*)@4>lR$%`n+=&zkPZ1+=|eD&(9J#`|@+oyz<<{l`Aej_lhgeyf_Zx93jp* z_v#gApIag2O$t$b)h`}jH;IN>)+EZ&>Y5)unyILm*%sPzQIQ6_Zb`Ja_OvECHze8@ zuUvjw^!gK;Ppk-S-Jb?)^ngutwVHSf@LicKwKgZ#h*mR@-%v8NxozX74LyldD?;1q zaa}Rxmi3)IE1O{t#*P+%7(^0nH?(e8(~;=7xno0x5xN7uSVZT_W{8$#rRELQhFMg5Ss)eif`6lCKx^Ll-mMj-Xwm~y09tz^`17F}*MY%MwjT7(hP_>PhSQR=^G zHze)Zc#2sdYXVL2vG-#!kuy_9*C`c7{bc%KY^D@!*G~^Smvrl^ybK9zw+1@pC@qVQ zlvF>Z;9N6p^xmBnEvpn{rrysN-3WrE_p?bif_~}!RK$&-YkEJIbt6lFJd$=J2-My$ z1AvECS!mhb@8sPGntt~J3qhLf-n5G2X4jn&mk@z_Eg4CsaB$bRvn~mEv+JjB0CBbJ z2WgiC;%=AX9jdhJi*6P8zw3`lmkPsT*C*W~aDUf_(ryuWe_G50Ebn1v_hpuh1u@8t ztRl6(dllr~qspNtcAuM9azQ!v;x7^-AiH$gjU;qf+~d` z+I_t$#aiz?C+}!d>#U-4+10To!Qw8-DiEEzGO0kQ_3mp`h!uQyQITQ=-1C6=FL#Clx8yIjKrXZ7#_>Vsw2`*12SDSl<`@4pdYfYvrUNzzuXa{Al1PhC-6``5-E0E@pLPt!Z8ZRGovoe=nx0O4ICqBB`K|doJQamL@Jan@!x1cY&zp&Nn7q09vAd zXVwNJM|7dvc8ug~4BoQzJSdB|(hU05 ztYQo5)BArBk0gU9>s3dq^?oyJNw%y?+_DjYux?X#Pltp||7Ir~#5B9+u7YA1zV^Qy zQJ^mN#oqzRn6RA!wlA$>tWy7{R6+L7OEFcBVjqtv7`yfZVFhCE+*wi}cH(VO1!7;{ z6jva++oMXMvHCw#RHf*}hLnP_OHRpKkf-^`T@=xCC~@mtmWaJaJ9Z^KvaB&GmX;{L zX#>_jt2)e#XM$|B|<@qgAH=Ir}=K84sA}(4Vo_C5_O7@LOKDX;gDYWBPTOjwa5&^r|bd zMcYkz#LD7?d!u*>P69$rucLis;LNCs|L;N}DIGDRG4{WOTbA2Rb!_(aN~89nccosR zG#aY9@V`aHXAan}mR^T2l7w_`)m72gD@~tIdY!f_LVM#m7?uR&>MRWH&lSxA7bm*f z67A6hyKnjjfpMTNPIULQ_H@`MCzqVdwbkA91eO1AZ6Qs%$V(LZDYXmoppVN7@Eu1)QoiMEX! zR(G!9{u>Ep^47Gi>m{jMdtV&1xQ;BmzO{|XkLedF13O>&4;=UhgtY!iW~n+Zt)aVb z*x1!0UT{ad@b)5P%!1guH@B|qY)`byc&J)SPFH=*tw(*j=~%W2yj7dKB-yqS=Uiem$P zkl?5~HWuJSv*ZkPP1SAbAQ2oDO#fvmh;;_==4_A%mOVRu6}Q>8Zk(=I$0|59J1=_G z)fmvDpna{#1=-P0#xx8U7*T2d-oW;e_MhGx=(#(!ZC4s!>S8m*-u_NO&OGgbbnE2ssvnSMB<(ZQmuDx@=p7M}j!h(@EC z%uq_lASW2WH35lOnEq05QosbH$)6waIXP)=x{PURF9^Gl7_ zvJ#v=TGTkOln#s+G|XZ;5CY*Hda{I`{-3OdY3AyB`hJ~KGpkxvS<-1yX;8~70~H#H z>y)HXkA`&=P8*Hz0veS01X%@hS927{vY4?rtP&Yp&A)kZuUbRnhau=#X||FRXFkm8 zmpWF;c?(%->uXV$j-!HncHt#gEyY@)^fXi;CKG-gf*AAD_vC{FJJF(>3t-I_yQ<$h^%AwecN)rij!)GobZk@1DS+BDe9}iDtu0`Zn>_<}n zTMqUrt}6U5IT$-JJ&}-AW@y_;l43la*qA_+RoopbtKl9hYHFQFRLOaRjHK38He-h7WSIKv1)nJe(URh;UIJ|<9=o~h!p*Vw&qyFIBkt$XK%U9F;$C0*&ggnB?^Sh= zsjVqL>mErvd=RURw9%KBMng#0z{C(GmFZ{Z-8qv4UHo`pxh z%=js1w9SaPNzOEOJsHn^B`<4tpTzU`3vSvPCT0}>yQrtk*!{0!x2$MJ?3lvRC#O_I z?2O4_w`qlD#J(0xGhz=!g0#(u9g79>JPeyrnGED5V*7&iC1PL9dG+n1&MiL6PArc^ z{Yqv~p_gV;W7dx4P({qIC&$FbjHwYlCE+;Z4bdPL?$p=PS!2^`oFw3plsDS4%ME{zLo3gZ2r6fUi6dBEeq8zK&n zSc2gfI&ebLjlhWm%kypo8{_R40qK2^4f78g?U;sn5F+oE;sA&>PFS zC43^|K)B#W&@cz4xn)e3kI%S-nD9dfmPOqVMoj2{d6&qXe3z`m8b5Tvyd!Kw*kFVX z9IGf|krg^Hujsag%|_^eIX&Bod}Lt9KjUR7wA%K6r_0x<$@b1<`5JAweLP&gM%{P3 z>?#=b-2Ty`!%LO6jl{|TE41wumjtV`{Tv9`eaXt~Xv~%YR%QFYV`YF9*>Ogs46qsp zu20$kZ=fWWvKRIqTU5Zd4c)k>A#K0+LwOB_lR%3foD;M8jm!AZ%;3F_0)a#;VvJF? z_oES8PzpB75JN&gUeME~7vFap&#Mf}jYXX*BfC4RFiWv03k^)p0Ca945qj-WAZEil z=B3=%p7ay+c3^r8MA-)8|F$9^iEXQ439gHHK#q%cuOz}{=i5_|EL-F#Y)`7nX5^pm zL1hSE9Z^Mj=lZ}UMGwf~)#U+K%GkLrsfwDzXKmJl$_Tp3i^?FnuIQ1Kadd9MgJSN2 zV-XL^$lQ{lY`F!{QrjNMD-c?K>l@+{w~WAVd%jPAhuA z*7btVih1x=>!s5MX9HKPtP+KRx5k0n4cSZ^+a5`~AoIz_fs?{6teH9dwL%P#+pq2o ziAxwugBvm)24z8G@KPFaYXGPGXXt|mM@$dCFXzY6(}Q=U{5U#t@MMi0gu&n$QNKKT zd2mD6hf6FEzANV^7^ij5UcVcYnfB_QQ=~zXFHM z;06AYxiAh5emvvXkk-34>L)l#2X8I;3F)D?6#RrV>uD)JA+z6;Sr5S^{Xq|CE;{at zctla%0}p#dxnw)=^`b|TNj~ER(Yyy9NO~mEcLzS6^?*#%4@Xqc%>CajdQeH;PkLo# z?eq1dM^=(|#*0ewzCY`cmE`^LhzDg84%DzW%Gm|2GB96-&?W=NXB8>5$UuEYfzTcU z$Hf!~tuZh=t3YUrfq9B~X^DXoAXWB4Vszl`D#lhASeRAh*aib{j4POAX}QJa)Jih^ zKv>mf?RONT(4E9*-|=L;`Rw~LJZL;{xm7uNdOgv66QIQfrx*b8bn8G%#Eo$T4V+z6 zAU57bSq0*v~UH@aCX*>Fqge4=|-4d-o}C*E#|AaIXA+* zbauprm}|f4unS_K?l`^RLd?)#j?h0aH|oaOty}+=cSG{#2p`AaF~e_S3Go}!KKZ4< z_dEjF@xA<}$qreK*mW}T#gO0nXxb;wH&zb3RVkOzctB=Y#{~1})-PwEUC-XP$2Q~^ zD<&m}E-boBkyQEHtPP>>rThMwbR%r`88q*dC=20ZVs1!gsQ#=C(GolPK*Wu(leZ}d zL*p++w+y@ePl^mWdFxYI$S`8dukA2(9oSD?{6dLl=l?w7g4p?6rGlz5JAdnu7$jAk zJ-St*TgBOYKU+C118I~3J1oj0>agP|D6N>vT#esy1AY0@0!z&h}=qz$r-r0-rWx*^8Y z*6n#fVRs9|`^PD#wgkt*wyKy9mss7J1nzW)1YCc_4auDU$E+KYN&GKKH)Muo#0??7 zj73Y>CZ>5DN-a7&#*7HzTUf|A4HX7{3U0^$+WA~^#xP2oIs(ekn|4oI6` zi`cqOt}qrw%f;d7Z_x#@vJ9MS`ct@k&HhEm7)|{-6-3Y)4R6n@Ac77a#2gSUVl>^I z!L+EGF;p~1@X z=nrGj$z^%Okg=$oTx5AL_-5*RViM044K9!PaXj)nxT@sGks!twQQkKs5ctR^<5H-O zWJy=B2Rt4i!Hl2Arqn6Hjq$fd14#NZEgL{0CygHqPHPqkb9^3s(UnPuYD)nmlHd5H z`2Z46Q-sFf6J)oUy6=kw>9W`}vq3C!%J{o;K`dtU@lYm+1$k~-Pp)j{v@kxq5I{5W zjLQOC(^9f%8@Eqp)dbmj^GZCW5gK2f46uyHK0@Osg4Fea%oF2{#Q>IRYwSoefR!3e zP6e<`X5%x`0W9;{_!Zdzwpr+FOF=aK8owx@A6CjUBa>dv>9jF&#)bc0=lXfR{3?5^e^luRU)<-Iyl#l5cWaUYJ&gvK>_esCc=oqB#$_j18 z_~=pPEa>=Mz)Q@=q^F}gib_+9ItIhbm~##C?@PlThlsuZxBrtG+WMc$`mc`p z^%#Mn9e+s&DRTF+{SVn7n){ROhmt|GY&>TbgJ|wnc1+0!(cH8goyO(~`8O@*W4}m| zl?`FNM7L}~WVz^RcNrr)mZyUXmVNZ|sUW(UUt-w)kzk(H-hNdu&w6ivDjH~?72kea zIFP4*+rJkHD126hZ65d5sohZ}94*hT%$Ka8psk zFauYfTmqx%+iq@sD$h>`u~;W;e;^BXI=x)CHqqRIKxq0_6cA6A+SYAs;}eVWRz~;6 zO^VFD$v~iDK5AU$S3(0_5AF-^cd|v@JwJeqvm6y`6mc{a-@{CLn%cx#n z3}U&M_*f~3#Z%};vYBEvu=@^YTI3Tv1iZ*we)scOMASpjMNWRpli?%_6I-$}_@2WpnXT`Q|{^xPGZT zITfTW%c7?OjmuN?m6=G8x-6Y49}X;C9zd^rEU@@yX__aJf%duTth~Pv$Vr%kN51M^89h()d_M22Vlaaq#y!L(C#E(*|P25|v{ziLH%0s$5g zEd&d&s$6UwJ+U;A5MP>k_k?EnX!y!zMqbsm;fYL9FoApUWMm`l-zFca7+61AbD*JdY_;b!B=EBT3JxP3i(8YCttii^jpC6PcG z^XcGsW`hNoysKit4JQ+4)hU@^3ryctOC~6yStRDbLO@McHj5W;j!huJNvdkzL=uw6 z=T9Ud6Up1s!KJXthdvmAfF?^|JPds%t>>@~A9^sQ=Wx(H^tqy*V^;b^M9(2x49PA- z;|tCghMtV-_4xMX(C^rz8bwSZp`rKZ^(0OdhRl-#|N09n-q6q=<9bEr-=UwE0!TK_ zuY)w%0#9cGG}#2-i3X7LHW#GH&Uz#jpvkH{RtO-G)P`}_9uy#`%q`xoZ9Cv6_PEYcDW9Tlulk!l?&?f^( zjHS@feHp!3p4kk2DWWHtXNNLTJ;}^B^wE@_WI7wVEvzTGD;~Ndq9>8KLqpGl)3p$n zzM-KX74@u~*bMzL8^DUgzXhqY%tdc#=-FfdD=qQUSO6<6EKf&idR3;8q3;Lj@?c@; zYmorU((B($2e8tR*?=yPqnx4tnwEQ7@r8l%i`)1-$9tkMI@L9<;l?XjZ$bDp0^|S1 zn1;aGZ|0($muS8Xcd;*}Ps+ASo^TnG#}W+pS0k_#f1xD8?oUZzC?5`+rahix&0BkR z7z$ImJJ)PzU6*L@=;>(d!7B+rW|@`UsSb>@=IF(^OS>`##4wTb&%!atck%#2Heh~1 zvFQiVG6=l{-{WaT-6B7x^d!e1A5|YaTmWV$MPqocf%Gp}ydzCJC{PlaRbX?}WxW38 zFvJ~i;cn>A#aX{?`7}jnbQu_Lu~ygYED9xGab3T$y@TJ8K$ji4K4+=0g%YVQ^vW%C zk{yiDjqYKZ}>}kM2bCGW^|A z{(npK)Mclfx;$|$v+lM?xd7Wi^zXr2ie4OUAABP2MIl@IW*F!+^Ha67VSY`P?d|z` zZD?$M0iyhPEI-j9Z(Jd}k0)qWLCudvh7Nuv55`bG>49c>i){wqePnLOS7F)FaAx3r zbjEOhs0rXu$qSZ0kJ*XG!{+6DybOub+K7*cS|2`v8>b|}(rrYZ@XPof%xI@WTfz2*MJe&}93S94E z7(;I>IyxuuOTuNHlao3IIyK+48P}&&Fd|o+dg}RSp|qOcL(%Cm>r*S{hz&nNRZo|l zf=37WftZ7!@j<>sgA_Cc zN=hlF7W{rO>Sp)>V@4YG^0jAb8SKGp|5()N;MA}7w^<#71HYPM!#aj7yN+HvEns_q zbX3z3*D)A&uoxb@-PaCSl8$c6d1bK{J=hTTqG4y_X97lTZxD%Stlb`DS89`qd3`~|A9`oRiz4kF`ahp6`gzM4EW8p!ULIRD zzpYJSuL(KeI4F<4xg$yT?_;;qhyrr~G`cP0g}LH7^iH6vG?#dXrbfIlS3-v}KA64V z@Y^t?+1{q#8#ndfwG}*3gw#4#V7bDX33~KE!3D6t=jQ+@4s#tjx;y2P^w~#q!aE#@?7MSBCL%PNQ*eNkd?S*Vh$6&;!SR)b+mwfKwcB-NucnRoEYl z%JZcZM#||}BX3ELos_XGJ2O!NE3MGzMG?0Rl(Zmh36^^pHL0Hm)YX9es5)}R8++1# zCp#{*^|ZmSXL6RTT^4dj-5mvh;uNPsd9w}yHTqW;$AGeBnStJw2FQvLM7!SQ7-WSI zv!jp1A;CVAD#HHEFXw@jD-D+yIVIvlTWETyJ)Lr^pFDQ6 z3WR3b^3#X{v0t4L#Kx4jI}iULu1Fzhh6b~4NOrFykK`1L8D-?ol!7sxjLuU0kc715 zrw}XGM_%+9Ju#|a%sGc;sqfTV`gP>)q)Sf5+L8J0VwbR6hhmmw-HX8nxA|>@4UYBu;$>VjymqitfZFJ-|RgQH( zGC!`!G1(rOmQ*lqC&!nET^Qc8g)8-LRcw#YgTG9_Bq(GQ1D(0vV255!c)wd%M zZ%#w;(XgV36j5<&R)Nq+<0rViz-RgiW!zFS4vs!tP%tjXDt;eTFfQyW<|yXmMrg&Y zX+;i|M@3bP@pkl)k|M{3J9yA-ubB;U=#K@Ln7lzczD(7WW1z6R1bKt>;AGVpBdIa2 z7(*l-YAGrZ8tLHDr~;vp4nOKZT;7?pi1{ozpU|#fP9sd+vd84igT-C_f&sdKt$Dlm)i>x9iLHUNff-xu$MvDr@wmsCAQ!w^RNKPipzAz{o z_D3M6)WzH#8x2Rb40bbhDKau);AJIFKCm;YmF6@(kcYIl1X(OM$Rz5c#IG@}7MjYa zzuHG(kj%X*<}F6PjGcPPD(>c%I@h09@)(pF z*I%0R!mMxom0>T;AgI4E?u8jS^{4x6BNG_)XZfTVEA^*lyhhlPvmYnytq?kWwuH2o zK&;PxEUeK$$Iq4}kyislXSVDByaZD5*rYs6IU~cJclqkgY$tI;5u4>9&#m;}u;Itd#2 zkt-e<&wj87-0Kc{B{aT13npx9xEcn&Ok?|N8;wsy9?nr!OY;?^*I;zdY8Ahnhmyjw0Q2C#gBckg$yU>PsI$Hmzy!$!nM^bpl67-&G~QMMQI`?-Gp&H27-2vEJ z1J3PVj(BmNml*Xovj$Fjks6yb-N#r14jXcPi6f$ro;N50aguh8)DS|Am+!}4__<#aklrjH1dp? zucZC*=!dyC04|q{u_UXFhkQ*ZKi6l}=Q3__c26_U6O8&Fmi&bD!qdK{YnGi}{bL$a z(x%_mn3A4;B5KOXBbEss8aO@ zGFla6exvUFMJ2vOXV{U{4{7}Y)$jGacqhi|?(;Q9TDpHzZl)RYj5gJ?pu&EoBZ542D_%0puPs2$xygiz3|%~{zo1~U8ay-<1L!r&_1aKfT*Gh`c<5&|jCBka{rm`;5!<_1fZK^zI=O^Pf$OJH7 zQngt+LZji=9u>yb{Civ=JZ_wmvrU+GIWshTIHrP}N*ZO?z;IO&l5BHNKXVUS zcL%G$v%R*P&6B;xr=vh*Xj|jDaeWDh%PRY8PHSETIXlenDLNpdVE$d@Yxd{-PedG^ zT{-{uGS6O|zdGyi(pT%t*X+>wiKN3L^g_drgmJB_8s0Lf9ZvbkwvGgzDw}sNtH_Cw zYm4?Y2+RNBrSSj~Pv#Dv zTnZq0yD1V2Ah8b~u8Rhc*z^v+IjbklTS{jn^=zUUTi@X;Q$Z{e<8UI7rBhSV0lL^b z55Kn%K=J|R;d4Q1+mIjC7&;IMV0p7@JkTfD{YD@O)!~+`-mclN*<=9A$3=(V9t&W3 zhClqCNC3;H<3q!f0vbd1zr(ZB0m`C#Tqc0Ua0?B;AsWD9aE68s<^xy^z0mM==^$22 zpA`GG#^B>=;3!JgJ>!HYk}G_>d(({oDE=Q2(2pyurfqm2x85o@$E=}vJ9ZTfyz>{ESvq46wVN& z=!bF|+X=>bF0Wzm5b{cS0)6;Lksw;US`|dgs9sdkDD&p`@MpFDa9Vs2D!y@tDLyp( z;jE6~D4$c*F-#6K3p$3QX(a7u%;$%uYZ+J@>d*Ui+PSt44IPc>D2D2gY(u?WgOy`w zI3Ct0;iZ32e~)P?)@dNBrP!>`YO5=G=N(Y;w`()q_(fVvVRR3T>OF+gU0IEixdOQ# zjIStj&i!IeM@f?Zl$MeKTVK>^$#`5)(or%bYBD-XhQq~S9mP*Lg@#{_X*rfQG?>CTEh+<6R%u767}Q$@driIJP~LzaH`9 zSU68!753v;YEOQs=*O{Nnk?two^la-Bge%c?}_qeF3l%@EbF&~ZQA5dCH*)?=E#C5 zaGxdQs*%}69fJ%oQm<)YnW{%>wbroJn_MI#TL$xoMDffUe(;%3NEuVAKM-S9B|V3=zA@#hNC3$=84b`x&rF$= z(ko(jIpw+lj*r$(xj3U&*C$0Eyn1ygwU2g8Oe;%gKqy2*DJYtTZIDnK8vE>CGaa zq20rJk}1ZRvNAwbJ~c79R1DCi>Xq3566p}p7Y$&Up{AUh4`7jIjVa5D0W7D}kyFX~ zasU^)BNbW-bLPn8j82IuC1CVwsED?(D~HQHQeYyL&s^z+#TTTO@qDw6`~J zUT)k3U^9>{SI2?WaE)et%PTbSS`m=eByYm(t77G}G|%_<{yiH+^EN~2lzb4)dkuTX z1C8^B!`=+N)t1dO=Y3C=g6L-97lPKSH`BR&*JJ~E7GL^tus)k++gp=?`qDO+WCMAg zZcqMQ47@t@%mlk{b{I@VSxTGT46iz)K~}}+^J$GyNjERXG>k;{-AO-#q=KQYQ;`sr zjeys8CZa>fWME7V`!}vnb!_Nv?djaOL5}@HBWD!AxjJ-xYfsw^n0i+wfxFfjg5%Cf zXyojos)3*Bjp9{YQLDR$=A7VAX1^eUnBvV#bXE6_atS^OKh9^ew=kKarV;p{qiNIp2it@VXaP+AJ{-w z^*t65m_3Jj_k9ajmPzzb-*<}6B~oBtRl&Jz#+=f4LR79DoA?cAtit*p1!@_g)SFB# zmy|s6()fRQw|47Ne&*C@{BlG^c^9nl4GJi4cXISKKAQ3fv+2$YdqDI|V^(njg>fj( zIm@FjGvaZLN@>tOZXPV6MphNz(Q&h)ZUn{FKT9n`WKiq6y0jx8Pw>kcZ`btzEujtO z=g*F7$U1_6xST%jxVRf)vm7_m?Ws85AWI$7DeJxFhW?17NC^F2SC?0yD8c%F6akvP zV*A+eT){0P`y;frHrj3!BGE7$+>2K*Z;H3i$ii(Ssaoksh1`(ui23G&!ilE zCgs_^NnD5U5g4;njN$Mwi*P~m$qDPb6BSKakcK0Vj|;pO1~R#;?PmNy4iX8b!a}T! zm{|;WAlK&rVl_cA6Fdbrkt)YtV|j*~;oGCe?EP_{2Be>LNi%QpMbqAYModw2ZGzL( zz5h)DF}*VL7*u~(0A?0sdba{7BN)KF|H-=%BF}r%*5=d>k{vLBl(6va?4RDg17d8R`FfL z-Jb)()`S!x)VpsQJFyI{?_LGD`|}D&Yo7w*l0!{)Z&0P+`tIA(iWFLUcRHp(sPXQe zyaJ($yW4=c%%e)XJ5>nv-u+-!kwTsi_07*YnADsx4bcxI6_}oUJfc8skk1qqh_$*; zh0sR3Ka^CYScSE!6rH;%t4OgqHmeY)sW)X5DOO?UdO%j?X?u0VxnzwfaYI=GHQ6E? zzXcn+@QIdA{tD{G)rgXzq^R-BEO@jJS+2og(->W?Jy_M?6_hqPs%l)w9 z?uy%2n3#@xXUVjMV!23Z%tUbQa*ofSGCR(>IPD|QGRB;fihdl18}9SVOB!yRPjB2M zz)HiaoCl)4$4pHSglu7KrC1AGcieEX6s8k;}t4;-1I;Oc&Oa|f@6+Z zaoIT+UWIkqXR=;BSX=mcfQmIZm?>9So<@Odk5E@z{KrPY5 zW^DYhT;+B-pRPX=cL}jxjho$wIkgSPAY=s^?bDO`v8)G#a{XvY1x@{ZQJ_=IKsCRO zQNO?Ff@rTH1i%rZC-q0%h}k=nv%p*-FPgPU4+!Ok895a+^{W6@Otps$8}x2^Y<3q0 z5tsYc=Q|p^6$|p2n8uIA{nFyYF?kSH6`Q3UQxtVvT`c7v*O~((VsS%vWYI$5){ zwi{YEtm(i9irD$Z3J~ zoy$y50h*iCoeT1oaRP0f_$j|#JF*re=^cr8*Ff5hF!#O`vk*%yaRuf6t;ivR?=D2W zazu9&UFg!pC1-P1`;!}N!-je1JK}CJh#ZS+bcjnC|diY+vrwxE_(iCZ>e)U4ao-GiUKqyF>7tIr@1dfI}o~eSgHK2aT-( z8lVmaP>i@@&Sxxvh~w1V7zSnV+p??IZQLwpwnpO}QOdhP^PHpM_<~5U#?l*$k6Y8Y zn!GX9fe91`zW9+pr9*#?Pt_2#7E9&VMla2(@Tpcwmis_ZPb_yN*VI1rf zuUA~BM0RCCRr)B{Y|NBEP4iMKoAELX*elaIMPd2wr_s2Rh59U;0(^pfPFoHFp^a=g zbD@a+PDJ{}uf-ydyS?C2K&9W3!L@dPhuVs-YK`2G18OL!b(B4_E~TZ|{w-ic%T>%q><3ZK z_H+c?4Aq71o~{m_-iJm~wil^ZEFQaV!4c3~Y-1KAr%H=@GyWnhGu#U{oD}!-bOBuG zCRz-sE}#{#`GDfGuwN0I5-K*FTF`T-SE-!^>Wm`yF1hI9v(fsiA`tPnK1TD=P#Tnh zPov`g4sJbQvnOAHWa7qe4O}Xp@!#^E7=$LR?p(bwu^GSIjP_v%qKVe0K!nPl-sNC4 ze^qgGAt`oXD?S$1x~g*>fALv1d~kZ(x1zKNc3sLXVBJ1!<{hn(yNZyh^vQ5;9A8V0 z)>VB3FxZj%l6p-Xu`YUs95r1bg0ET|i)6y~64ZFQsL{aFOpDB03?5TB{XKq3Orv4C z_E-dzrc&7AERt2L*8_z0qCZf{OCT=lb8KWvY1iF zyQ4wnB6W@5TnJ!!k{=p>G#5b2OC;mp52k7S_oYB>dX^8S+0;)58)vP*9ZYlF+#3!k zTONHGe>u2pDE~Q_#aurAV4!V2z&ifnK$_3bjDISS<}9@h^Qn@FB^`-bf2J7?GW&A6_ z`t)z)qtw^rBn0cookcCjyK12kS&eBFk>N*v645HkqeCOV$p?@a03*+)KypI!q#CYv zM}AQV&_wW$kp<44;{6WgIXBmc^w zEY7x`C7XO#ao^n_Wf9qm2WaFs7NPP~1fZ_B8Bu%JhQS7g3$`brfy*ZLi!%F;Nl#>k zYsI~v%|ayV%Nh1_N+UmIF*-kG%sdCuthik@d#~}`e1M(=?DtavtYpV;1eM8M)RZT~ z(ABgJGxo^yELM8L?GUoq;jc%uj4XR!jQTh}V>7aaMQQt$sc*#5QWxqi`1D3- zxjRFz<6h^%a6xCjJ9~ zEa!~9sUTfWH#-7Z%zvZR@gQBkhcsFj4y57NXk#vrM$w~9!P@Y9bY`$NU7J=4G>%9a zt;__{m|;ezX9H;KvFcYrYdeeQAfb`n=^$Drn!!MttGSWUVvsi9F&sG@3!=G_94SSD zXs+c(dUSR&h?d8%M~}@1@@$yVxxxB$ezX?q0nN^o zI{M~`<6{9YIj zq%nR6?gcCJhikHSE!9RZO9rT$<9jrc59Ha`E$JXy!uYgO5Y6#DdPX*g=J*~x2dpm0 z&G*?ymt}%z86qd9gJ>BcZ!ZSX9N(jhB0;o_?`s2Tb9}!qAEYh|+|jmReR2M(V10OR zOwDFtf3jx{h7;ncPbEQcz2NF^p1q8|n;M#QXT734dNJq#9;4cr`imlXuVFmLH}%(9 z4S{p2sdCEe)xfKGQ(x9;;H@NM>bHv;TR3%_`U9N`PTi(Hp(AMO8xf5u9EMH(hfag< z-A(b4N<<@TN)4`^>uiLvdt8vQI=Qe7~ccX#4 z97ykdPbpYHw#VO31`2S4HSmdSAkWR-j$;aeyzKP${VN?TKnnvyxnKct;ekk?0QXv@ z&j<6(vSIto1SPNopHHCx?zCKaN~RoR>R(H`vKeXv**GZf-xMu|8cfQ*#@F$ZE}zkQ zBU*pZQWBmI>nJKs|0vv0ZfLY`+|Y4~99oU8jes*;H#@p-*oYq#u1N;5SeT9P z4uf^yC~9iA41OyS%%5{Q27c|hFy?3E+6a>asJ%=w4SsdXH`1@drHNx zjvLdKX>gW%RoXAlmb|#=#}O;jPKx+(RLhv8k>@gFN8BgRb;&@~2SYmaTFMK{tEJQC zC4IQ8AqIWcy5FJ4`(W$b`@Z?m9d>6(W4>6 z(>*!A1{H44`f&cXt}(4W?IZXb=ZJ`ipWsq!+I2-g!CDxTqJDyN%e192KOyzIIO!*( z0pFhW6Id%@bZE+uscn0~rvW@Px`_?qP8dAs$y3-3B6dTgcceThj}PwhigKI!=creb zR-f^r{H^WLKX?uBx3Winkn>pJhYCi2mhz&^c|Q(&QEs%q9`~Z$AwS`hrN7_Gd1YC( zuavwfYjK;;0>EEHRMC};kO?D(|Umm;nsg0gC*3t*?s`psE);f7;9Tj!7nr7SMIQ4?aT_2z-vie>&(|^_pZnT z3#~0<@^D({wdbj=;QT>NTY=JahlM6GYe+%k0f5e0$M<1+lf^kBTHI$s;Agtk+({35o@cz6TVGW-E;Iac> zcTFt{wBcs{Kol+ClNZ3MGDQ}+MF0%dXGMgsNji?oBVHV3Lvzdy^05e!(4jp6sx10Y zUo60`q>-1{J)Y*F&Cyp%8Upr4|Dqw}an102XjFup*5|f^E5J{(h!A>!KNil3>+ez3 zG~V|7Y0;9gD-UJdfSV4qtjgiaN#|cNp!Asr@g(!9IbJ*E%Fk>Xb*INwl+TRTez62l zaZ%n2soMtFh0Cuu4t+5OuxuClbz8%#oJ3OJoG8)NoA826+xirqEAK+P4`u*Pv46xG z&ySs+2T)0%3qLzv*Pg_sKtSRJSr=vzGiRX5&DMHGvI9>@sxCZ+>vKz z%Cna{UsORPf}OXd6}dLN)?X3YvoPgD`HEv`&mzCLynD0rPb|M2JlMO`4CMe-uHM*% z4@z4<0w!-+no;V+8O-kdM^Vv6_wIZ-uR!Rso&Qm#FpLK_MIdFEWo+8e+1`oIKoGwv z>BnJp`#aeJ)707Eic5b0RRL~OyfqFF=r`FR`LgN<;PBN@OcLXq>$!l%aU7~Pf2vNr52yGE``wA za!nMZ`Rs10wX3HS&%(2};M)puKz!@!XvJ?D$y3?r!7cB}un3pe*7F`lu|^}S5@wszFwOHVb)LTg&LcX6O)qm%|J z^O%DI_)C_`3=T~5&HQ;$qp6rwF&uR?eOL;yhD_@c zdVI>iuQm)UB&{hkjU=Z%^^~+wrZ}VF#GL4EFn{cN%gQ(|C$Tu3p&60cnKH|uZ~R;m zKqWtI!C&-2*~_w)gk7}J5RVDOz7?4{)66m~fbQ)!S4*aQ?MOR&qR?@<%e1+npkh|u zd}G=VOMdK|S@JNPUH8mRc~FFqB-)+3@+yNp#?G;biZOEz#Z`={vy@da=FWqjlF&ZY z7iJ)5v~R)_I=9F#a7*T^z7(hjW?o991x8Le>ug+JV@h>xOfiFs^>$pC1GnKyhm~e- z497&70@mx{4J-2k!JhTC47_n21r%c1vObn!rpbTCY{|3EK82CjxFzdGmdxzqyJ1@U zyHhf>F;P4gmO}aI0_#U|n=Yr4nZF4w;SU%!tOaH|w}ig7P)*8Pf{mM1fnTM)Adg7r zr4(6Kzq3#_W@B2>2n?^(S6hUO}DfnV_ueb^sSs}IxvG8 zmCi47n!f_~!>}WauoeI3?aC+^4`b);Q88(}{V_$3C#nsDNd@BxXycy&l`CX^6zMs& zT%LP2y^&?O9YloGf4!tA^FXJ`oS0oTLC7|RiymzR-NN%Dj<(enoIe+~C4DgBcHvEa z7%nWl#Sf#vg*Wb$%EF?PJb{ln^dCcEX9IziYQHST90ZGserpD2IG_Spdi0-|a%ss8)h+MK z_zK`N23wLjA1=>N$n2sz#OE@$B(gqvJ}t3DMuIBOXSugrpZ3Y~(Sx3~H!qt`;D*(!e!bbHK&?%RI)76D}04`UcZk{r=+wo(o z!*cEHuqmxthM5yf9s;KsZx++`1{E)dw#rT0@a7Cc z$d6BP*|>ULE53EoDC=EALAjKf^U1i_!B17mUh*nt-i)O7cwwD4MGf zUmKbyfA5V$mG9)r$$-3-%Le9*RsEg3&ur@wQ|-p0k6Pa%DX;pBf{)s0ezK_U!xY+x`fV;kM z{@4zsX%Kbr{Mc*59;29;k4RO zWjG!M;;Q+IbE3;z%&%S4zT)A`M~P6=q^bj4X1;vdi%X`sFXDx{8m*gF@WRcUp2z=@ z_u?`m?ue8RW!xt$tLaG|woQgJteo}c;Vv>U-4yPMHF6p*|&aGXYb0dhE(D2-%0%75G_+Vav&>7W_rW6Qn&kDN`N!K-H03YKiy?n*wgZ`8b2@-U_n2lSqdpW;l*r^ahac|VB{-mzvg8`2sM>jgfDXUud$ z!S+Q;gjs}6_!+OGB+cO!{z=hqwuQ+d`~)lE?MAb>+Rd5@V)jI<)bvGl2H_`Tqjq0P z%knD*M(yr`mNXk6{8UWCn%UY&gHl~><;O3KS~)!NG5myt5kA#l9JH{gAX|GUi}PtR zB$oN>VCso8;U+E3mAh0jkXfYGv5@^lXT}JMR0yk z89lGE?R>?_=Td85DQG!qo0*Zco|LA!CI-@5pxJCSM-l6fQifL4q7>oYN(JxtS*Z)!B*1XuV(^r4caC>sSV zn{lde_-pC1HLjP?nYeK{=Ax%aLUkyl#mq6h8!H<-u!nKE_Ie;m^lFd3w3U z?8iw(pB8*GGf(K~bzvW`3F}XGLXqosSbSJ%_)Ph6!KU43nDo#eLQ>OE4_o zQq(XE^D2M!@x@e$gIZ@{QBLP(hNyW6)?DpL2N51zct7NK!8Z4moKH!*(g<(&J0Z95 zY97q`R1g_5zZVM{imfp730hMd2+lDN%VeUqtEAbPkEi`A=G0V^i7OPJd*R-xc3Bd* z$Cj0kPV&2E&pfAQ{94jOGgs%qs9sC(8nxfi=t!cTxjO9Ekze*S!oQA!D!Yfd7Hy5j zc`T)ikt#rNqNi_aRowsXFifqAoTSyr-c;=E59RaBMKy~#gQhv%>p>pC2s9P zN4`+hD#=c|V0Fkj-ScKB6V1g=VF}l{-SJfNidv zZp?gRQA_fyr}l6PoYxtetQkxDwNM9c^J~9R@@tW!#jnM+oVZf^?}FE;>0`~OXi=?z zxue<=^%7D{%~@Fw&fYpu^nl!Id_C_5%}wr3OE@QNZNu_#AOvPkdu{f`S zI6)ZSkyA0Gqnf`LR16!@nn|8QxI(MB-3!9)>OoH_vKv^GQcdzj*P6F^CAk@UHS3XN z&vtty5#iO>Q__lXUjL2P<#v^+AT+c$qoVABvG1l8kc$KCE<;1^r4^eJ`Ja24IWa|C zZc-fSiz^^)aGO~@np1F@C#wJBu^=;OO*E;BayvV|E3JaElYH4DDSP3go|0q{U$fbZ zaGJQ%A$JSltRp-B1KDo}2qRo|T|6G)uZx3~m3 z7~Y>R^Ndi5Cd)7eU-g4@%(|8Xfz{bEfc~#GAEIcJlMJ59JQ6SS90=8qm0SRec*qT~ zj88{h5(w$)FSr33y{F)kV5uK-0}Sw05ODm&N2jij;FvCm)VO6~;RR*|ntG>epFi%#0FkhBnMytNHPzD%T)i;I90M~`pZOJkqk#j@5 z3`pR*Hk0Opt@=x`vWSe0>TK49h;Ls5U{&8-9#`L+b|GT<{-O(!Dt^X|h&$Jo$}&7O zss2c$49NS8r9TpJw=8xxJDYPJ&}nWgBSQOLPN}r{@=obPF%?EmLEbIpJ<{g=h*0S_ z1&_G=^!Yv~B|BY>4Or>UqDMH&9Z;zB`@D)X2Zi<>RHU&bI+bA(+IKkSmL|^nYb1P}M{$J!K^WL*qHhqgw% z@}@@ziYkt!ITCm2xJ()5PLshg_CN$6`&N1g4JREp_QkXZ<{p1+A5o_O0MOPC6$MZ7q+uR@J23HvCz^`@c^KEBS|^|Lze-e9B$f(Ae&xsz63mE{=h4+EK?XQlxWH`r6`&_b&uub;fWQ&+uX!FtoS;(+*CWQPna2Jq@NiRp7zpx9N};hoJPchpR0)0Ey7A{lb!`^38E+Mq< z-aokwnd^(aFHp$#L6!;IAB?#mNh^D+fjClpM||(9q#HrY?Aw)dBWT^d>4+O)k1Q{` z5&HD5k{dy*?41&EBMgL(B!M7IBr5k#a`EOKcFRB!0#Nm#iD(_}=krLUzld@S$T;iVQp~J?!>b7DI#2#a(JhyHK17#8n{Y+|rja3dWe* z{+5DU)yy7`(VXKf8s)5FSx6Qy17k$&8uI<5t>+W5TY*V^Z@A<_xQy9(R>Xx!@_Iua zh;2u{q_#H!qzqvb6WTdI$hOVou=km;3*q?Nx83FRN_k6tuk0)xJUCFhv8UhwUjvfhe|m%aOP;B>b1XvC41onC0i4@)k@ z+`=@JAyZlI+3dXDWmC%H*>`B*$v6zK{)p?b%c7386-&=qCJ!Hl#`osR=<-YAa{tU3lBx3Ac51K-brLksW(F*NWLF64^Cci@|GT)~Jey9e$~ zTUQb+U$Un~4-ZILQi3Y3u5S_1`9iMlPcHftQQ+C5P9*t7-dED)b+Rl^XrYED^fm=!=dp z2gbm&d0SU1^?@WVSz#uLfuHh88?EXo%!2KZUpBt^9Les1f|da zH-NGoobJpYblZ_r`uXE&mykTTwqUZ`BlbLsOSz@c2gdw8NjHSXGv@y*?S|M!k?Vli zK1g@7T^1z^K=DgBP7vU=FzNIK@w}fg*Tu$ytD>qc)Ox{tUB1#j+Hb*Sbk<{CcF)G5 z*0hd-&UG;_aW;PL1tlX$z?SqZ56Ks;jc7?wk#!#LAPLGtY(P~X6=gZgVJueb9y9>c&UzI=fTy zkrSiomnD-xFgIiO0Ap{8mvx!S7Q|AH2(rq~BCZghWlLDKznV8ePsZfLwfkB60tGZq z-LVn;4LA5}aQr|Crh$Vq(-xLW@ICI(;YpSP-i-$!@5G_u<`@p#v7uXj2+aHyv1!FD z44?o@9>rM46izXi+xAo!OsS=k+s?L(tuwP<{VTji8{?7IKtHis(h9*NsmZ0DjQi4uwn^W;`svf|3C&%7wnau(KO9}5%0>y@E{ zX87B`};>RNmEvlAexGtZgI8+5KzF z2i9Yn6wt;)Aza;L`6It6wC6oVQ)e+3BzsI(D9KuVA!l=})nL@VVy*rYwy#*)FH`0f zm_3s%Ic!vM)l;w-u738sFJoV^v`>`mE0*?u4#(2=$8Cmr@d%%EaB4y`-&xeDP>==guS?s){;2*d)U5W36Y3g@sl2%TSyh8v*XCDR7fCobzTqEY{LMNIqJEv=d%Ex;iRy2rRjdo&YnoaD7P7}d58iag zYw+QZ<~@@3>p2)rM?dLP!4U$Uqum}>O|9k80`6;%WxP0wsNS7)qg_~^nO~K{{l^(B z!129I@kxU4O;Nob4*k%v;ZQ6<7vwR&CFxYwZJ50io|+BNC3!fa>Y|~re8F)N3|D^- zoZ>MG`&dC$Kn2m>l{poq8CrObb#}RCn};E}E2UC6;rSutQRHg;dUIlxxZj=<#7b#v z@t%?8A@4f=IPE687!Nbwob_O~0_t0PSw4Vd_Ixo0(&Z99CMJymZ||X=N0W842IWqFlv0B<{b~+!qNT zqw-jf9KGpuF?rWsm<-Uxsv!JoIDo_nHOjp*8bBi+YNljBx+-aV472YGGAj$&nyop# zCOQa#5PlD7U3H>dricHM674mKRruD5jNfCv81)%MkM0QjP)1>OJ_g)vA5XE&rUj!- zjA9ux9v9XBXYD)Sqo~%ma~4EIgcWH@aS%li#ZBn^5hpasrHyn^%p#ytT@dR%LWmJxUsl*nkoe9U6> zMF)bY{5sX#XZ@CC% z4tJHg0%i3Zh{fdwi+X44>Y$~E7ZNX)O%=0+j9(t|9eFv}+|3RvEnkm zRkE)OaVd~kp_yNsLIqmyk+_BROG4I%sC-9W2?;kd&(!o|q`-8w)`*SJH9Z<=8;)?w z9v6nhjfsCKrAE>qXbcM`V?rJ#w4!`Sb_uW?*?ynyVxj%FKPkCb=<3^5a2z18P^sy! zfaSv_f#dUa6yr4`_#(b$MTGJb(%cqHl|`#BTznqzO^|JGuy~$4*)@cdif3Yi1@*yU zP8c4kO?dq))(bX1JySUhD)6z0*2-{sjbU$_KxJU4ksHGMlCY#z5vsqZm7(XV7{(+` z6GvVjhPIF1Zl#ZBZU4;alAOJ#IAT!Z{u^;Hkhbj~I!)lCysuwqEL%nC@f~@S3=Rds zW!7~;E=53kTZ69JdbU(87CPWRQBEyby5fRMmMvO{-`6$1CWzoQ$A%%JCUVR0h21=1 zF(@b_w}e!Ivj{NDQNc6)zF(K-Zj1FdFnB8Vrjx&NqM9 zmR}H|C)MNzoowr?iAET|1R)bc)Djx^&1(7@rcUH!#%fN2RRak8!vB!2Is~5nXu>m| z3v^`yo4z%}D0{xfAYgJxSCV--G~yYH?8c_XZNi#Ces9m{bv1)EPZ{}THJ5~)LY_-( z6W5FYw*gXMJes03z=%n!&E0BaK0d5TRsOdQkyT~xpV%w3Mr>Rs)m$hwV&j6TW=XIS zo6bbn>5bU5mtS)i*bQRRj(N?z#(WwF^Mj4lX$HGbZN$byq^#yP4?gLeqH@$4k4 z35Of|p=O!m;Ro#PnxT%bD`HMzH4iBUZTyP!f{U<~gY8?AToZ6gD7D7jvYNA_jRdsg zToVd4;?pj64Zj?BwGrQ-ueq#o0NSdrIj^xF+TgBP=5OSmHqC1mH0IO(c+DM3BYnPc zUNbY@SU?7I8yoO@0Cz_l>vKdmdpP2$xFOQmg1h3&7%LYd-PAYp{f$ic6mf-zD=v87 zWguM9==ubn><%g}6yQiVdDJ-WlHX6!D?) zu2;Q`(eZ(n(-;Q76eM?8b^$^CJ! z{PKtRYKnrM9y!JzG*21N)fP{X{9aitlMOqXx-epD+o?89oqHX~#%bYWa4BCv!J8SKs4h=qs*E)RgMz4?9CVbZ36SEoA32Tq>+YF=Ym4zG} z>fMzN8=6jQ`#3cyPHhh-hq_elk$#64ZZ!c613rJHvL@-!pzc!ZSA+s`!a1iqOsFr+ z3CSD+F=2qk;fmDsV#`w4Bj`U zSh?dbYq8pC4h`xXwQ|}v;cYg~VM2YQc4WlX;C?Urm1h-08(J0zHxsW#2~hOuc)73HsmvfAf$hX#dE z!wv@ZjsHjv2K9|gbq53V<2G&fg)7sRhS3-JEebvv-Cw>nICU$|DWHF#fmF=8`}zHm|6!J)ozxkH7w>dQ_I9_QJB!-n^T4KW9YtSTp~ z;IR1v`4xZdBThMQ_?5D4L5%Hp`3(cuPK=ngboU+f{~2^%p-G>ja(N#2UGzDK95!wG z4BVUBu~R2v`i%S;;|eE_DTKW;bH?<-)t4@$`?PuZb{rZkz8FfaZl7_6G7iMGQ8Jk` zby{BGYWiW8_$xe|!9W+UlCO!`bRPO`{IZ~ffzc5U%MJz-keKUtFraWL$ehkp6A*Vs zZ6S@N_|1+0^j3cS1}B5+aJ%dZ0`u zY0Msn9-^;Td3jpFjB%5vjvZGBUezo=@#ah}m{B-pLjIJ~#uZK*ciMnUmoLWCN$1aB zfvuTE7vrPL@LhGLmujJxs{S9%9Vs7usXhi?n^|MVP(R$hk2KoMnuKlRqV*&JMrf7h z=$t>H0Ofg6Y*pVsbNaYxh53^T^3gHM=Z7FZ2u|?epRvW}+2Jq1&J$Xp`kx@w%`rjs z!qZ?2vAN=S!Z~g1Oc`FFQs`14sEKfdJ2_(tf~LZm<%qyVDUn1$z8M}cc?uv48rbxfr2a} z^!Qi?#{{2mKBe>A_+2?QqNk(!{=5*tbik3P0|BI#Jk1f+p-;0LH8w_Ay02f`18s$fE>K3v(a3VK)d zkAzwuQoxE68@^c35rzfwb08j6w&ReM<6uKZJ#y8Bc*3`vC{lW!P9I1SxvAP0^wJt&%&Y@4o=vLx)u;D7VrGC6`l0OQ-8ph7oA(|NDW#I{ z`0Y0$9NuMYULU02)H{dvR_4G<(&@hUuz(}$w+5eMDFkRa_F6J)H?lw z=A~cg{M|VMOAYQlWAoVz=PJG%SbZwIHSV~U4qdOOdj~yryt@SA4plw%&66$PYOFp& z+pi8^*c>D8SBEais`~}^t3#KA)!n51>d=K;`uhF&ah?XN4^8ja8C~R6r`60l{H$BG zMbD^V%@@Xd2-Q%`&_Kosm9NyQZu0DL&NCOKqH3LVAa8UWB3vXws;uem&3x zP2PvUXo@E9$rs0(;FEG*t%RDO$vf+P2h^Ou+*tPL+5vnTLwlb80BSfF8VwX}iY8By zv3t?*`cHIkM|~>UR%RS-z83y)A8<8+liHR`EqF1~ZEb8!01$bJ@Y>nE#ebFhsyWqiSDwD(asCSRE`bK1CE`aZ7tLq)6b^ctSvruDY| zmJX8%(^0Hzi4vyaF?`G9q7?%R>Df6vzGLj0Ou-IY-4X*9S8+JB9(SobBxw7+U%;^67e^*1sqCts_o3oa@44?7pq2F9gqijLw-|sgz|*EHx3P}DI4XbelYjY z-wp!Agy~qO)!&9v79A5isrAOhkO3ps=!L5;TDVyBX#QLutDhFm zDh#$Q>&Iq?3>TF3BekreU`1PhemE-=x}{%#gp!pFne@=IGQo97{q@KnZ0OC^`b+iw*ktI^ z_sE%T95b+((y2N(s+mnZszZ06>PKZ~L+ix)ae7v9XvJ7RRLaVR&eruyf?3({dz$sF zLfIKnpu^Hx+0eqIzEdD88(QhruT-Nfk&Ii8)<=I!q+iOX5ya{M{%x-KR~RoI7MrE^g%%eNKVt?j(rB zm6D-9ecTMPfQM%dvFQOisYxp7@Q64art)=*1k}{HphaSSOz|fp3}wvZsZq8RGhu3| zO=6NujR=^w#{2WBe4D0bPff6C8mXy?X-l6*VanJF0y6%MWtKW6YRRdCrt)lk%6V!? z$dXeJ(SO$P4%w>HDJd#RrjFq^AZTLcU;}?cWo!{2YL+X`H<# zoFe4T^Hh@xAjBVk`vt|fx_0SdLF2=qtseuyEwKd(N5(x8pbKE4*?CFO5Duh{=9?@i zY8JM0N!Sz)#-QJMxfx~P5IoOtIsSvQUjnAaQ1ts<9nyj#^WBFEgJCe=RUw(eApq6k zubF!U}_lAJ_(v6>5e8Duet$sur6rB`-31kv;b&$_jNqg zj@27`Mvxx=}u)<5ZnG|%{^LWk`!L!Ot* zfgE#+CTJe{5Fs9j*`mYGiV*Vop+iwx(7Y86?jz&@PIq|MlJi=3*c=l2e2Qze(W0pl ze23p5=m5>5KR}shfpn*yVxwlUD3-19`w8N`WLz+tiy5r-jpfEkRe#X?y9nNug zTCE8whGZuSN^u~C?!$gT8FhGGGHIhNj;K(DoMZ0f6TXKbZm0QSTN|j_8Y=+!re-bG zP2mu%iaH%80u4cByL_w&831>BTox3yusLPk`Jh@fb^`?@@ANe7XqF57e=M@8F?PMZ{nE@a6wn8(Lf zm%DV69!Sy$f`USRyL=E56sp$c6^laE+K#g*h+eb^WUI>!!U^rofxbyL-Q@9p+v5?T zoyVKF%T>CNQ)gkj9!i@u{Sr-=D?soulFLU$_rnw+CFebs1#GgAqF*cv2CraSSrs&d z7X}5vp>&CB4w_Qe<{c3{K>1LFJir$LZAbY{eMCCuYzj@I7aLxWs3e$FFlA!ljDpE{ zT#24Fa{Qk5pfmGmS(q>;4_brqUtftAbBCO!htLuuW84qPX+P)(a@%$aUmkuep*)V> zveln8B2PsSQc?^hvcH1LZ1T9tg_D#qh2sk*VVRf+_=(AbU|C=CmJHYvK^BgmIc3a@ zf~ixWW2{%X4i+Zyw?dXl9)KhYVit|lTVjkY#u+-H1S~1ev5B*65@*%8aTQ?H5ytTk z(w24}tm8lWZJPAIiP|(RReuZFG|rasy%CG%&(&3jZ5s89mrE9%hck2H99vH2e+DdZ zE~=V1#Nm$W*1{pD%C%41`hZin9%RF&cK&oargnF|d1EpcLZ&6J``nKW%bB0YsBDhv>rdmM>mhxCp~D zvoy)RCM~`9Z9cF!^wxdf$p;KdE%h<9_TQ=L#i)Jn=m%0mXWjRNdLS+8LMgei7ClMg z%v^(BccVwIbN2z-_u028?BUtjq4*uieRoI)Qll&Tea5NR-Ma3ew3}1s*Bf{nJ9P?w zl-60Fh4>5t`c>V34j7uhxwJ3r(VN||`A5R{{Sa&rywT~0e-?A!flpJkS+Vao?SSt1 zV7p8@pdM|W?ECbEGruI@IefnLTo;j=>h>rL0kNZt2_ z-oSmMtkXHa?w)W1wf&%=N$315^l2tAPZjnoyC45Fh%W&gSN8U!PjkckVAJ(6u=SVI z(9bKF@~?4YX7JsIeP?Cz;A}KLHuy(R>x_#i$B+{UJ@he~*WDp!KXD+7lfOxj|Q(hw8{Ed?kwR`NWqj@bj5kxA=EakKp8hBe>7hk zuJ&^V#F)9`D=i8Q8DAzt)(WO*R3D1=r{Hjj$%=NEo{g`=4aB}>(fL7_)_53xqJU-f z1_UXp3cHlBLM_@WyI43|7VS2nnBMWGLeWk)3lHNL)dXFJwCVhh+ZWnfKLSb)@YG2U z3%ght7kX>Ad$f$+Qgdmcujy^vhB(LSe%YmkCenMlSb4~e-qmH8N4e>wxeSpUy_;JL z$U-IbxHqer_$amEOnALM!Me$}U5~m8-c}l+07zENYk$vP+Ag4h_3ll=4%+ap0nq zk9KQOGUq5xEqcF6pXN$VF1-{p*_|9}s|m8pkXm7C%*8@0=ri5EsM-tMEK1=#w;`qQ zOux$)B`4@Mq~x5dxU{I+m$`fe27!zm!RtJle`@a@N~eR z>5R{b!N$i^HfgFOW%2$p1YJ)XyJyyU*C_P5%MqrhWHs0 z1V%&KsIlR4weTSoF#W#lqg$`XgBLd1mZF%F5#>M9bAu%dyN%%>3 zuHQpIsd+&55KwB)@d|>Kv9H@y8?zOJr{+u@z8d1fg^RBnAM_1y8TSc_uO%a1QS2^s zo27tis7U#E5`04qoul}ESx_X4=z>B66+c2QxI+xp;!Pk73Y99}s0s=ryZH5>pfL1` ze+rw_F!K3@CMaaQ_`{f>FgcaHrkfOq;w_R8QShCzpeS6@q6qZwx{wjDR76k&r5*%_ zIgFb7FI7+gmTVa`si747oq&*m7$`)zvCfjJYKrKldvdmr;Z7q<@?l3=8A_VRhD}Yn zUzO~l35qli_X`RYO7@fl1<=Xdu%J-AWPeMBDkO)B3gJcrOHPzc%^}ENa;z#Ss=|jt zMgdM&govm$Ab1{z5KQB$1E7acIAaM*6oH;62~7gFI3_59a#31P1Z8c|qy`g|t2IFp zl+QsJ@gTYiRlU@!XxMZi7| zn-txrO}-Zp6ao7tXi^mJOIe5jrNpP2)Ic&zNkT^9e%Ay=Ir=FoDDsjN!3PnPKV=~! z(8l&0ayy8C?NvqNxY{DG7s1j-zgReyot?eiv(bOlMK>F=_vX(NRqKr^J(%=o(4VNpz&jKrw^oO zNs1Mfq-dL5x;iL6!ivdHZ#e-Wr4@6cQWGS#a$Y z<6(;)`L!Y_^74%=C=#UwLHYb9Vp2qGkqjcA@W#R> zL7-v*lc4IyVkSYDwrD0nAhv`|f-rpRHwl99bA%HGqp1rP{a|<~;Mx3MH}$Ae->HHi zf8T+iD(3Utej61O1vcgal2NelWg+8U(t@IB-y5-^NtIkcvAd6*O64B{rXDB4oG75? zFZ#)E66E~HkV#P7A0?BZy!@z{1Z8ZiViGis6#W!6DT44*&?KlkPC9LH7zI# zwN(`qdHh)x6zue9fK&N1@(X7djK!}S({|6ch@oPn;WxiY5rSW0rZv^>7sD7qiTYVL zMf73DqF=&-0`Y6eAo8iHf0ayva$=me#unrg?B5krLe={%Y7$h1--0GVHTWH6s%t(~ z{P(m;@C5y?3X1$~lLbX)MKM7U+M?Fdb)PnppK+DrW)e-R%E>fCVYV z@kKEdS^kulo!4$93or_&o}(qM0Go=3FGbZUlk#0Mx*Wf}lz334YVmF(~#;mzt{0x8di?O;$%G_DzCz z)3DH-v2U!}WOa0xeN!ZF^#P5uk5OHBHMG6Jc&MWv)D6y%b`Nz*`?F2x)P7Z(wTD>^R(b<~FZoF^G&O8dDnqm0mXOh=jJ zH*`raHM+KDVYj!&J3M_R$>XKDx}!DjdUV00f*EHtKXp}7j zmvOb1-{b_-efD}St2h|ulVqyNun`4Q@SVI7cx!Fp{MGaM&v4IA(DF_~X9{=UPbp-1A|LcMxifPq`z-O7 z4v{a|WJF1fcNlPKQAhc)||1r1u_~|vgfNk1W{g*@U+iR@Ux7V2deS3}W-z&dg zzkYZh;+O#JTlXCU!DtCQd_A!yOu_n&?cdi>H8c~2X|P$~(g1%|BCdr%b`4xQWH=r{ zW+lfdB+VUSLPYB97sm0X5U<8xV}z33A5OM*yaWTIISdBk`&~i0PU~T z{|qVzt^$k5m9(5N`#=s0%!ib(c8Q!%51mV=_#th}rF0(Hp@5V@HuE1)8cPYd&K-nE z|Fj7q67Ld}*~E1*j`AGD&GE6b2?hy?Wa-U8BF$dKyBhjh-74-ua7C}If53l!*|tlk`Ym&ek>yHdo*ZpF$#G1mh$_L7OrZ43JW1T z36tt8{1%tSj;ZZCYIWMp=P-TkzLdb`FkNHK*X}V{=o3)Wz3-@wQ3rc;e_O=#xm_E#i{v(6XcCgL%+t*GKd}mtPx6r`mNWji_ zq{Y{He#{}_iG&#SLbdLUI7NI;gRIwR5*}3H;FYFj)E76a-pe(3h*0q_G}Z<8eh1uNv`qYx)497jXGXh@z#z`Gi#F-4qe zSQ)6SPUvel7FwtuDl?iY0mR0zFy{wfyFCFYP<5m+q<|R4*^3%ELNV;B+T*p|L^*?7 zj?UL^Lri#u$I<;v&*EI^^OuB#Jl}-Ht1tRq`^zj;LTQI>z(4*50jP(VD9!1GRlOX6DQ{)C#}GEghT)u(}qqBbqTj1gu_s?z4SX$5rUIG|?NCL!G7L0&W)~p$&DdF z?jm@wD`nTVZUA91eDk!LW{xCpKaOlo73$#b_B7|7x+!638C4(MXw>-pUxXkwN1td* z8h*T%;uOOE&e5PI;8>xoR%my#Y{?zxRbRKYk;Z)B#B9l`J_x#GR{#EXgX|E9b~NS! zD}&+;ki1%lvQYtJ+MrC})W<>M|5$_Zt6U=mT!qpgs%T`*93fR(B{*x%`E+Gypi#emXbSR6}hX!170TDgL$S3d7th!qFf?}K^ z8`+Stks?-in&0VP)WL9X8FF=_@q}U30?loRK0+G8v#;H`(FTwxB(FM6*k%(Qbqtj3 z_T}*%VXZEMc}YwF?Z212O(-MO@t%%&%6pALmuR;m-9RW%8GRI#)y3L%4Q0?Z;NyMV z)?v4Xkp%cK23u_v#Ln9B0pd8lvikSb1{xwswA@0Oy84Ndrw(=Ds&+DDZN%6SHf&Fi zKm!}2W;DZy8jHbPTNn1!B@5nD$pCqMTyd$HorqMBJEI@|ljlya=%rRhif<={gB*Ct zIWis7weHni{PhhuPJ=h)~AeAF5VWL2xehK4ngf&K(SZ&|rk2%_=LdCO=^R#&LO z!b&1KfmLZ3pmNw_jmb_GXScLEQ|*U-4;`aVIP1j{QzID^`CLo^eeKpL(6MS^1~i;e z6Xk>;?rrr;BQ@0RYv1-VbSJj`h_n-csBnY1zVn^rsc~8;YtH)k{FVCt6|q32A?fS9 zF5*%&7jL3dcDp*-SZt4fN;J7)wYxQ2kIM;;(Pn0oroRg~R44#P%yl>=&dZ1W2|Y(8 z36e24)PJQll8APv20G6XfqQf3WVh_L_5i@ICiYAZ+ln-*1yGtKjE+d{f@<&S6mw{b z!D4k)U}_&$8*4ZeSlz;qP(Y)Lnb3&BlS>sF8L@Qu1*B^V=zO;;ge$S82Uhp6Zg~(< z8g<~cLFizHvo2qG)7E_oE;R?gYBjnItKDuQ5?dYo#OXVFiyyieBB&uxoYlHWR-B)8 zu}HJ3k3n-e8DKIe0|ILdC){4_7JvX(4P0P!)eFL45fJ0VMmaK1qwLQYHON{yWwiF$ zcU2H<6OXI$AiFIMnTTM7MoP>IR(FU%dbkUiG1X&H*wg9NvZM(RZM6q>CQ3d_114Y0 zeoQqr&>K-iRjc+=Re9biKKF9eqY`+Iyr435yNT!YK>cfU$iXzLXgcXUis~Q?tm>OX zw(1tk(lsIDG<}3F?0|=7nfHYB>p}3_@|>8n8}={SfoBJ?o6y&Js3kGnST;xg*eJA_ z_QWh1MyR)S(!>HbM%o7(xk0=ArGi9L^QJ-<8m5EpNG1plS`L8j$z32pea}!q;_r~* zu! zf)Nv3X5PZ>1Mx^M|X5tIo}cGY_eTIdga}8q2~jcSR>_3YC-Sj(H=Pp zRbjKRBVrbI`pv>_QK%8hdu3Dfk6FN*fCdLI$C-uJrWM|eGdYKef?qZ{N934=PMTTh zDs;MuLQmNg^~x~|y*0DYN9gnug%f2{baIYa$koikAfYo<6h_FV=#(6@aH?h&Mhl&B zqA)==MUzFnrp8Rpw1`=lDGGBGlXIruEX<3Ug`m(`APVOyrf9L>EG&(gg%v_)r6{ac zOwlENvv66=EUXbaSBb(kiYdC@Zx(KhnT4B$&TXO)QB2X@ezS0I%q*-EIuD7$qlzJ- zevOAhThKQ~@G-v}6t0x$r;$*&28HWlC|s8|3izQZ`s`o}hTnlQ3y=Hhc~x+p4$}ur zPs*=2B|0VXY&>4!04%q1MU&C+IYZ?l@ADu9!|Ypm#Ek0$xa?Up2y0E z2o{E$@hl!IAK~Kt7A3tYZeEahr)z>qw!is_H#6+y{R$0MeunJgg@`xL&69{%h)BjC z`74Tn*I?uqg*|wI#=LF12QT8mlNsB5k+w*199d8f1amdP-LfiPG81w`RD_HM)!EC*D<>la~U;%CAwKykd%5dT7YWOQcEf`mmGtIq@3kt&fqOJEsW+ zyaxRs0Y?pa@n~b-=VV(tQg+7ug<>@D_e;1j?*tm3sIq_3*dd-`KW9^WcTRD}OSIQ2dYj zZiz&^r(!0H_wghsR=&}tmkbl{`G`v|K)h(k$t%{0_p;*Tm4agBuetQ-IW$)Orb};o zlz49koVMG8#Cy;0HE@@&#Qyg?KG>7Y_tW9jrQe-$seoMso3j#A~ZMdEXGeRC~$AlZkhPU~zn# zL&WRi=1IgmN)t?~2fZ-DQa!^i9w?SNMt1Q6#OotiJjNF~@lJ5_IKGoK!K4^p$fS32 z+R6JG6u#~ocJWl=*=q~3{aPX3aLuI$0$&Q2oxHE2#FI4_FG#$xvWw>@-f5bXw;2?^ zOdPg(xQJYu&S}+xeks!@E%O_fA9x@gi>c3KdPD|=m(zHYT|(g#EVy{#;~+g-^Uu5I z9t>A4ncgbkJ7$69mgTfH9$51o*DEGsVI1=Z@O{VbRh_&KK>3dA=+b*X2;Q;1@PFfv z+eS#w?eBv$@mfTkdLJkr{``&oeE=ihadcf|{85be;mLR0VomVSF8mi2e8<+PPTpHO z>E)zt9^QTbKP875>BB1yxAnR3WJnKUdMxc;g0?bVIA9fVRhU!g??Y&svR-~Cj}N^D z)7gv|^eU2Jp+^vZP^np8jJkLV>6Ho=x-k%gG$AHWOCPl1{;m+WV){=(-*GGbLX}a2 z{vt!aS1-#YLHt#M`Og1pwjy{T==D8Sb@G0OhL3#{6g(b!vo1p8rLuYTbJjq5Fw=hz zc!xgJo*+bMU!O^qOX3xwhMu91-x+g;KDvvXgUf2_;71=N8!dzv-FBvn)L1HDk+>_0m!zs5yIK{#EnK~KyLnvi5Z$TAQ*!Jp0l`B`*p8FnkKg}w)5ABQ;%@5 zKi*IUk8o{Yj{g~H!uK5RU)c6ANZT;IT5@+}WbQ*9s%6(J!kofHqtYTfr$)hpJDjrg79;$MeUsMIJDh^SlL69EG z^gRv6q*WEyMv74t_xR&eSVPzzRpBocvC4v=fJ3RF>4jBJk_FGM$}^T8@px4#r)ahw zuAJvuRl(0j|MkSv*FuVEcCYGg6IXJrDR`3p7;rlzEVH#XK%tVv0(z7Bk;(t_Y9;P&C!J#npDuoEMSgFsOHxKIVSiDul zyEf&=$%aGNirSR-)Eqn`OnHA*@F+}eOrs%2I}iAPeGB?>DLqT|`NFkPsI_PDLmSL| z*iobG`T7bkc06DAVa{st7qDzCuE&RGLmpM%*P%uhe8N?|MHNFIKR0Or#JBh_*_eA2 z)ch>o0V#40{g%f2C2aR?LE#5^;ID_V-8V@No=)dew#z+r@h14=QK~fm0|x#f7qbcQ zeV3EuP2C_moW;9_?X5~)E93JozJ6ExO=~m(&LyvgtrlD+e=_2Xb0O`(;@x8I2_4&( zJfq$1Rh#G(&dHmyLO<_qBEoNYU$O4vI=DQ7#gCR6A>v7OAmZkG;lrG__G#}eYx6G5 zJF@sOil@1#4E@W^>m=S+`l1V-jK0FRVNVVWKjC9S-igJJZ_qb!;^cE(>CXY-i)zN_ z#8O&a8-K(zCWt59dNSaaJs)!DnUluhf<=8j-kX5q{j z3WR=vZlYhHKmOzW{b1RdMwPEiDY;t1;SZN!Ls6#_I z5PWWwsGbTd9WKF+YxcrNliz^+7*6$uU2oXYf|)MIaL6ovCZ~o+d_8XhpxH9XM#{c! zclvQ224S4`;8$iBiKwe$ID)M7+AvYqg>c~CwHi@3 zfw~F*-oou}h21SWD~)jKPEZk0cXQ2qpm`58*Kz6rP!E85h-*F!&4-|=a_VtVDya2g zituCrC5@Fn&F!9n-80}m%Qc^a=Cja@a%vN(D5#gY-7Bzr8Fp`Q>P=8@fO?B-z75T{ zps8`{eNY;x54q+?(EJdZpK6RR#wnTBrtn@pbsP7{rGq5H z7Jq44Wu<#K^(Ux3p#J8XHPHMUn)^6a4{D#r%5q4vjQLSgS=m9HY6a>bP_1Q>wNB#* zv$Dg;uB=@EC5@H&x#r=}^sB6_6Q??Z>IAAQx9bYKu3=WzgHt^~^#CPt&0f%ypn04| zKKq1l;NQL~QT-H@bXIl}rv`vJ2~@63vfMO|Fe@7@5j8l5Bgo2zX+#YV;lRJ6xNH<; zqaYj2snMWDgBr&*$3t^GGz(<1D@fxAv$9ECa}qQsK~v$B0!jgOol2V5D=6u#Y%RB2 z3%j*pR(31bycL?aLi3IwX-51gsjTcSZg)5A?$TM=y*kP6i{Ox0*#q3}0oXkdWMz-! zknE8t4w;pyGEr(8N0^oIUS5V?UbbFiWg9e-Jr%-%f1go_+NhwUv$E&7?0LwZ2N&}K z{XdFBW@WE(>Qzv$f}-UlD|-`mZ|JP-ZJDUI(>TJcOp}PxVmN}V?Bg&|p9E0SSlQ>C z`U2GFpuXaoUqSOLXvTu1xy6r?%F4dun%_h7J81sIsjZ-X0`)7m`xSP-23grQjqHj- zIPh;Ghp0pphs?@KxZQTxm1wN2jBA!dvm82=T(c6ImC&qK$u6y+q_eU=bfR`ea7e7| z&md8M`B73?Sq;~$g=US;%Jzmywl9E^#>)O7&2pUH%l=VWxsNo#9sI0sLH0ipT?&0BpW>)G0&Au8+&^#ecvi>TL0PdTl#pGRn zvU;($wU}4Lx?>Nc{0uwRT=l^d+rLToUVPo(46*pB0jlLZP^aMZHIcVeVbs@s zQizq$50UH~2_=L+FGAG$GDON$Zj=`3nRG4 z5FqMe9Y+Xv7yLwBrr}UNIIyqv8nQq^=Wnec0DyPY`>* zIYd1f#UW$YS0d`E7!Jrba@j`6HbV9sw|hQTbqsw>r7{?D`uM*E(yR9NabJJ#{?<=O|uwEgMpISS%_wy1y3m7ndr zns!0qEQS`Qo|rmGgA$f_XHt7(=M&x#EYHRe64qAWEshM#z|t@8ErUkO7b!%BwL z*r?@jTyXT7oDXS_l%>YNYJzQ5Agws+w`XXp6bGy(rY);Us(;O`(`d&Oho7Y;>r~6B zIHp8c>hu6nr|UREEH%SV)C>&=oEX`et+LeY088Q89kRKQ&4ui&G*R;)n+I88jHqB3 zho7a+i4wISh*FxR7Db3US4JtuQs;BoV#v;iY$=y5gKQ~eD?+4sfrL_&rB*6Lt-`S~ z!cwaPM6K3wgjnhlF1r+*OCY%amljao~4uz$zN)r`UaRgZEnix?xL|N)u zKTF*t5p`1xN06m%<^BIw$ZnNc>UN%`J0dJ~hs08MYNUBr2nYVXM~ zkgWsvK`wg;vIil1Butu*22j#iN(~bAxF02zrPj+ttxw|!v(yGIdkQidRL^kPGmt$4 z*>e(UJ{Q9g#1?4|Q7=Yu$Sk!Jl>iqyp8cTi1WgkKIA!MI$*{6_w0@>$5()_}YlFCw>Wui8xafDgw z8;PiIVmN{<^(~iu3)#1j{lH~EK=uPiqEqt%(1Cz$o}B6U6B0& z*&dlR_oQ)zS?X_zsJ~-4f-FTB@A!Wdhs;v-TviWReUMc!l2x!M4w+SSBw0nr7!Js~ za9J0~x8zq}n5cdM zlr&b+pUX~!tUqJ}xa?%e20)e@B+WcON-C=uBoj3#jU&t|hDt;Yjo}EgiV<8k0sb$Yw(}m&?wCY%XN;WYV0M#t~)}L5Zkf z3`dYvEXW~hK@^9~D$eDyb0Iqyvc+7s7_!BXE!9bLSp7e^;tRtx{0Z zS;cBDy9lz2z`caaE`{t8$Sx0)=D!0dX{_RkAW>KPQBqk&SSBi*#t~)}*K*l)kX;Me z4P15uWH&&@=bj48Jr$UHD)`(}fw`vwb58}Idnz#ZRABC@xGRTz-UZoRklmvbbuVQ1 zLdNGF>>*79vWoXYL}?OAQC9H*xBC#B4}wof!7j$_VmeB&`<5g7E{IZ^ReZ0J<`0nl0NGaVbE}4u!YY2{cE3XQ zD`eZaY#U_TAk))i7guovSVeJ+sAL$2pH-Cb=%wInkFbg|m1LNAD#~G3!R;{bR8+#Q zDu-m%5=v24u~Q-H4;(vTw>v=8ZXHL6Rs6+ee}nTEWVKvY3t25>e2rJJFNji_Rs0iy zU1g4pQjAqHieBl{a44+uAhN4GNXHRkm970mwT7%UWNp$!9jf98u*$sLm=5*mb2ERUQdhSID|4M0Ll}4R$?(MD+~g@Uu!u zBC3~+QjAsh=01;=P=eiY+-Dz^RrU$6%D&uZKgjw*)}Q-4F$bjxt2{|1FPPaX2PmvE zz! zo(`D;*^G!-kj3ZvpX|H^3Ns{M&tvfKY@J-riQte}<(ayz7z};%Q8`#4U(X(4R(Up$ zRtS%0!{a%&$1}9{Z+FWD3m%UTvdV?r<05!m1dr$EkpJ_eIAFSj%a%g66tWd|v@@jt z^qo@*7NQ-YvdWcdGFyexssO889V6jSKEEtjo@Y%OFrbJ;DB z-2&NdF|xZojKj|=BT=I645E}~m3K#ox<^JS#wzdQvil*s53&ci>_NyLfb8KAX+9#M z6lIlnuG4}WqFi=QPk<7bd_4n8dS!AriLL$M4wfgI8!9r5{^ zhi0p#t)Dp_S3X#@^szL9Q4h_7E>f3l^7R~qh0ZZDsgh4@U(?+tcl4J1e!F|Nujdp* z?->@F=#GswTdaHK#y-BDxf)&23C($74^7`kv)E!^&yy9pDioS$NgkT4^|7tK^Yxsl zipORM=RnOvGsk!0#reLT1v%oO8`7K+urwz}t$Y@*>F^A;O>mifhD^~JG^g9qZOvA` zyKcPO*Zmb-Ue62*&1rrQp9dBHec^0u;HcuUS@L;$j)!K;No#h!=Ij29Bp$jY&8cY* z%@((>-MPWneLbR&px_+m6x~D9H|gQq6zoUov@azzCwXbIk;g=?_jO+fpLw#Ssd@O! zX|=WBI_!+83_D~(bC!xK^*BTl_ecplEfaj*?vK(^f%GWvw5-6A0=%a%8Vuf<3i0yM z<|n5Gk1jKPJ%{UbA#U+Vulo_$+<2esQ4HEB@^yO)^}9Yydc>O;B)v)C6-EV*w!yFk zhHVmBHX3@fQNo>@uy_!TZ0Y`vujkD~_Vceim1 z%T^S(oevEOo{z#T;S)a(vrPVD<7YoZ+LgsIox8%AH!THfa)B`BooqV{cez|~Aph;I z?rWiZBt9OfyIoqyjpUdvP2{~jkoSp_4*l^kEB#iOW%5~pi%x^!DE!`%;tpdWH`;~F zZRBYDm<c!0%L>o& z;Bsk(ao=yQm(Xsd&$w%IgEMJ&ExF(-gppYM#$bjpE|+GQ=PcxQZ8ljvUYnbpVeYTr zR)#RWSp1euZR2ujhIu6bBmQTHdDG(YFt08`-|TqOm7yyGgI5RT$*7%vXI+hKDT&0%)OGM3%vYe+m{dqORIY`wT;WA z8D_JeXAJ+d!)%EP9uKoF;s_(p`R|L69>?PAVi}V5eMFeUr5WaD3%MO;o5kZ{9(K0P ztRZ*b0WW=6{NYS(<8o<+iTfR)%~@c(B6vK^W6riYF*1S9BgeD&W0~5<<E(%N@_a7jfaL4twFM z$$4%T)P}NnI+URuy>LAc6TS(9k*}uWdL$}rdA|M(c;Pzx{Ii!rZ5WIH8Owmnjlsy5 znijsZ$Ms}D*m7KZBVM=`R{cg-XTw>1Umydnrsm6y)o913LIQ)`zcwm@N4OF#Jo9zl zHKW=?Z3N_*^5uog_MLscp0}`aT!(n(YfHL2sr?^Iw8@mOXjIgXFc|Ils*7jzuQwH^ zo{_J&(@vhz@3olVaa`@wUhO#Q=2PhsYa~nHeuV4V$2xC+5)giDTwl0&2ClEHc%*0G z+TwTG8o0ir|HLohe^-{p@yh$1`xb z`;vjn-3JU@MIq6)99KWjd|mUw!f{ZOS>l9D`LgS0<8os#aJexUxZM5Fz?F!)a0UEc z?YLsy$X!s&XNf>GLpy3#-fdiN3#t+-qpn`@sIPb z5`F#ji>shEnI#@*)B}x|cJb7x_#@v*>hbQcyBP0Rn>Xl4m-LFzN5zdd!TVVwo_#ll zSN}1q2jbmk3)f|#*XTq&g(cKRJ@=46Zy*D% zd1~|GdNLsVaa`}IUbuc*GVM^PO=k%$k^xsREc`T2zqjvMaa^Bx=IiyZ@1kegX0XJk znew$D*u1!2ap!Au+ACiZcIzJ@>`a!x1Az?|5av8_uH3x1?7Lz-U*9QSxK3MDIT&GQ z;fJf!8E`EQHZQJ^-1+)B>V@n4W9BYE*x4-ci;@A?GP!wi*()ZVFWv8jYxly6*8aa@&}SH66g zF8wdm=CZ_&O!<1Cne(+;cj4L<^1}7rvP-Xn+L(A6=LSc`O62P0gIID>N6bR-XA9 zJLj^mp>{SaJ~&gp-V6vo&6BUND*W+$9p;&@r7xYGgjyjhJ}gtd-f!l7-4J!*IwIoL zjw41rNsnX&S#ifehIahCne%m1%!TVn&-KI!sgg-ho6m}mie$iLKUUE^?Rcv@Uy|aL zueE>sW&qbYtXN8Cz-6B|ng`b%5m&zYq`hzroh5$;wFRvB_)Ph-uV0!4m*dNzyx*VX znXh|Chp8PGvf`66<;%W)X&zilC0D+N=wA8ib?a}hL2VH$9vaTjj`sCS^Wa(`yKtQ% zd*NCZzKJ&C&Sk}?=oxU?*DuY3Yo+AEHO6y14m~PZ0=4s4F<$8OJ`dT~FU^B%wSdLX zkHrO^{eD)&d{+FgO!=~}Uz!Kk#kv5-`@Q0suZPyoxdLj7SutL!@XnWg{n9+R zF4J7NX6JbIuS+)0-3_%Rta!GX0hfLK(mc4<=q_CIf?l|`N4g{!>v-+w`E87p2G%z(?jerXl^KM7HBQ5-7gUi^L^TPFWK=|Xht_XYK+VRQj zw38lU#aBuhaIFgoKh1+H?ic-rMk;@9{3Z@Y6iFYOH$l zeBCd5wPV5VpF1J!YF2!|o&neTbhF}eJVnZJJ>t18?7!x zrySRlIbQiX=c9A(2d;}*@snx>T+cLfz7DtQ$#HE|y>NAz`v%>zxr7xzn<-z|U^oAo zrGGgdY~{E%dFE@y+LwwE^-@;6DO0{)j5aT>UhaIo9`wrB;p4XtLfFe#@f$hb?TD|m zH7hQB*FyN?`Fcn5!gbh>iPNBVIV*lQm;u*zMfho!cElGpgg=h!!Jyf+W7Ppx|Le5E2@ zxVAmoYdFGQ&5A2B<*P@!d2xMV)sy4e>6x!t_4gf*u-CBSotg60C)B*Sa0AW!T2}nGoS_}<^G5Tu<2NxEuKFA=To;Ud=t`(v$BOG!Z(I$&T$@Dp=(DcE zH(~X<9?E##;rK-o%2%>w&^S`C{XRc?-gK{$9vaes7C0~wTMd7$`yV&D8t-j-m z4}t3jmOM05zU=Fl=E1em$~DJ@<(LPqo4;80C~)1#k{vST%f5bT9$e2yL?7U|x@caw zdTn^v54E){*)^D<9qsFv=D`&Wxp4KAyl_qX_GH@sx``!wY8i0Z*DuY3>t)4->)5at zt}AD4cpqvvv*dA-H!jEZOY`7*&7H6Qp84u?)*1H!*DWmBKSRD8*DuY3%YJB?_pe;f zeEpRA*CW7nD@*2O%9nlp(mc4{4j>@^PPLE`J6LScIxpxxYV~+t~rK@R&JaaPX2oxoeLu@Ik7=*EDZKD z1leQwAtrEf45uqzdAP2_4Sz%JPL@2q!Tl%;gZ;cf_87KCT^MEuy)X=Ta(4%)-Nlk~ zax%1q{cJ$?7=DeoFwB#@FdUzHBmuR%S@LXu1`PId0NG>M7II-&5ca}wN93yMP`igE z7fKm0*!TRi#}IetVR6h0!v)FPHUPuDEV(3<0fT*iKYI+cnlt`*pS(c#!cf(@_5~>2 z$C9CN1`PJS{OmE5DlQBcrM)oJj&1)A)b3}=iz68@+!Pajvd54L2wR?qH91}w?t6D~ zXQ-`X$u(*Q4E8@n={yD(g>d0{wpL?^=V083sI&49taAD=yjv^x)LgI*YR{kVws zARlDOn=;K0_Fj{X;rg(sALoVWI>O_=L~55i54VTBFsyj)%lF~#A(p%&)BIrXD`k&i zPg-E*{o$Ul7l!Dd&f}r>FiYN>X@0Qxkg~_{cg%(1!H5@z9v?hQ`vZ@#fcYjDpUU_))-S=rNw~-}NndS$3ZJa%Z(eAdW*1a%n zT(g+=W1nTobf)>iUK?kRVU!!gpRyN*t-jA>V0ext|I{<|2YYRtJ%+(<40{zX44wWJ zsQ`xOSrVT@_8u4Z+Bka*xoJ4(f7B;Se5x0Q#}d6Sht3PEq(!Fr!Co6@k70mfLg3Ho zm9+MpA1>SMr{`~8WF?1Wnjh@7arPMcxiPfUyz=ns+vWcOhA1m(pJ{%u*Ty!6Ca$Z? zYTXz*hP^Pn@Y%@2fngIX=@iP)AMCYp_84|WTy1fb?uFs%^DAhd@jTdyD%Itdttc0eh*=Ig_RtiX@0QR z#@S=|%E}?n!%3d=!y_-8O85C*WhD)tj~4q;j#SsiKLZAPZJa#@`$HJKEl$LsnXQwk@IQf6Tkmncp zc^(!9z4EYN*lJqSzROA$WttxbrG=mD^Ds0fY&nJ{AukM9-P3gs)ZSwyOEb+6BmM^r zr?@eM!d@88K4(B7Flek~rIev9%xqQkCDh($C6@#;V6d+d zvd2*1#;`{B!f<44!(L$cfR$Vk&VXT3NYo{J3{w?h%kyxJ>V@IbNt@`J_d`~4ZKnBQ zT0r>89>Yw(u;mzT%JIVR@S0APhmTmvO=^Zb%=sTMoax4Jhu;gs&ekV?1q>gvk~^Xq zFw9Gfx@4b+U`*KZJlrdJVfgI66(gbc2`jl*%Yb1)RQRzmc{nNu>&Ebq=lt-3 z@0+;@@hK~LIMe*F_k+cJgicLEyu9Y zGY@zC^8a{y7kC-!|M7p{@3S}8mdY(@LT;f+l2mL+NLqzT(S}M$nLZH-+4UV?7Uv@_v`h1zuuR* zy=Nv&jvw}-^e?<73`710hG9mAU^rPED)!#?3^43N=^K3}3?oEZmy*X}w2PKir zF?3nlliWl34W;jrO&F#I|9u>$2WVN9VXq|_!`dc?nnSh&C_V8dz3d!k=KsL(sgdEJ zHyOjwN1d~Q;UG#6TTJ3G&qwQ0;{Kp}U6LAyqe;i+%{jle1{YzJe#~r+GCosdz6K-7 zJgIxXlFD#0MB|Wt20m1;y*KHiw`xCNIE2zqnavN2#s4b~XFVqO7PXI)kn$=+sz4d^ z>p%v#^sa*(hf#WJ$fPYk2M_T--WKEi1{t(g(rf10hfhdemEj^AoBBBX+PpkDru`kI zUo;z=%l~~Gw2#OzhAeloI1F9=-Q$qu2ujZ~8=Gsq#0L2*aU8Ud+mNzq9CAJMbzSNC z9(Z4=8x%)Tdahz@0w8pyCkz?XKjL~<0A%nHHY-%h1izO&t+RHD5f zgCK*C^HF>7of`Q&FOh9D$hONww%Nz?!DY^ZAgjuCOM{V_Q$c$S#0gcg!+Y)oqZ?9{ zBVbJbHZLOk9h{;IT~q`OND;k$D&!5_$IE4aSXCdz7k0mA1MLZvQOzuh+zm(kE(zl9 zB#1xB+%-jf-EEM8C3N{sFP8yg4Sf`^M4vqb+LItQiz0W!5seQMtHZ@OLugUl6XLEZ z;y|}SMi8&S-Bj(5Oimd!L#!|6d)5qpYz`<-p^RDyQT%^8IUS&cYKMqVPGOk}Nr#9} zPQQD(460IFW>vXv308S-187g9jC*`0!-~7%(LNHOCW$OQIsGZ>WLmV3%2dYt>`b3Q z=7I3)9&J{mT|b~hEPtR*S154?WzhjK-o#6uBFYnB$_Zc@(cEiQ-9_=HpT12SFV1b7oIPY@&~1 z&YZSO!HSGBnwUkAyJ3h4Yt7@s%BfHs$frf|Oo+-j;xd+xBd#(cHq%G3?twl>!OA(5 z@sL>*xf_mHfth4c)IA!?5zk4*5!WO^To>l~V7NTWhD%0E_lnLjJ7`l;#$$r9q3Os^ zJOl|qf6~2~lOUQOmRJl`i2-opVM$B?8GIWTAk;q++4#uMlr)h#8^lLGzXGjxh*lEq zvrv`6Gw暪yD>->pjE5WXBdiO?eSW+u1qN*O2S49awFZwjo_rUTXH|Phch;WjtZBYq?-Je9@|6Jcfu@ zB{fKScva3Csuyn+j?Jr*E7P*PRou)TuSz>+PpwJ^eN`5%ev|CTGf+lHv#J;lbF``$ zk2T^|356*RugXb-EM66k&8t#Ki|Sg1U;X7(;a6kTs&v*@rS;BF>w%dIDB~Hks_Yi2 zAL4pf0Q#ryRn@A@4YG^J4f%E|L}ombiC2YV^Qv5vsSn;N`~oho3csMLR^>T;Rh;cc zwgxK~QASsjswA7iF0S`c*Sso5Y_%#0&kCwlNvxg#RfcbGQ+uo`@C9zYEI#b}1i1`` z{fkhR4f`dfUo8#VOCWY9ANCiQ8=|eY%Ed*@B&*5=!?8xW3yI)PlaV^)=bi<;zBnHo08+@vao zV(^Cf zO8X9*L7Rm#-YY>>5@sROsu=UdtCCq&pg5#e)JsE|)u~9gUojq($E#AqPcy1xWthGy z>3c?;0c|$Q_@D$;Nq9-TX;qB*{-#y#c5_5+2Ft81=w0>$C2(vXUDt-`O>vayocI7Bn5tuj_$m9v{4SOMA`l<`ps zs`8(YmHXWsk+w=xK`)E9N;8Sepj9ThbYsP`@L6(qB?@BCKOgqSXqT{69u_DLZ`@)5WbTd+uJ?LYW=BRHhDw1@W5!39OS>e@>3J@=(UyP;%BQd_e%=+9eZK zzEFX&W_A^*KQ*5l@)_%?vAz({<zsf4X%>xK$0_$xn_YZ`k`6y$d87p7J!C5=# z{l(*&j5YHmpN>moeZ{SlX{?-IWnC^LV{O)?dL|StKpB#364y2cu6SG@3+w!8ti1)j z%)Af0rnjfDa(ABSY`j6w@&*y3{o^eK&%^Q)|zlJ-|`i~D~E zlxrwsgFks6FpiHn>!o`oJ-;dIrFtPvt|zR>HNj}tL~;Wn+L9Zs^`*J2TQuL8Y|~lS+@m}^?^@+4v_fJ&X{?-IW&I&(f3=9hEl~6?l<}QOf6W{w>1@aQK<3Ee zalJCmWyt3y^YCcBOk@2}*5%V!Ils!fD`_7nC8rlc(Hkfu^gs52E5kfGhWP&Z3SUSB zvDR3}hIIKfR?e@o?n%nJAah{gQZ*JN=`=ojkPx-u}JW6)Un;xEp6zgw42 zW99rR>;9zuH8yR;=g?Siys)Q24uZ9Wg&A=8YUb-bjLX{`LfT4gp( zDi_8ULMY>aNq@btTh!T(_ty)?%X@KF<2AAPe#>xqPwNAdLOOdI>v)OERMw-RWb?pp z8FzdDtQch+Hkn^9BwUJ=A^Qd6Rn<7F@ls@*)o@i+WBr(ML98`a&ablmnRFk!+;yk} zI8Q+t$E4)_HSr3t%DT`nj^eDTK^hxi(2jjG^lhTCPV?*XX{?-IWj&LW_2*8FwgIaZ zWt{YyuqIr1S6QbfVKrWOkH^(;4?$y{;VsU}`Bm2QN%ygXyPk*vs|{tG4Vkcx^XY7B z{q@7JUKWq5@qUNOs{8sOA6s|3b!D})9s*1V+i>xliQZ-UWMC?hAFybmN?A5~c|A7YE`$(kHsKANP3R2OMETcKGq8TCS(R$}F%@ z4y6X%_?~x2kj^0Gqz`1u3Fr%3eJFCsPwIA|XAnh>h?)6U-$KgS03-oLHn^E8s08=O z6OfW7BISGtDObY8My3QwM3H9$WGf1~oDhm^g$>Q(x4|LhN3K9hz8?~N&GM#NtVlr0 zb@10)fSfrg0?NTblvB=4i{+G4j%;e3k8;X}A$d_`N{Ff=pZMn07`7ATxS)i~jdCiB zRFzX%xy{{cAj+u}g5*Jwg?_4vED=|ZoG=^ZRD)90pp?K=Id_W>_mY-@$c1w5l2Bxn zmzDB+?yGixE0ohzL^%(D(T5eP%4y*{KWyDu5cwfN#o#DRt8?m~o>i4zc>?7;2BjW_ za7^F^C%dMc=?sP*4@2^zoDN}HDyO4o{r(y2LFC01dW2Er9k0cLaykbgxlvA6k!9>E zPZhuW5am1vcAkS;u5eRTWR)^+?WK(<2g>I>58nIws4AzgaUR)}m3@{uu04esFjH1`U+a6x*SKor#^OvBU zB_hgM96~wE)VeJXqMYS!lzqidimd|qDloLpLbld{Zk-!NE~^f{^rD;%us7PQ>bCe$ z&KAhC)k|`ICr~R8gLeaVch{52!Vdkr$2XESx2O=nOhX+L)`ys-BZ6nz^A2L(}duuKg`-<4`@hFAGT z2ZOP}u)F>wL{$-6pm+bf9zoHe5+pD>+)Gu_;qu2nH`;=tA1ILgDB^HaRiw20_R%BD zq39?e90f+lNK_RaE4;k=Qa*^lm_LLfWfZE4lvhT#o6`$L#{=QGAc{^Fs46;Hx_T=+UUw^3g!1>J=u#kD3Px9Q!jTY&M$yed zNI=+#mul=V9ROdybHd-{a-D3~Q1q~ZqWggG(Euye%3aVqXBUbd z^Fwl>=n0vsq9?uA$ECv1JK=+pK9T1rgVDg;A`cTCNiOx)qKS-XuHjSXBj*ADy?V<*NH(#s`~2 z)N(Z-XALh(d(=a6-tEV=2Z$(E%T2awLAhFf6!`!)w8PyI!K}2;8lYHR7mC%9P^`Y{ zpg{n|8VD%XFhGhma>K7Mij3vO#sy0^t=|*HM96es5XG7VNyY~xNFs_osB$#}Bh4Tx z(*@>jM)=O<-@c1t5BnfNfk!Q**rN(0z?vIo8Rv&PZ)&>;#ae;IR$#HUNHVq&;8zeu zB$;Jg7O)?PeTHJ~TqyPgWNxqWcL<^~PG_PhEZSx{t?#O!bJT%G;&ZWMbSEIu!wSPz+Gd%H|2c++z=q`0@H*D>Kt)IO__Y36w zSwgXW7P7T349SaPzo|J72=FV2VqrJY9fF);3B``dWa|%*|KUcl6C&9<3F$-t#ZId^ zPb=`tk7BZ)=+24oD}-Wc7P18|7K^31QS73R)$XFw_~N>~AOiITFmgp88LxnmD}EHq zW?eLWR^lAzs0p)fHqFgIi*<8^;?c*QFQ zmI*`hqTGsZmho0gmBCjZK)Dq_RRL7D6XM+4q1f$ylv_pJs_KScpufXSbk#lZOGddh z6=tNCuiUVTb`bfo{aqi*trKFZy8d?gw>}RdCON1^dT-AF5vUr0stH#;;1T@!UxEl!HC-sTnZyh}BxJ~c?gx>Ga_<4c7CxqWM9ipI z_jeFkQ0~J4l-n}MRIS9Ha-V?+z%h01V_}rrnycD~c=<}pOt#jl0WDE z=^%2U+y_G_x1)!tI)=ri57j}r9b`y8l*@NaxzD)zue0w#xle=YX;3{IV5OdOecGdE zD-a1NcMKTq?q#a(^1V{?hS0_e${hzKdx%WcBiMa$+lnan#SkP9%I(Efy@L1m>RScn z_5@W=Q1#}j-b(i>!=O=K4WZc0Aj*B+%?!RCdVf&Q<|y~IFv?83og98)Wf3+6nz5}Yq!RQc~85}BIlHYm>L_U=J z1gJkym}px6)o9;$)6Uca5vWFiY7AG6^*ylRt9w8Ms&_<`J3hn= zPH^9{sjmk_kcL=L?&JVdP4QgrG#tjtWC7*EY?=E>n5m|D22}ZQC5XHzcdCN2Pcehp zviFb0bG}8nGe8wkQ0}ad1{Uv88u zdC1o1kfZ=8;BsICl-4bNneu6P7SjXwsLpjJs#{L%yVL|*%lS#;#IvHb3CVJnpV?JR z!`9N^%qq~FTSfKKr_pA)S0|O)jjbsH>0DaQ^xu-%EIX}wml`UNxx;U$Bc<~$fo zlG8cu#^rK2<*bKHIW*_q_~mq?cgMBMPkn+@j$6n$&~m2#?oVRVzDI2Q8BPf+WEQ45 zE6Pc7+HP$6BNeCYmB~2Pa;9DLCdp}?dGX>+oU$`OdMmYQ4<*T&@~ry?#wpwVWDL=q zRg@$-alhsDWt_5EBr_Jx*(Mn$n!QaJhEvuHWFDnCAMz)$X?dag+Y|7uxjr(xYdKSQ zOEhO{T8Q>fN2#@!2jkj7ILgkUZIrq-Sx&puf4~E{_7^U4$WLucTEFv5n~7^L@sLv%n)8r1iOrPB{qG!yYkwAi6B}kT$Dbr84mO*69oL=( zIe!&3o9D|U^N#w>Yt;9m~b8{{N5En}U1#^Ji1;D~=a^`8D1>+`9L0xX_W zc6V%!>$Zb)71$-gABO2b7MV?+(>}BMU=Z2c`vu8eCrwFBYmaPan-NMz6&>KPiY^BTzR z_G|gnGdf(ieHg{6t1^<$FF^jfut$P(LYj}9h2q*@hsl|!CQ~ot;(Bn#lyfpbW%EFb z>vZy>ob9aa2+-o%A6m%O=XgHo8b5l}s=NvQ>cicb^zCkxc8f2+MZ+JVs^w9}0=ShE zaFguh4_R!mHYEf<;HHc!QH3D$5!qSr5dVWHohv63S(>Pm$tT=&EB-&^lWzzlU#3Jg z7&g;4E0&b_S;F~)+Fc?Bcz&AFXXb}1A=@n|LMPv$?S}hd6jl}@Z9T7UVyLBIAbD@kAp5%aVUx*syfCiX2U;>P3 z1mR{9wMPI`pJagNLc!vIm8DH*AzKBM@f&1L*2HiNiW>ZH00kGdM*uS-78=3yolI9&*CXP=SL=G~o4|Wdf^2G-U+)1M{0(gEXZ{pt*BbfS3;Jm!r57}-*nftva zfCk6$K?3*Ws1pttpZkdX?*aRHXjv7or$8f^aerbT%%~#2(ZY5eEZ&YX4+KmAlSOcV zNS$y%Lq+~3f`fdttP0r2PXW{ByAzr?ZH)Zegg-X|U?r3p4w(R^2-G0JXc06F9Ic7p z3F`7`fQA`BYvN%pnvVdc-7iwW^W77tnY7Zu;oX(#kgYPxgbTIF2T8IB{x5xSct{UO zZiAA2wtfe4?k(Tu{Z(cHR~3|bR50NhB~d#paB%ZoC({BqM$pN$z)kSzW%2oWim_#z zNi=X7Jp&1V8TVLLKO6J{U{#d)huQobpgu^S*IIVA-mwN4-b;I{avoCJ=n%zz7sYL9zT znd_-j9)#6V=4si8LtkSCH@|6d;^w3P*|no0B3EVLfF3}Xo|iE^8?M&@v^7xXImv|K zW=J&x|CBfma8IB9sSFS=jUoLck3+d8izsNnR2!wNGkiK}1I4kapV57*6{c!;aCfzX;lzDD#qF!f+R~V*JmnEzB9> z=WL|3$}mx83~y?E65=rM_|jK^p%%)#VliQ;D-avxujCBzGfYxeWoQfk$Hzt5Qx+D7 z^2d*mwy2FVGi4Ko`&`5Z`71d?{Ct>{B@E{a+?3&bfffgN$KL85r@WxO2W4i3OcMVV2*k-?_zH>2&mdWJMoXWf~h8JBr%Ix>4CHe^K#ojZGL z;}y6bX*;A1XzQWO++w@5`0f&xwEVYRU1Vxc<-&ZwQc9aoQx}RAqaE)9uKFl5Up9$X zH<8*QvH7R*GH=Hh1!_;_YU5(@YNO4uz|~gX69BFTDD$ezgzIH!x%l6I!{zl-dxVRu z+tJz)*6nEhYoYjX+Nt|NdoRko?l$4-qfk5l4c8kowMV$f${>vkRt7Pyti?ALfVLsZ z{L5#;WjLkz58Cl#_K1zgH+MY#HgGjU5gaz*8vL)?(R@4(mGoR>bra<( z?Pl?+lQuL5xEjOiC$o71Zu)3{|4loNlBqq?j%585dUZM8|%xYKxXtxm>J&!3r(LwP1JS;lL%r3NEvGVp5RW`ER(UO4Odp1#7@) zysFUtwNQ+f?m_0&`(ce(z$9MNi?`!Hh?n{NHA^;dRpb5ZPTs#}AMTY3TuopVnpyvv z`>)#3oNIyCz*U3yue)jgS|}DRUA7*$9zc=1%=*{jf7OoWTuUVbS1sPZ>d^kRP;8RF z{049}g_U$>{cFX4+>UEx16Mr@>tFYJSikp|mA3=egRoZ5tbeWdQ9J)lzu)Mk_SAlV zpTM}92yrer-uork=`Y}F2J8BQ#(q!FNwvE;#1`Qy*_`l0$iVPGm@zc-Gln*ua4r~s z2t}H@Oc>(#ocYqr`b-#pHH@>8#o<86!0>2*F|=|s zhNo*g1Ymd=RxpN57!DiyZpj#q`3($iKCavFIMfJFECmcLP~>sZgdu+Sk=QDEe>g)C z)Z>4$dXmn??Rgx|KUmPyDm1c2>-z)uh4Cx^Xp^gh!i%A(eNvti#FMrwv43DBn zN3%G@@9h3NhKSq1(AmQnx>y)P=QHaj0mEak@-tx47V-Pg|BfLyWMFt!T`!_ts@iR7 z)CXL*golvKnxHUDitl9+KVGRhY^(~`c`59cdf`zMQwZ1OV;w5$s>tJbF z)pZZO>z7WU$>18+68120t=*0!CQ9x)#cy!k%SCGq=XKjkD;vS)sCOJ%56=x_ViO<^x#SOUtT}=q*qjxE$gg_%+$Rw}z+5yha?lTY#m&w76L$On2qF z+k~p?*JPdR*}Z1<2G?y+h9%Lotm^tLz3ca?*Ln$DKY=1|nYrf0OJ3{heuL|GytLME2=HpZt^L7uJ9s)y zG6{H%|FhPnr%~{*ox$}GLFc+o=UQvP^^>qL+-u@GzV3jSFsrqx>lnvY1O5R&G8++O z^G&(c@4gGh+ryG`w+TZn)^H_fsOvIRf0UQa^nUH=5QbZWeGLH)b%5t2&6=P=fZ8!* zFduGBMOs#k!&n}NaXb#!MwYJ*3>{$&y;&R@xv3p92J^NM1zJ{Rn84#O*~Qx8;frJ; z33>`1?=*=6JQ_;vl$b$xJ6mO#5@K!fiH|Y7)Nm5n|8zo;k1Zzs;Q{I2Gq{4ZtjaK5 zU<@2-JQi$D z&XC|qgoS2t;5Q>n%%EGiqB2M_i^H-2W5C}mz7rU_pvdP!^0t8IPH0_9%m5Fj(6TDS z3O8d|r7(uC}Mo!KU z>k#u@D%#!h`%Kafo%1sGSnWLAM4?Wq`+g=(yP8VQn!e zSoSh7bc4r$%;M11_pce?K_XgKW!P$A4BrMBLr?pskPCH(Hxsx`+TtZY;ThaU9^8Fj`ja4|{kVe)X}ocysun z3c%0 zFjV>14Dg^HEvqt|;Bh$3<8VdpO^%~_!4rIDaqx$zof5|Zo&ls~RfaP>4(9@_EowUJ z&j5y3P~@yx9E^7xN_GbQvDd(GUeKM|EZAK;1g^dCxS`ji31$nlc*(0jN1|ob>SxG0 z*S~D|co4XL6-6$X)tVPCx$DnFgX_zZ&h_?VSIL2EZ+Ov%oV?aKe1%krUFUr1HMq{U z&~99e60x-vWxb06FN#tQ(`2Su{|Mi{y>93J$f1_4G}cx_k(9$%IQPq^#y#qYDj zlc0Lp4FO!~)&!`{lBbZ-X;^R=x$0lM=ZW_qb6*t67n5`8E|4%Tc)XPUfh^7y+s;NJ z3FZkmnANQVBQljscb!P(x-PT8-J~Oy1}@9ud$0BNgJ9`36e;qV0DdOxVB&$(miZGo z1I(H2(dE+uw}Xn*Q~Ed{KU^VzSt)G6VU}G;h#)LDJn`Q0W0382$e1v=Xv-Qe)cps5 zd>^g?mI~1bW|gB?Y*_@$yI&ujdJzEMKv|_N|35@EPuT zTiMw7$Ai}{QeKs-R*-eQdcnk&Z6G%8T954W2B0i>B01?YYh#eKz!_#jZ85H4Ce&6J z@w;g(aJm&oDqsWN^+bPhz$#M3x&Sy3Wi>8I%l=cqV}2Sf4#=1IsDMrVEP@Xe>w3-Q z<}3*eA4f$|vNk>s^%fiev(Kk_87MqeYNk zh2$;E&u(Z0lRjhzFDRh~RlvS{QhBr3q%y$$%?p)&1HkuC)*EIKG|X^uK;7ja4p@AD zMqZ0VVFsg1r6H76omZGA_(u$p>j2XBSQ?( zFC+rK>>09o`*A4!KFS(pGP@)NG&E-kM=`&WpaOox0Vfs%oaBvcciF*g2N*~3Jb?i&@)ie7 zyLP%V0FFRe^F#k*1S9T$1~}M9^QjSB;%9)%{lx)a$9IxGI1*(o75+!S+z@sA_YwTS z*u-$&lXy~|wN5xU?*wE+qfpjrvz9d+ifHrmiLj1AJ8b5wTPPR2i-|E1nL0ni`CjS>nD?4a4bgck-bzL5Z*UTg*<}!E}cvRG#oB!P5gjF^QnOQIpD!!fZ^b^ zl}B3x;8>Kk-vkieR7?#rKzIe1P8N@#?kPRq#5eV2H9)xcs{N^ehh)|VkI9J#&lhiE-5pF0Sb^nJ0cG{fh&+>- zm8Zl3v+{jU99Tup*v6x*^IjvD6`i|H1{J(OO~-ZaHZPI!$`gM(C6FbY8L2^n7nRbo zDno(7f^~Jp?e@ z9;6Y>F6~MLEF)HSO(r+vCZTMHCplo!6L5a40gkl@8X~RDB+rHP(DAvL4{{Z-3~S=- z3W?(&yJBEP=;TBIoQ$$>F`0|uHGDcJ@u^Ptj0ppTw>#_dsep8w{Ldn&d!APXyfwrk zShZLaR}7rWoU#o{PeIw05(WhAgGm9WO4K>}%Ae*<_+nC4Rf?+_TEkhnL42!6A; zbrt}AjI!?xC2!)SfV1>4@g|0MR#V3$TBd^pUQ{u@6=ZtZqNQIRH*Y z*|p^o050%SCp>~)z3guQ`D4N=V0}J{8+(fb-g!oN2LL}o*$piv09+~+2Yf@;$^Hhg zu0ZptfTEv8@IilZz%^;5#sJ_nl>LBE0>CwT7_EulW{&^9iTMM@D&RvB1AJ624p=$= z%dP-89c8z0mjH01mqvj%@lZ)8`@Ohb> zuaN_m?3V*^KsaD&nOWuraLq#5J^V&4cEG~zkRZhmSa{`0E1Dm$j1dhCJzXqFuSy9) zf>yl0|2VR*97ptZpD^vN~m@-IJBUx__j8aKr6aiR(=Gy=Ai7i!bUFEirfxwMPB*8Z^cQ* zvFP)%AiWn%2okhnrGC#p12A(@_F$8Prrg%7QoI%U>dBI~Vh7m}q@iI3I3kU|qus0(KN91B(27lt zjUs#c&rr5sHgd667pK2!5x{sQgk$bzyby zHp>BUDa!sfVFyVgXq?L9fX1m@i(n@=bxfi~BB=jk5ro%{Q@IMb!^5WhU2Zm6EN=KG z>1NANc1SS>j!pU84r#^sl+P`fS`+2^EJGE2P<7FVI{)86EO@QDrj$07)yqR`2-CZ3>g zb~S?J(<8ck+J)U2UMf=o(>$yXUI-=vUR3@ZG;=2au148u2?K&fuv(BBXn&I8~VDEpc}c?98$Dmo_`V3ND$x(6vZ;Glr6 zY#b22+el?9;7u54>0QIRIP_UyYDU060dVUKn7)KvfR|bZ-+N zQC0gOe2apXB@rZF>!E-Z69JW5<#uZ;_JC{~AfpLj(!H$V@LTJHhJ~Ky^RsR>w+dJ( zzyPZ%i4nB;#@G1fG5~&wl*(fA2 z5m2cq&W=w00|4OzoHfh<4X2kHU`p{OPMGRU_i*qfDMJ(2;)A4Ku_mrBKUL*1a;b77 zQtFrt65}HOI3Rqajyhp|Fk!8g8bSELoGzc%2l*`^HG=oLSQCq`;!XV9$V+4wya_3d zg2|iMxTZw|Ob_WC$D0_wC8v|cM=^XUPA`iOlBz793fM$sfDa{3)UfiovhXSFv(Z;b zX=*Z2=Zq0_PP8Uw(;L53se6!$j9BwNm?cwtDqxEwizh91zF(Q1`}Jhxx9GT@>T%21u3n~01b0-JaERTP6edFApg_A zCCcJ0%a0~iz;bXhp4M4fj?{2B_O(s({Y~iXFRT zHJ8^fzikt6ZAFSlOwMIo`W5HWJt9ZPY+{g1Cl%ca6_u+yAG0s|inr{HI!)UG;5SI= z9!w6Xd*=YaXn`v}E&pRc-4U${2rCrnj?Eh=4)`FxF7T~A!VAB9MHH&HJ$#* zXGf`Hh-!S#n`}uUd{vI-QvpA9Gr)O?fXZj`yB@U@~NC0P-l=0662KwHG<>= zr_?bAG;|N`%%~a5rvfhI^YaoVA%e>1p1D(7lbaPgk+R5SevZMhjrPX@`5X(fIH2x~ zhXKN;`*dZsqtef*D1Pd;TwoDgjL9g#S1ps#=Wt}%UV6t6sl7lN`JRw&5AO$A>6KM6|O7}RB z+QdPb0e&qc04iI(LzM+vp!82j`O2Rh(0F59n`X|kD6j|`4|e_rkY5Q?0l!s>?cv}V zt?gZF&jGHVk+Ln2oJ)5Z0vNQxJ4PVEAb*_8a0p?}rF(uvBL3NGqBj{qgm0D&Q}?>-}1+W%qkuIFa5T0QVqek6G8_ zm%>;Cjh8FpgEz@JZ_;OubkC2dfCq}Vtn$0~(y`yufom^P4w|&AaXGry;|$BzHLerk zcw4Kjtp<*K%9#F+zgql8 zm=?cak>B0+$wyH9SET%z&<&Co$7P?EEDrGAG6D8T<{U;vVU(K`eey`w<#!x#5_ zgqf^T$?2;+^mF;+7>%^xii=zrjSf&%?ntFe%u7{~$*!W~Wjz>; z^+B?rf_CC6(i*6-BOJN=JhL<9 zpD^04K=Nbs14YCrB=ncQdJRNSgB<~scUHBr6P)b?XK%Sl%?^g~J$3x3@C`57ItIF9 z;PJey5o46*{c7m16+lG9kmUlcCq@_DzOD`40Fi)EMi8Sb+;yh+^`Gxu10pDKIe<|% zFQvFU7gcTqA}EywrDD8Pt}v|TDu`(eN=2bmfrnufT8ifVeifs98Ilj9>mpNKmq&b8 zXDmk7LXbQd-E>hE^s_eQvxi}HLxLp2=SNv7oFZ?w7XFDb4ngvutMypyuiopqrPq~T zF}6#Ppj2s?r79W56Wv>WNG^ZW%F|vM7Y_%n0L@&smeEd@fI$zqb)ikwTC>==xhBl5x2N3BDy; zQ=#^AYx%k~JPn`1T^}GH`o<|i+BP_4qb&E>>j9Ar3BDHFgi+-_;2G~b6@w4cO5~H> zIAyD(8N?~yii_H##yI605yYW{k8h{EC%*_EzEx1}{R&Rm5oD!8Ze``x5jf=sH;BUt zpPT-(iN%UjcER%d$HO?~rw}XkOJG?$ISr@$>;bV1-`(Z)8td~08rX2kJ`eeDG*0== z#Y!EJ(g*%F2t*K#-^7GZc3&;3SPQ2d@`5oJPB|j5Qb&Uao3H&AL_tVy_-=4OQz7`E z`sWjKo zve&ENl=Fa)DkXeL#3$ zC6Mo^;}k_^zM?)9`ZSDFa(s|13138ST{;T&$`i>K(Q!(F!b)BBKi%<;$8buaACfEK z8{pA_%1oS61VMU2!YMc1w3O8nE)t&o3q;`WrZ?eh-qq%un+_kY4v?>TW2@c6N;za< z;BB)&Bt!Bgd`CUDDSJ757+Z>eN8L)JfpTrGA37~+0AJTu;7izkY<2mV!HSmm${uKe ztrftJ3;exK3E^jGP3b!eu=TbO`B*x(R%VT2t?C-Eb97~Ft>OZ)g!0~@gedPlOI24! zI<{5^)g2PH-W6uPgunx%PY(l;7h7wz_1vu* zTzVKp9&D{6qrBlRR%&GErL|)o#MXP=*xDeBt@rs@sr!_Z(&~H=S+KP+?BqrZtkf7` z;ot-1u(gQ-$&alMd0DB4M;ErjwUYSp1-3pTc5kt{G>F{T`g9oO1w>Y_newh0KmLWS&x+XEC4{Zr zdA+*JO@vd8v9+553FctxkPS!qyjMNIq=shg}+@HcnMp3f~uzv+@`4EP!RVD`k?*xE0It*;3vPx3Ke%Y4J_-I`(Rz#t?yw)$k| z>m5m~R%tkh009c*t>C^^g=@9myA)gBlOT!MIxNgeec<`BeA}l%1PJddC~u9Q`C2EA z3e|@%%8&G5>j)WJ{ZxgmV=Uu$w|fsnE^Pf!LV4>c2FeQt=GwnLi>>1<*!ocbTPIO? z_^BKiywm~zgKA;`&FTtKeh%0Mi`K~yY7N$Obb0Hw`d*0~-h2&P7XaM?0b7@Zm@mnF*Tt%x zK;*&J#WKqKL1KhEJ?r;ei7+}FWirKzV%Ve7U4Bmw0eBgX{R9U``VA3}MD++-^R&O&~a{k%xF4!H5H zr6Bh~g=~c-NFw@++}gp`-$Rf*C@(`MTgL=!JsL!L=LNEL9IPDoBV~Fz1PDma2hh6hPqu2v4})MGcPv$16xx;mFh?Na8{rl`RDfyKeI3^3tQ7e zkihj-UaH8~W!wtrAD7(NdNGXha{aVaUZJae#pd^6YZj;?VU+&`H@LyoNG|mnw&u97 zRgqAB3hf^#-|qkAyE`^vYpw@dV=~IS$xC5jN3RR3u=Oga3T2f42J@BQUu=3~;Jw&d z!}8;mu&ssfsPFDo_MvvX;g->~g*P(2Q+CfwJ9p6UY&KF7AUK}c?t zzaqp+t@gcNxM&8pbpTZdP&KzO)gzYQTxIgG4R!-I*bU@=EilzL;d1@oEr)GgT-fH3 zQ2wJ{rfTIq({lP_*w)p9ZO_RlKO{2MPWh{5i_);|#Q-D$<+pY*)f1LCo-OkXw!Q4d zwwDxado`@7u&uZ2y-Ihy3nEZ051{-fgRE3X?~nTnPGei&AS8f)nj7@EdJkW57q-0t z#@_Iw{C$2_>NoM%mh*hrHh?4x%I^|ls;<62^Vr=691gJ)U>Sd1citoWq^F(YL9e^ZY z+c>XQ3VzBf#;#wBZ67JP;u0C#CMrxdNqR6e_)`#p!LLE}F^vYcP4#{&wP^$*H>h3M zHr>Zc1-$7?=bi2^Cv27Mt%@z*#p9fuZ7O18H%3P6^nkzrJ`{D1gZB7W=WXg%`PW;&z_!n!)aOuY z1;L8&i*Z~^zV zIM}fC!U@>65mZ4?1w+i>SHAAURvo~$uOJd%d9iIPRbksVzK;E--U}iRMBk5X+x=Q8 zZ2L}ZbtC%c7UlZGYf>JxYDF3GbBis}Ixa-H=v28D?_5$H=9OHl}U;D8th+I$-RIu05j@xX9 zl%H-JUkls9P-;J59HlChf85BhF}61HPnOKeZ^p`8ONfyfWZg$i(h`YNym`tIx78r$t~N^Tdhy)>(( zy^N*T)H}C=$c62tBvjyVvr?t~>-t_ghwbH|M7bcgR|r!=dqvNh@}GD?1j(hKg0dnj zb&I(6+Mt@)e!CBn1>36%tW;IG;@I0G*j@#URdJ&N7q8c?@}$@Qnvd;wLW$}DY!`y8 z)ZJpuc|E#=$c^oHg;Bxnyk1oTvE2(>V0w%3xey`F`Ys^=f+J#Y=%>w>Ym0xGD+ z>vgC5mzw)-VEeriBoW*1qXw~EbRBCxZ3c)0Y;PPy1vMmAubQIow((D5`vXv-NeJ7U zQFv^B$Sd{xyc>vokSwU67FD5wI`VtZE^UDAE&PyN*xr%`3VteO`~P_-wm$~O9`m4r zdK3c{+#9eD>|cQGt)T?$?Cej3SiRc0^N(yg1R@W%x0O)=-*Xm-?ykp|oX7SKUTkl# zU_0Aaz|VkNym=VgJAtuIA}Y9FVfAXNl(#;+8QVQUNN#NJN~?_R-Gtjia|VD&#P;Vx zsGu2Dp@N5HPv_<@VEYSD;`uPPzeEjU`^!Eh)b>^o`60Pb!6Uq0Edxhpz6E{bl>j6G z+k1OqNZI><`QBi@RhVqS6)^j23MzV)9CO;=gw!v93fib!17T|bY;{u04GLlVAP*{d zQX)Cuabdd;9+L>W$=16vwh!~6g6;y@8tTFJAu@`@Tx4q)=*CK@;1#vp2+$3OK=zP{ zZWQQ7!OQK=ddb#DE^Ipiy1r_;@sM*IYz_1g-6TIG7b@r%BwJHtNIsOkOe9;M_^{)T zFe>ngG|X8IEVq_j@HJfZ^nw~LOTMPMTLxQ86_n-|NU;?mT+-VU=;?}`JDcgw~09iZL;k^E4ms)Did_M&$IYNrMKiKu8O zFE!j3%G?AU?I-Z{6ZjezV5KGo>QuUYH@5GQz#o(v?V_rpF}`Ovzup1ce+6H^g0IPL zsw$Z7yM2y#8@3+=Uq1#=(Rf~JlJA?Md!NVl!)|Op6h;Lz1XgOcx1dg`PS}1FeC+{W zQ+cWBzCIf+T)_6@;0rFV70h9(g3nw}_i7H)SKGV7yN;*`MlJkV24dNphUV134AT_u~JKY55D{js4iKs9WLV){TiUE zq65mFtkD_Ro(bwJ;A=Ur*D7Iw@Zer-&-P;bIRzDc?qQ{t2alY19;TD11W7~%tHV@P zu+Fun{HMQQdp`KO2)f|a(f8a} zNLexN<-XW)s|7nM22erB&r0nIjh3>TVMiq|b~qJO@LLGRo};P+2~K=hl9A|u%WjT4 zV2U_IhO?sv=xT`YwF);i5(z3jmVb2vJMI>-LkM9<9S_M^SAbtZRB)8xN0tXy4Xrf` zMpqcu=ovzV0t;|qEnkzg)^o6%St3dqu+JuhS(F1(F2)-4;B37rmBLQ z%3Iw#ZpV%R&;j}fQDJ-T>nV?IW$Dw{@wNp!1_e+d;woz(tJfdRv12g!dI#aAa?D!;r3VU&1ull~on6V!_W`M65;HxrMRSQ-> zb>=hd_!NB2248)+uh+tsM}OXi9rL`{F;_u_)w!>`Ty4dTZLwp41PNMtNl?SU4oTXZ zyKy3j+_=s~P%q=E<=*Qz4$cRW8{g9qI>IU+E45nquJ7euAhKY`V(1@Vh)nf`yz;Q? zIqX;)!Vb9n=h&by)tAzr_VHywAhKY`M)0*mWU3u^RPS{V7%3RIUI-;4ADw zg#*<2_7rTL45P@a>Rfy#jBB^?VTT+blj=Fp$zVS%%I1l~dU5lnd zg+qd;XSVnSJ1%;#XUo1<7N<&8x@Z8Gu1@j5%;7P*qP$RPOM<3gQ~F8X<4!S@IVl`u+t9n(qwM% z=Ij0HPO2e9)Ns)C>L$kBTaVQ2XuB=GeKHHZoW;zvDf^|7;}3<>HrLuMGW zVJiNmCw5i>W0eF{ILFOY^OVEZPEqWv=ElydVeG8o)l~3PKHAmO4?FKvAo)?@e2JA> z6zH>b(*^9j8+_djzLs!}(i9g{v*h*V^Fp6N?|h&ie)I6oc6LAoYcv%`8jhvYW6IE=W4zf@I-3 zFDvz>>vMmNN!Zx|>eU|9aQ|QH=gz0)i^GTfh@G7jNKh|+nWgZn;L4rTz>i0UZ&O4}B{gPre! zv3H?fKg-P5-tg1gx{brm_n}_zyRdVF#7d16&Ne*#DTqYu93Dc2zj9v(#MpOZ%VFn- z0(OoLV&_-^2E7x`yqs{3R`@#|QmF8la=HDdk7DQe5F`(FP70EYlfCdu!OoA#T;ZJR zhF@V+c*;$-rVH3P4NT7TGLTs=Y0lwY*f|4=%eJ4!|)51k$hmGm5Gc9mM(ho zMeLjh<>!Ibg)U-o5u}9y>|A0YTT4K<#0_72oGj1HzMc zJ9e%NLGobd8im+cD?@^{C{sc#<0rn#N2+II=X!9oUck zVdo*4I6Dlk4-43NRITUHApCM;=O3!>IOvXp?nH>>JPBn^TF}*U>ed<1odKOJ5#2ct z{F2et3VyPc207E<{|pb=xl%|IC>==gjQgh+FFJJix=C= zd#PgfY}*H0pW1@$St=}kL_Wf!KaCb^5~}q}RvxxP2Wy88)?O*dO1a!eS~h>Cbs(+>h8^ zLxBYdYioOEce~-Q5Q@#UG4ty ztFH^OeWVB5M<^(^!^I5lc5PYu+%?!f3QCQFQj>XIQ>Bq(uUUZYW1-X-D7A<0*Iwm? zyPKWG_VG|^Jd~OiVFq6dcHa2~)b+9-78{D~ce72uhu-=sbROF$E3kM`Y=)O@dc!ty zlMEQspwu)d1sCvWY#jBu-(S-e+h2oHuR*CK8p9Y94(Nyjl4GR}pJr~EAAU+&e7w8s3Q58Hzg6gv}Uo6gBok6*D8+dl>y zaAPm_w})*y?;g^l<8Ro$CEQM@HD)k=+be5)?D9}B|bLGjkSR2ykQZlC+G z{SOJ-Pli!kiZFwB1%L4Lfj0W53X31b@A0xt_u0DRwXLu{Plm;X;vGV4Q>Wn3TAM(q zf85yqcL>G1@KO)hYANHYVmn;Qv*!m;{6Su-n{QEMO$%&~1!3`^_`@z{@Db%nwQV_U zFOsmmFpT1l`q`%5?$ZPC``BSqVezAQpD^3hSLt$a-g4~7lwon9cz<5%N%zsAS@mfA11L)Bcyn>yMDk9 zI9NKa45RqS2-`GD4dj#?jvZISrmC=MbbxJoQL=BD)*U-)$gsFjd>k+JQt;h5pXFgk zZP-*BHofd+r6$`>zyHMd*ijcY)rCz{LTuACUxjsT=3_^F*i;`jO?Ruwu% z+!a7^cI5)aKU2Nko7cjQ`(V?(u<7#vGq_xubEwq|*wG0#{SP*+h_Fqof>*5xM6tsQ zo9+)_$3tEii#WQ%@(`>&?9tX9R$=jDM^9~>;^?Ws;>C_$5pB&E#*W84*wN3Xt@R7R zB4Ni9s=+i(iaqOtzarQ% zf~<}7W5-BHeV(k1g0)ewHpZpp9HYVlDdWi6ct{x+z>b#)%1a9T<;9MPWNjj>O@y^6 zWNiwpO@Xy(l4j*qSf)X_*T~xIu=X0P1<2Y=SPQ_~te}>2wjUN7DyqkJp{Rj8XV5Fq zO6K~o!e3|t^N@^zH?#6w?XXKLU!$YFZ`uq#}BGjY#XF+^I*qMWbJ2I`x(}@ zleI9cg<)+cS=$9`yF931F582GcjRW%AN>tGepO%rv-@R366`qO$$$OBaggM~j(t$_ zP>^jp>@F|;^$H|`>EEH`Q5wG3am@96mw&&2BsX>(31P=CL5=aGgdHcs*l~(Ta$3fY z(_r^M)`0Cwd28yDYQ2uV=tJd}#6tW?Z* zvcdSSkmQF23>SvkrlP>;>Mv$Pk`FryBG`#oT}~vg{b|N7?6d`7abssDtIJu=U(wdG z5+up6xX|&|Uf^|R`*FR&9(0Zbs}s&YYC<>e%yDbma>B6qu(Oh+tyO}WD#_UCBx{u+ z}(o=MaIrs z+-y?||8GxUxB@$ygTdxtuqE@avz0vlY5%R*d7BrKRqSjXWToy5-1gnxAF=ZeA0&fO zDa1ClQybu+4`63oFxVCh*5VlV*lw9$^9}55@5au1LfCm7-_*fdF?{=R?CdCEXNNEl z^13?v?bRpO#?CHKS7)fJhK<$rK%mL&-I>_=pbU!(JL_|U-9mc~^`4EL-Gi`ru=73^ zA*x56HosW4mMSFvd-e99H(f4sLyceTlXMeJ-pMstJAcgdwPUt_K z(0@9gvT5a>3c(^_=U`P^8v@&gz_zCqZS5I9Eb#xZptkm`7yeSQbA+s|jr71@3U)qE zwvB>q&%?ISWZM|nHX61)=ht$Mld$u}Fn0Fgu6fb-`>9zqu=6DscFIBQynz&(1eqrW zu=544R&0t2iyu25a523mhi&Q1|6W3cTQY&+r6)=qliFBLma^JqRDsXF4( zdf0g?42ust&)Bq#XF{+@*qKL2&UxT31v~%YB>%c@%KTq7?ED)t{tX$)bsQ&L$8qNS zu=66RE$W58RO~F^wG~80t-5^=cE%xN95NP@+KRzQv4nGMT5UPV1Ai$vC(}pwJExp$ za^Xv1oRblOMaDVh-CD--kW$`LGNLIL* zNmpRw`BgJ<&Xqw}JUFL9SSwc54-5S7^lEF@xNuIjAa<^2VUlyT+HLn+J8@186&624 zUPQ}SI}8i#PB&6poe<8cBVp&4EKG8)ldr1x^d6jZy$lOjB^__h4St++0~EhHs2OPl z={E*(4)k5H))8Eh63b$W&%SO&~2jwI=D@^;Y-eFw+C&(FAjGSllLrHSx?27iBigOPr+D$DZ z=aJ7mNpd=09PrI>oV(Af-5E1-M&N>R$vtxXIq>%RICmG^(b>#$zUxnt(>|e>|6!cF zL(=ZiQJYG#+OuEi^yN65`wQ&R*NTzz=-Om9%X=I%HsRc#+}hnjY7fb-)R?pWp{J&;1>yIrC-&S$w+Z?bw9tUkNs=`m~(bh9cd(Npe=)_4JZH zxPB$DSxGT+<}HaN$ywpT{7(kp`W0Z`?LH&tu}HGobIP3>VaN3yunC(J^eEeIS`l$LEVrx4`wwg|%mZjGTEjl5yI7iyB06{S0^x=Nz-?bS0@h z`~8)H6}Y|)9z#1#ZJuq!lsD;Fe$BeiyW?Eww&58mqxNGj`;*wrI=gAsY@AyFoCm4R zNJ}Y6&T=i6Oa`xAz{g5a*r)&h^x0-r!_<+Nv*qG=_7}fX$UO z=eZt1TKl=mE*78d2j*ReE5orG9$VI`*CM&DElJLb<$K)Wz?D^aj4>RhIaf2kn`~Bi zb8>~YxbpAtSnRjeMxNQd~s!=4_Dsr*BZ1{3PVY9mh0F3 z$7gV5#jU+|Ky&U*R(obB_wIeT^4DJN-36L6;7wvPW1rNu09RfoYcD_;InTiurld;v znye}x;L58*+FKMx&a?ljNphkeg3Z?A$}1J^g#aUG*oHqYlmjnIXFQC1&VdOmC z%};Zl@Y+~>Ubp}Gzu`4m8N*AjT2AOckHY}n;56l|*tyE;$KhRDkM>e6&AFP@Zpv9< z(#^#UaAt;Edo$O_dE)0}Hgi^Nn(!^ofIbA?{G~R(a3`tV+56<%@b36|1;d-gH0Nkn zlAI2;@YMS_<7`NKbD8Em5KfZQUUR|r(>UX#PkS?(=G-1ik~90piVw7hceTBcJ4|!_ zz#_!7@8y@yMOENka4>RMF>;>RB`2|&_4S-e5u6c*Wv`#+yi!e)v)oS;hHk(aKd9Q9 z=|;}uabJ?0nO(Pj40>(~Xm7q#n^{VdoEe+e4GqJ)__Fq9JdXxKvOS^)j<%2Z+`KH`HaBM#mrfCa8U@!MCul9_nVeh!?hP3*_JlZqi zMm~6gTuZAG(w-sL(tuij+&mYqZNVewTAJ3!9rnSt$|oY)a=Z96)D(QU27n8_T&95tFT?FW^R z>4RXF8dY1@evn3{57MTn(NjnonLdcF8~F@Cy&Y+38erZsHZjz>n|%p@kw%dwxhEz; zwlF#$^9lgJVfAppO)5>~fVva4bVwtB7-qUL`- z${6rp3t;4aF9Teg7=r-#Xvgz?0dN;Oe<>l*SqxrKsl~E!aa^JHh>M4^7>xW9Dyd*K zZ+#fzq1`ASy95dr2dTj_0fgy@s67qvYzGFIpXE;g%r776>mFJIvh6|nnNo5<=!&U9 z1_+mIO=)^7#uXJ)n%+q8wLx=Qu^U=0%x6T?G{DH4JO)e5&3ODJ_2)pn1CZ@kl<%}9 z2ekH+dLzNjSxW?H1Mqg0Rt#wdU|UO?4%o#-^J#$jSJ-F_=2t19;8o%Me@=m*MZZDD zU~)j1REZko3U-%FX$D}=pefDhW-NWE-p$}XA)1c>Rtqw~8sS72*YteRV(bV2+>7$B z5xLmnIzz#}0a^)H(CW_plIG3aF9yV2%wuQSl5#P2%kM!p4a(Z0NjW2-2w%Z z9ZB#|nN~sp>ALnmb#Y>v?qa_}(=|YC<|r7rlUdn1dUe2~AGzD??Y1Ab?nn9c{fVA6 z!iU?@>O1b&1Q{NrwzaeaZY@n88EEMwjpWlu5HxL{0{M&)n3hJk`uiDI{|Mu{6`oE7 zLkCd)jdF4>W5hyjr_E)IAt{$O6PBSD%!I|bUX0d)b7XW7RQb8S(sX55db>owR1TYpFS%~SzbNY!j;zp~-d$MKpy!qqOsxbE^W zy`GT1$bt$Fq5NAT0in}V#`SD~ajjf4dmvPJ80EubiD4#FxHhh7dNWjb4CTYC2Fc^=pk{*n%7*K(Vwlspauvo^ z-x!!fe?M$xU9G=Aj`F>t{_B{F+9?|@{i=v&ljzkV$hdBGGrivL)aD-GI)U=L1_gTk z=`LF?{kjh0YA-Xcdu@#CzLhVu1g?`Pzeh;G^|!xlx%4YjjBBLIxSk6#uJ2pM;5-NY zf$|>{^!nh_&j4OZX=v?4Bh4LR4^G z+*~_RK8^BW61Jr65hf8VTQ2?jCgaNF{Z~2OuZC^o-UF^bQT|h&EOX2`KOH63n_(87daLYOg3;ySc;Ow!J)A}If5mw=%Kvy-|G ztyBxc6hC8_#&yW^bk+LezhSCNkq&nTsh!jr+F9e_Rj$M9GV{guiJzYahJR50bde72 z(};(TGUX?}2zZzdZ>Wr6^0jSm1BQQL8cx5!7hNQ3Cv_bj^w6?|VRnEq%rnN%&@Ogu zsy7%I&cmdhVF5$8G~(eA)xz*DZx5$G_=NA0A}Fcx-D{}G1IRK^erFovza z&eZNA#8Cb+w}3%*Q9G$KOr%B0_&R^N#2CKhIvjjuO$->~Fj1>4V0eYuNuA-<2rW!> zSjBZ%%XK*X>kFC=1t@>DNQW6AYA1DuSwUKsFs$b~d>vuFc%xnSPl2HjCXp5C@RpC- zNuA-H04+-xz6mm4Z1OUOu_LaX2@FLrC9Nv(#Rpz$Cv}F8BwCg*d}m_}TSAOs!p)V3 z14A)Pa4QKI^e477Td6ZFw#LI&A7l8D>u|@Q4R?U?OE6t-P{6Q^*-4#YxoXite+-3; zqqcJ$-geyx;|I9yng6p$hgCspCv}E()_B+<(YvE?n5f)f;B2TG!F0Z|VD~q8N?*0Y zq$6SQYtU5nfr)R!**3;7udphpTE9oERiFA^b8J%e0iUVrPk;Fw2JkSd&Oab>dN^3> zy*AyTAyprCo2vdg)UY#DoeAIg@Co*Mms0Ag5x-|isy=3}`bXu_u26M3m~>fG^{?(y zR}FJG)3T)Mld5U2pUpgaJye~A^8auP_WFQKi>K~uj!mlmQ(-OrtT9T5t4?_fnjHm( z@+ki=o0WlHZH3vT%?#u$*YqGj>Rd;eJ;HU4kCOi3BX`NQdNgol!+h7Gk^2e7%$3?1 z4Bscha9(EGL|uAM4BBi~uAK)Ac9fr=Fmh+woR-WC>1&h6>=CY*!ng|k>@$p>X za-jTpg3s6p@^6=!D>ZHSJ`sjXZpv^08~y=p=8VFtfWZk(BQ8AI*+#*F}m3NSml zU%+rFSZW5C-<*~u3>5>6;fgSe-CSR<6~Istra=!|8K{3ucCxz|&#%{Ul5o#ypzNK6(KP^kNsirc98is!$c3XZl`RtscYq`$$jRQSll$o?6(KFu7Ek?6MV+B$(88-rLN5lGA&EA;dfDC@_80F zr)%xl1q@fB3w1pLZMdDX(WbGLtA3FAtYLs@Q@Pf{?Z8zTroUIMTuhs$0lI&wYjaDO zmL=NUBr%32hBh!PEOZ|_3=CCZGJRRVa2vCeIz#KAh2dtdLvt_F0oQq28#7!5Q}c^- zXv^%R&Tx0g!q5_`(w|JYaJ!Ag$i~db_pqTVO!O~VbztqM1G7^$F~YA-5&yLIF|IZ~ zrp^2;R28_chEEAZtXwQc9&pk9OI@3;URstgv~w|rdkk$LMi#Yuaw{-YgAWsU1q_b_ zsGZaqdiiKs!fU3kzY@XugBwUfFwPg}Kl*v%Lo z2{VT8tF~GR4A;W?1Po)Cozxk| z1uP7Gxeoog4sQ+oZVNEfg0Itvba**J?WD|*$n-mY%A;8W?KB_h&>p zOewt%@C6&nPZ)-%%oooD7(MS4s(@iu8Vr2wL>OM=I*jK! zESNt32r$%zFYt(Tn4?gLlzjo;@S$Z1!vwCwq@eB#7@v-uwlD+?_26SE2|BR8laE(4 zhV;ko^JELx6fg77G=6NmBC7?&0KOi+GZYZ`=RJkmNnIO0b|TtL=f}1gA*Rh+&#kBk z47u=0n1o{+(}s_u%0`PjhS^K$lL7TO}RUf{l6clLlF_oqi?T3%)h&FFQ zRmQk%p5Y&eo9e%I>joPdz=v-nD+AMpkMGJxn?=mugzFvdv-i2rdOY3WR^Yk;KCL72 z*;0!(>BsXI%pTzi`j}oHxtP!FV}~CEu7>b6Ad%1bSSR&(=KDk#K2{jRB0pnjx;G4c z8om)-SZK5QjEz}Wgz3(t&cMgvgkg!BF)ZUcEDNm71BOQMQ8%A}VYRo^4DeYvT9z<` zxDG404jUZzM1kQZbm0q;4(pkn)OFw*5{6Y=hc#j5i*YLl{R0e*;VWe#9rU+swf#w* zfuDO2hV?S@#RgetfHwR@XqI+4x(R&$NwNBZwPAi9qA{f3RyGAJ{!u)PYm>^fX``NQ z4O|{{LGfF;Se$MSQ#+|^!_SF`Hk$*CVXNUE&}Qb9C$+JAQ~1hN*vi1P;p6YJ(dGxX zPlRh5_u0=Lrp@@1%|8IHo8bd-2|i=m{G3MY^79eGuw7-^>uSx=g|OSI z4PywieM#Lv{CtEk?2#D5UWvu-!7lTr0>drv(JTj6tS39-w3cGyMjFm2!)ZRWI;uA#$6+-OIbNuvf;WhNbM1>T0zED zhsW2qQte5=1>Z}m?MZ&jfiECZJ7vSw%tP%FuDUAY%H{EO^p(4`>#}Xp#d@OnYT>7L z%7&|zOzjb_20XrQ_B zwv30d@NHGUysVv zPT6qv2~c~4t4-MS=+9SkUup?B_o9oE&ngoguERGwX>koFr6Cr4;ggmnRo|tWs_wt2 zGd$ae??V?8-xp+6KSfKYewLdxgq0;#-y1Yleb=(%BcbXJ@Ildp;9ylhtQ!@WQuPDos;>-BzaFaoAG-K}sOsmurLNjE z$pNXlyW6za^}|!Nsyo3~T18kCys z03Y)W398m7RMM(Xy=sn4_S)|;Ro%F2un|=KAbcIzpS)^(J0nlMYPgte{Isgi_I1;$ zPaF!b|MiyBQ1wIT;s{Ujs~#YI&g29MGyp0t{@eESqgGtD(Zs(wN>Rh{|W;}F!i zE4ui6Lg=y16)r&=zm%&sVH3f}c-YZ@93S;gt@3jQFm!`2ASWo#!v7Q1%s|3F3oc6= zKM~gfzd#aWCP%F};To?pt_gfpvUb}&pFxK1=%Sp^88NOkvVlP4PM>RiklG_$6ZxoQ z3LlkpX;n)bT|Nw7aSmIh|AsU1z5^tm=sbGlwrC8pO5KO0@X@#oAxfvX36Ct4P8 zZI-E_vfg0B7SIM(SwUo!#k6XJ|0IuHX;-@ZwUS}j~r);>+`KUd@^_jxBLOj0e zj6hky)dyW%CW^0rg49mga9yD0^!QrNr#-~DHASZhHK3x;abn*YeSgDeZ!mYZ37wlqKjV% z;tM`0U$$I!AGJrg6q)JuEsw7k-e?>Ju72=!c_n$=!$<3>owCuZl0xkfuFX8Yw(|H| z)CDgBuKwuaWYo);)%SJn*aB<~Ko@^W=mA*OeCCFft2SYis&}wqKAdaG z(+@~c^^@r04nc63$XRft^XgK0xYld@2+p1WRRVAhx?k3gwFA+`Jz=X8=vc1&bsk!r z;gqlMHm9ZJ7-KeDtvtcm5C7{=1zkKCV!`p^$-6hhhNsZQ{i5J#6e&G}hwT$#IOJjs zM_i2I?Z!*ti5xr#T|5-DYQv(WS-A8Jw|Z$=!f;e!3@3~>3yow?s}|ZZbTGPjOcbfD z($L{fs}6s-8N=x?)1j}@VG1w|K^On<33O6A%!$lACMU%jaKY`&HbTRSH zH;c*cA*yHUJy%Z;ElXlDsxn^`1Q>%FSQi5ZKe`xm3w-fdnA%C5p}$1S5{4p)FK z(qXJZ?WE2iOSCLus03B%$BXC{A;yps{5lslj6~5&lE4=)tJF^F3{%{+EMchZV+>VY zjA8tl*R(5m&!K4LpnzeTLhYo^@H#C@j+@b|6~<7V>o9jfuZqC%Jc?Gc2^eOo)KKaS zZ@Ot&qQkXZhuT~RSFma)U>Jp>*NSwQ>!Ws3XLu(_%Mu1R*MZKf3dhah&QGBW!Y`oc zbs`-;kf@#18TilBf-2#Ti3WZ4B7kunN7;fe| zH0L^Wz3;Jx!0;l9-Yn8#nOu4XKK39CEdor3+XBoNgT}qQ7Z}E&=&d3hmP@5);A0QM z0Is3^xqx9jiry{@e6cDG20r#63~hppp`Dj8+!?li3JfxewowHP>!=K+ zh)F*7APjdyRmSn6J=dYz?zVQ=@DhsNEeRODRwzX3G5MrQ%MynBxDNm0I*i#lV1<~rOTV!rq*5Sb4fUPjT*A{{nMrDxz{55fRL$U!q81&3_bje;j^vxXjcR#p=fuTARd0EGL(XWk39%O zPd8(DjO#F|SNkTwFd0RA`UDJNg+ipR10Q=3hTdF<$GHw4-u-4VFib(wJ|Z1<%cW=F zV-Ld6kL&P6nE9gD=yq2F!z(D-Po%?Msq_qd>_Hfw^wG;9Fl=b^OYIk+>ZvIDWKiIO zgQc(9^!*c3HJoMe%VBHk)m{QsPeW1o;Ca$HK#Xq#X?vc!_c=DH`f1fv^*t?~Uk_Ek zilWcB1yvs@{a)jqK@C~^k*c+Ohen4yGNd~l?m;(u|LyUxVLFNqmjn#QeZ&a-BijAL zUNB*NW=PAc<=dw~TKQA3MgI|o=Y5P}w9x>8VfXR(YeDAMP*ij?y1ey<#R0cg-*aJV zTdPmZ5b@A_gkg-r7{(b55EyE9KcSsmzmB3~Z2}$sRjHlSb-2h_34`or3=@n72n?qi z_tH*mXP~Go3dI7s^bD6mv@BtmC^LpBT!(Y>+rU;FK+%axavjhyKedyx4%rz#T9z0= z2-881*Z~#KM$tL4fZ<{;R z5cUt**QvBDVSw{f>WdG#4&I8Ry92`<6#YP?LtLSDL=0jbt`F0)gyAEu!$L3f#k-xB zP6LLwQ1l~#4yG?ErOp6nvc``vEP|?xnram9WSywNEU{W!WdR?9SXj?;&xz|hoWED z1PqgVKy1^w40Y{8DEaNQ>&n zi%l|P*z933`AMTy+D)tXQFN0cU>F>thC~eFcJYi#%Mu;7sEpwULkAc?)N3_oJ1~5J zqTjm(48zlihv#Jr!;f5tU$_qW%Qp@Ih9HXmDAEC*1~h)D>oDF;%Mu;7a~*aDSUljn zzq=P0K19*5KnFZXrFKLN!kEN;nTCX67r)^1YlJa$Y}C6KFw95M-Lk+JX*^b(JxQVb zgkdkc)eR?8J?i{e8>;>YMfZwaFx5wkr@s3G!n7=@8cuNd$<)BsO`Aj23sCf+Xs@SB zw0O!@XU}lcvRc(=U-Z#da8~xRmbhccn*RaA$0(`>1*)? z^6Z!p^Zt@)L)XHFg(!O5Ct!G!$tYqFMqu{b5alNflerF4U5ugs?kA(b@Ck~Zatj#V zqh?YcxtTt5M;In>9VT)eI_&i9gAI#N^e#VGo(fFXOaO6{c1@L7PCB@DA< z#xRF7j3_+w3otA}(ThHTFTO~FVWrg3-xur$CJwr1y@0EW*|EK9_&CscYJn)_*4qQf$-Lx}4zZ}PUz zzz{+)hfkox-qPz(oxnN(Q1wa_yGB%XgcVO+aDEknR9(|%+UpLUQCn>87%C{^UjT;nDAvN3+!yw0)J!Sjp@u>W6NXmi2H5=QrVpX&uTZR& z$OUdUEuQl3n?71is&4CIyWdq}yB}KKqzW*6jbd%Rg59qxm72kx8=++hLpOF-80!&a z4DWn3Y8^0aK(X!~0YgKX+DTaldt(+tgrO%p?#CXpF@~Da7Iy)|Hz?LKAYf>k219cz zL+=pt#p6E4a97rj>w!T*vEC5@L(4Q6TKlPnM2CJn9-dGbL-nY3K?ZL`v3{a>XroX& zsr%wCE5nn1ro$kv!@&{TeguY1DE4GXphNpK7&=-RhHxF8<~kJ4uBM$Qev4v5L^^b# zGL)iQcmPD!f5aDluETIQ^F^nedo}~ZcPQo;>ClauDFs6hE5nE|^TqQrV?bHAYe(wM zC^ph1@C97aF@CAXL!SsOOLTa_!x+Y>jN#vH4`>6GEhzSaU%=2$qIObe7+__1F~AsP zuEX%1JGIAzzDKba!vcmuX)p}6GECq)OyoMeIRBqpfnh6(O%UlYOfJ0+BR#Y%@x^4W z!&Hg;;@#mDf#C-fn=H~{R2mFptqjv5%onc(8N-RW=rLf}hGMUJ1il!b2E)sKiw-lq zjNuI%WB6xsjj_P+BZ|!k2pA?S)K2O#InBy2E5sP)a2>ua{zyA#{|UurMFb45rNJ=M z%Aj2?GKP`w7(-cjWv64H&wAMKGm6bk(1E_PV}Fy%K>8@{JPh0CGTW(Z!^a~ePT_JL zq8#}(-_j{;$sxT^&$7!0%O<>TyGZlEWDE483 z&luOo6swH%;^P*=wa~}(`qZb#GjQD&3S9uMFp4cq7>YBlML`NyHhS@~4B=YhVqD93 zd~I7=wH|QoK(QrWYm76lrE=MF@$n7e3PJTo+^^vAHD=TIZDGSs6bp&sE95R)uJ#JG zN4Qq<_*%{5tI4(B{{mdQP;8|rzE&_hW#dOa4kBD@eN3;fczjjJ@@RhCjbdv>@wM7V z_pfZYx>0lbDd+|sUmIO4?(t6BPT<;uVjD#91^t`xD;q98ULtyJQkY&_cznHd^K;M- z;9pVfTbm&6H>hRH#m7*D>w6ww+jxBK8eO~xxPC*i??v$iw}Opd+33Z`RfOv&AJc0) zkFS9TzrPx|_M+HNqWIe4E?cf)GPOszcJTPx&Esp>@h;B+*FF^6A&Rdb6l$kz^y1?$ z!nH?XdhJzM+;1Jxe=uY`OTDjBxFDGp^rxd_DEg^x?pD0LAu)1aZGZ zDqF6}3bjYL4*M9_Q669A7p#04xDKM&VNrbTR;it`@gpC*5w7DrzD^o`gxlI@8rOXr zxPC{m;|cLaU%|BRmCanqp8C-)=_h?s)iib^45$1|o4?#_EL^kVghpWC5Q?2j@EKz` z=q^3Ohdx@CFq{c9hI2Awm@vEh24FahVrN~}xM2*36>3NGPii{wF&Sa_+rt>na~+!1 z=_~<*iei8J1q{c6rDx#dFTw!V=IOX3%5@lWblC}DID%pqL^}Kdkoqrm9r##_FvPhI zg(~w!l?m@$0*0d~78mL8r&@XjKCU7R#Q~~A97&Afx#ibQ1%_iNRvZ@iB2O+o10O?a z3~?M`4CR81;iq~pOa_MID4yXFF#O{#Jp&&v5r*hZwGMufr1b*RX7h&0Oo6&OyUc#cSiSg`aAd>lj=TwbO_WgGKFr$&!t1H&IE z?h@%xB$b|lk9i0~l@MdN+Q%5a%G+=SFq}g1Dv{*AaM;w+Gw|^ZVYtS{7-}et;gfNb z`U1mg6u-tRV91n9&%nnrgrTONF}S%7b;e#X3>f}I@tPq4L$Tw;e z*xm3tVE7Bg>xgv7p$Mh8w#vsSgdvyfaD$uqV)Oap3}85e;<+LnDg`M->TQ#cM+ig1 zF!RMtGGpkx?ig&vXHon{m%ta5rP4F-u?Jyj;$aL;RmLED!uJD19*Q^d3mC3WgMp7d z2t%^~V`#y3xJ_B{5HOrW@n&HGLk+p~I`FXvVQ9&9XvKA?`TD|-fFXk7Ek!!iPJ@Au zJqSZxHIw?W+rh^kgkd1pVX(}6u{>UV8ZZ=~_){VsI;FwD#~y@X zsE7ID8I>`7ecq-$tXGKQL;V6@c++6uV-LbGOkxZpB*suQ{m$!wp$Nr?g_ARs_jo8o z>hZwG9)w|JgfWcbI_$u=b_RxG6o1YmVBpi6rOv>|9)w|ZfHAztb@+Q!-Yj6agyN$` zI`HYuQfJ^}55h2x>+n*L`QrD;LvXVq1I|asi*(@Ao2Aac#~y^?WiQiVvW+pg7r5U5 z287}-2L!(0)0?Hvz{ehhVM>TGO!F~@M)O9r0S1iXQz8O}hg_wPNj~-<4AWhVVFuTs zNssXrfFT3Lr+WnqJ=16xeC$CO0$hh#T!%kzdG&c<$VBmgNQeGX>2=^^55n*!*WoRN z`C{YZ?odNUITU|Wq{Co$=^6OggD|}9XTEsX%@~?(ddv$9St$N?NZ^ZSrP4F-u?Jyz zFU%N%GGiFGZeA^5D39Xry95j`q@e>Jdk}^XRmSi!*C9Bf(Qm+zjpFnD0tPt^9r)OT zFf8Oce9CpGb0OaW40aU%M5M!%G<4u&55lm-!*uveWxhDGbx#Bs94Nj-q{Hhp97-{M z;A0QM@OgkSESDHVrP+(&dUb{q#Xk=VeDP))I`FXvVOSAi46A~S;nxKf;2KCq4vMez z2pHZ8QXx|BtD(&qKaJsJJGa&y{_$7{9m_TD_Z+{$lYvgGmr(pG@2TUTECQGcD843O zg(-&)cT?cl>gY=CX-+xZ&99|BtkOhCJ5mAGu<03W4SK%>Y1u2orhIC#TT9yxx%Hn0 zc=TSC0v=IH0DkMb`|16?0I(v8f1{)T*i+G~fEp-Z!lyF`plMzb4O$1>8K7k~z`S-0 zFt0t0B$I-3TGiH%*e_(N#BlKdrUF;6k2&9ET>J%8sQ)y;_)dkoIR0x11%H#@b^fff``2~)_S%B0A7jW z2fPBnXMNNl19Xh^o6>YZ(@QCuJ4p;?Dhx(T(_`=tT9iCR5kKl?fG50(fF}d{R$lcG z09HovqoPJ)ac4>jG7|WM0h)RRqTp$X0iK~<9gD#{TaogP4*;v6_-R$3;3%_N1|WaC z87ejuoTN}7rXa3pNz)bNZ!~LwC(lCldOvt#QwhNH%HXa|CqRy?P(1M_BI^f}D2UdZ zU8e(@UdrWwJ%eUw9S|JDUr_WTe z4rqFlh5?%1Y+-<=H)S-yf=sAhcX5H;mk8)ksvggK3vyIL1?5Ewj;Ac9L)}XXO@xYd zK-0T?3^4mAuPL9dU}iW-(+HqbW`M3l1tT}8uX{3<0pK;Lpkhd%;6#NQgonA_g<0#mC=z006H=1$BY~1!tREtPW`XXtfS# zuPo7use;&ELoufj1x>F}kr;$mgJ>gZlz6BsXzXgY`uX1_5l&6>L>P!PcHDLLa~->x|l{pk+Xy;FmsAB}OY=V|Kd%xISdcM*!)% z_P^$K0%#g&5WqWpOu>5+TXDg?t{IW8^8wI}3M88VFv)PsVQJYqplR+07K7Of6%9`N zaX{XO62J~B1MDm%wBmv;a=h>QN&t8rD(EP3agsxwV}n^O9WdF5I-&E{735Vg3}#xrgL*oV8gpDPitzyFz0x331kdZ@rBaIy8o zM_175I>W^S!n9@{1AWOA%zBE}%MW!~ zJtUe4Fgjql=VViwxD}f|n@a$PD%!<^BTv~78wM6U>%acHjoX1M7Zp4$7$e}J=K6Hu zOcSIqF6&WG@BVOG)yzuTM#h35O(R?*;T%4JE3cx2y0l&bT=h}G$Y65492;e72N*8H zHP_sZb*^NCtL%uE+9OYcXB}MxtR{=_|8QuVZtR0 z1B7kX!8<7e_!3la3{TmWdwO641*IM%v~!djP{GS01;1x|%oKEN3zh)PY!@)4=?Z3c zl+9^+ulKBvrV+qb+zjxw#KF~(-R_&(^32!~kc@C1cQ4eQyH*yb%@5R0V*1K+XUiyMiSEvz;`F4NtLYib^PJ9C`Ve zngGt_3ciyFSn#eox$1-G0I(4%m@81wdW}ktL2FN#Vz2kBLidCKz87Q)eq>8fuwa4j z`>_`{1K>@lASgPp{i;%fOhKzVbwI~{bHmYN5N!{e${OS6F&>&m06*pmE-sZ5rH z*Crox=w>CcNqld6B7SdQ0K6F$lzbydzd&xi@U07HnsSE%;)H{%5YWM|T@%0`_*n1f z5<{t9ylV&S84iHWP{B4)lbGPAd(0KIT$wjIm6C%|Z0&rEUXPy&5WpQ!y>VdM?MqM) zM$0c(`}|GFaSJNg5lkL>R%MJ{&jMormOLq^JEr{)J7)m$^A`fRmjnK#CICk2c^JaG&@ ztWtXf@IsgY#ytrN78C?dOuKFy0N#oUF8Y%LT1T6Pi!Cs^ixVDO(ExFV<(iG|;*6kT z0W9`Wz(Q1FIA0L>W#IM40I(%0xMWKc(E3b^4!AN%VOR`igjAZQDOgy}&j7Q7B^8{r zra(JmyA2g)3Hs;%tf2LQ7ah=Yo!U@v4GS3pm?Ja5N|BO)RTeLVH#Rd`p~4D+82rzG z)~8`~z;CHoycK7BBhf?xSeeCO;nlX1fV+0j+X#TSqrxib#$YF=jOI=qaGRIHa2Ic3 zX#}vk$`q_sqN6Sd#FTd)0KnF$P^h~1QUVllz-65Jr0JT$Y{YX;CzF$R8k)WFyF|J`2YhB^5jpn%@Ng+n_>EC`|x(KMpqtv&|WDoH=M{**TTOooICTc+C&J}c3RIbfdKoTdZ*$dr{$2g0}HruZw4% zmMGBW0oTYh9|3$O%m9abN&@~i>V`7_cpobC`;#m9p8?N%X~mZZ+@R8Y1n@ZyINDzl z@Q(9m>HuH|RQPpyorWIcvaIZ@95x`j-kWI-=yFX~f5$U?O0kAVFoRuzM>maT8@_>gWnvVd^soJH0e}yn z!q3wM?B$~sUmoyMkme(Rt2p4=B!EkN)n)kQjM0C#f0JxKruj|*i2 zV0TowD^0-6-=RCuf0u70pQuJQ-Nk!d%*DUE5@Ya?H)CnLivajADl9pxkbdpl`lz2i zV6{H#rw>@OCjGZ=#x#jIQSb;VwXWO;T#uomOq)P2%MBU5C0HK-(_2FJLWR~x^`h(A z|5_T+EBgp*(uB)VQm>+l?pu4G%mS|7sK_DE%X)|EGF;ZDmdLqy0;|q7U81~%%M~i& zN4TkV<;{;@0b6>A>InK(|Hn8ek2{*%q2d(S^iIyc?HC5U$i>{Lr8bHA>-bTyM zbO*r4QBf^9Ibe2Cm>T55MMI`E9WZN}YD&{D(`OBHnbU|vP0#QUz@WK zDggFFMU9l?3T7USm?|~^yO^O3z)eX2pEQ>>0G9-*Jp$N_1G2eX=m{h!xO?=C@idqQ( zGh<$AkONk8o6-!xx_(od0oYhJr|B`c+)dL6V4EOw@!i3aG1&k0-tPk7094dY61eyy zi5g^pnTyS?(*ZM=tEPN9VCK4jIZX%r(nr$>V0(oDcCwWOobb<`;{otVRMf#I0IX}S zM32GD8zou^KpTKJo1qQBR;nqV5rb>|G>rguaWlY&+$8~ncP`HXz=5d9D{}GUVQP>o zI3#3BGXO^fO=$+;Sg$!vS8yB4M*zD?46vtE67Y26e?JAlr%=(uszAYsv<@xM4Fz9~ z&_u4_ELJh38G!EuO!*82`QzjSu$PYkvaey7TJb!9hU=$mRzzDfsQSs$BqZ2r3#X2>>sJs6nP+c81TCW+>Ry zZAvo$pO?*PI^YzArV&6*AN};F==mhAxMRnHhLB?@DjE??4rux|I0vjQ(Mq_2H^`gA{T2;dZU zqE<9LlnD4*WX74P2LaHJilzz1ssCBQD69DLfaR?U&fqSd?JEg*L-0Tz01iV%Gt+f( zp^qwaS-|oIGR;R6oFlUsEb-88(QAV3Ptm>f#%LK6eaUZou02Qd-IIwNDl>|I{6xV|sqfpVN zpaAfivIWfM_u>fP_ihIGle;9~rc>R{0N@L#=m$jrcx@R2c8XXO{6%7byQGqUK6!OD z033~q!m0qUwx1d-6BlQ94N!YT!96|(xIb7D@U`CcIsxDqRJ7M70IXBSfP+I8z=H|{ zJff5Y{CU7{ive&fDmv^F0A63lfWxf{o{&m(e@DAZwV(Szd*c2@RCGe%+48l5bVs>o zr>Lg1)Vjay{4gy`Jo~4_ggd8}6z-PXu^$59I8=1jom{x2LzXlyemfg5M-ae&IpBrF z&?~waET8oq^uHP7QPIBw1+DMf=?4(&ONpr|=;#-+C>ZyY@GNj;jrA-9E*TZY!vf)w zyyJnUsMI!iJ2fuTyM~%@#kL6bY;mSH!Lx8+3)&WI&#}LRicugrp!E)cE}Zp!yVL-) zw+89X5x^`z1I%%i1pEhIH3|SHpkljVv}}Da$?$ANg;tWXg5@HX&?~OM6|9^@!MeMj z)W&)*qvFK*)af)OnU)8W$^bA*_l?~0E56FZ6s(!p>w$|;96Gob04Jj2s|7J=eK09~ zz$`3NLgM1uK?c}dD(T{Oy{jAsz)7gMj+8tGtq&%Z0ieTW0ld}C09z+fu-fa7b_2l4 zsJN9)0BAYcE(5?Td=|hvxq^4wN-B7~R@N{8oPvtmiWKC7A$9<<&e4-vE6%EB>HdoE zQJI4OOXA|kMtzbEfUlt9`(%NFt%G!r%LdRbQF|l?JG&X+gK|j)d-eKSyZ$^C72mH2 z04)zDm4S=v2P}YHeGKrCBr(|AeOenMOhd&Fi(=67U{V zq%v^vqp}5Xl*$0dCB`6}1+NWG)Gn>fK*eJOF=(CcCVfEDJV3<7vYP=;O5);1d5_Eo zzyK)p9*dCXSi@SO(3Qh?!z}IXg`@tq#YW)L%Gg0w0k&Bm7d;A_qT1S#5ZfcMy z_`1pfXD7NCMl;V;f9PERd;=BF6u8*>x&@o1} z0KzFz;&V88E!C&?-gg|h-bBRnt}qSN1}M+6FOG6mFwT%M#(1K=t}1tm06K zw$W0p7wD`#J2wXveufZuy*Spo>RtSR7XcVgphsovjpf*kI~!NDC9dwGso|kl1*OzX_f%Ua}kj=c3~EYH~ok+f5BJ z1+A~f5I}5wg+{--WqsAgxOsHI+BOs)1Ki?ERPb;>!VlF3z_(HHw}Nw}tRa+-cYoG{ ziVkS)5_LdpyE5*u^2y~i1@m0&Y%{NNBmuDaXXVYO>u87Id8l}sFS&w_UlmHo6tqsd zWdK?xYt;cw^W#8SL%}^EYLB=W%x4*m)SP?<$W;gt?#cTYr z*@uev*~TsGz6;pjLB%@~t~KN!^;;D>1vFQpb!qi~3u`!GF4j%KlKD3Jv<_tgElMt| ze;Y_rHln5RI}%zQo4iTvxk}pG1lDm*2RE%mE4wiSY0jG@nua0mE1#Cu6l&6cTH1OQ z(lV=oRhkADX8ur_j;CyiI-Zt=I-Zv6WexumbbJ>T9}QV!fa;i_8PU;tg-#_pX4VZ* zCbB<1;QX&TCff6A`*@>du?KscXpiV<(v0ia+)v9g9W!eKk^bX4)`yyC8t54HF&*jG zA9*}pR>w}h`P$vE_fYY_o-}o|J~5M0Jesa_vUtq2K5es6s3X^m>v)?7$~HQl|Dxk1hu?p576KjLN0%}Z`lOWOv0Z>Nr5uk5(`lvD zuAN*nuHzj(%EIIE&Y(F>Z`bWjdV`MFc$tpZ`2TymzEnL@UwW?&==cG;RK@+@<1sOQ zfDl{9A!_>ZXc@z(;&|kmaUI+G&6<(^F|&(gPSbVlW{t-ics$;a7>^*9sDFe_eda%` z4TFN{QoZ1R*U|L2y~eKhkB^5bC)pog$^J}uoJsTxL-bfbU$hG*AEHaQBn*Zw*RB)yJE-lq^?|*V z;xW-LL`Unxe&TrKnsFUFN?ae>Kl=UVG+oD0KAr|TcJweEJ9-mzgu#s{9%0ks1??iB z<9u}K9@~G9$4tw!hI%|EjE_>%G1Ky#Vrt_aC)bSY*u|s|)lqhF&FFFF6`DluR$S^C zWIFa#{=1G4s&!ZGF901sLYKOwaJT#o zM#!9|$KzW*o(Azai1&|!Qs^Hc9&;ML_B!ae09|?_h5j*dzk^!4{z#%sWPf}q^pDm@ zqf?AWt{K;{I}qtVuHy$@bDFN>Cp1Zq$1%Kr9Fy2TUZ!1N8XK&8$g>`F{1{ytnL_`V z@c4Jy@tCxKv_4KQjz_K;*YQ!4KD1pggAPppY1-;Kt_t!rh{snYTe-yMEIu8)G~In* z;_&7m-$HaLaasU+cu8oc5&px&0Y<)Uf-2^+8c*>d5ura57m`cFq>n+nX7KYBp zONOE8aV)G2zu&QykPkEx9aUh{^6$cMgvj^=U3$}>{HS7m@LNBdPLMRE=qqn|b~}Cf zoLnj{Uq7X!e3`O2jYOa6!A&CH;!uk6)jIjl_aNUQbZLPqkk9fYc>3~XXZYxDXuF$s z^f|dyTt4#z$429I6ta3*<3-<46ulE%=T1?+y7O0Rx5Ph1msSRn%a<@=uYL_;u0-o4 z5(G;&K;f9i`rLaN$j7DP@;w!ze5~<0qJDFl9(|Q*k}ls5ffVJt$~9kmNPRK7^qpWx zm@v0-`tntmD0A6FAD4>DHzYvoVDh0q+~zbQA3A4BgT8NHs(qic!EINAd`r-!U4p(Z z;RToU<+EHkFN5ggQgQj7u|yg)(FK)D3i6%urx<-zZ+Uns$hQ<-Ix6V< zvTu-S6&hap@-=mv?WN!MajCd`&w6PcJo<`*<}?z0jzb=v2J%Hy?fVXlo%|%o_ZhnM zk5|z5b&zNkWh0+gHQP&HJ}woPZ=^{UHcM$Oo7H6B@6kk$%#~YdHwSbLB8eKCIyoBefHLVT7|Z2L_T{<*_=k?vp4sc(>D4v37T5+kxSF|juv|) z`t0o$oAKlF-DSzA%h$%556WOCmr5(E%Qr1V?Q!{D51P}+k=Hp>;%T62w{VK`9Uj-gwuM5q_?;zU;L}(%@3v1ZkPqL(WwX{B# ze7bzSXf|SxM4yvO#pPQ77W5yNZ;@Y1^HHDTc5BX`m9Gb zJ^F?*6fWOT>+bqA2?j|NPs^uA-^+e;njU@QWlI|DJhqSZnA3FmMp^Sg2JGZgarr)D zEL^@XRBDem-j&QAX}lvY6MgLY1=@HK9F+TvsJ0U1`x4up5k=ojKdqKXAZfeynqp4V z8}BqrK0W#-Tk;Y468lZq(X=jDIk{9^zSTa;_kZ5r2405p|Nq~4I|s2hbI#tK!yXN} z?{1UaCAL0_P@k<-LToA@m1^^$MA#&$#3ms{>o$b88$!}XMMSHlk~9aoE!N#}-2dL! zHFI4vvuCDntIz+xkH>K4x_dw8b=_YxbB!k7a^|G9eYy>4sC*+s*_D@Ql-2erIx217 zlg|{kK>3z{EqkBRzgq9hmLO440xDltIHmMW=a)tJ}zh^g45*6_u90 zYHnjV3<37*<1C;i--;A-)8tEq^lnP!U8M_$s=k)Vmhb=QI`*}A{Q;EkW3Y{tEGqBZ z1T#zUXyvVTaZK-~l)l-_Nx9qzURt%9hH#2}Nr?s2Y7xVd|&c#ntWeJFgX2bmG@gwb3^%NMsk!d@0|S?ly526rdqXqT1C+0yE4VhQV9~I zw0vlAqouFfr7?XtMZT4?-c2ce%~J+9TKYa=PP)=}wJ{uqfa;o5ntYphiPYr#A<7ce zU<9aux@|I$%$`3Fp z+o}p}pH_KIyN>tjciDmREeG3*q=kH&Wz_;jRw{3pIVqQsAeXx-#2o2mM9AfKW==|6 zynqVbM8?Dolg|!ED{od?x(ZW=>Y7xVd^^#S^3&wojj3vGihSCO`c%G6$?VGee{>yp z)c>*@%J&J_zOiWg{^zrfZ4$c-a=F%B$4@bRIP0#XZO9NVNhIByW^S5%f1r=@(<<+w zpyr09uQb=TZ$ZB+W}$p5!1hbrqV(-dFcai*t-FrjQu=V8NANOCyN>;`KAa+-S=X^A zqz`A^b!bv)rSGsoDrL@l+#sn^`pU!11}%M=T-&}*t1c=-`91~P0jsv}h{Q~2@|krV z2V?qhihO2W$H{~~oORcMTpC>4H2F>$q*CNNmt^Vj(q|Wfnj5wkw!dim zOuLS&dOwcsQ>B$)J7v-KRkO*=geIR^*Ksza52wgy(sfj;8qtTd>^iFD#q{Bn(r4Cn zXi{9bc0YvE8nSB19k|L8i_)P1=z%J&%v)hyb+YOVw`p~=Us zYF$S)I}1kL6#4#t=sI*#Y4X_(Qqj_9cX4Q5`gHrg02XfH;$V(l$F2MCaG`uD5Q;5I z-~YPn$Ul~1I+M$#rO)_|y7I6~{vR2AI9mFQ?=H!!FaX$F#|+_;#H}#F+_ci?i|XB! z(pM~MZYbXsnQZyA(q~$E$4z|VX_W7C5E=!|yAI>a<4V_2xpJJDr4l3%+4vT`@=R*} z`H(&w9rxuois{{`e3iZY(r9{NOwagExbiGzWv66_izj-iw9;2oX5lpXE>7#+6#42T zH8+&6O*BXOAoFkR}Uz#$~s`O(}gXWi6ad*nI{cvr-;V+kH0Gb^PAdD9x83{3l^7S%UiBlMV;f z7j~aZv*>$la>4fN*JJdrKzKN-Q)bb1>X7JXhs)%#*U~mgVaExX{sH!DMfHzs@SXwj zI`OYC{?RZ_g5w|fs5bsFtiF#_f;C{jJq`7q5HU3$IPibGnmzX>!SUbJt#j6tLc!Hh zJZ#_?80B7rq~O7`-#|f25eix)z|k+sy&jJQR*m#QK^yeC4!!z@xz{7M)%Cx=5(;k0 z;1LtfO_NkF;oMu{50*RkK|v=83Ob_gc`?l4f?HE~gu(Gqik#h%#3Ljex;seDx`)7h zQC#@5w?xkF3qe674F!ETenFqq_w{$xf`SJVcm%=GO9Sa`8`gA6M<{qGhKDGe`VuP+ z6g-;31FeO^7cwRS1>>UR zEH2?+Nf5tGGa<#VgO6_Cz8(r*L+|l%q5Mdi1e}t>BMb#oQ{-$~6c7A=hRmWB%#2^T zSFVpv=rseq=A^jSJJId^M-M?Kj6Yk3g6cu;<&3Z0pScYR<_Do*UJ42p#kkjd!F4ya zFF+>|3Kk}ypps^*N~lV(=np7(KZ8dM9Fw@0V@lk4qy@^jIE_aH9Ix>N9TS7TrI#*& zf=|)Tr>O9=DQ3$tGP0PA2Jdk8IR^!@1O>k(phR ze*9~HOgNs1Gtj?^v#JR^g2K5{CJ6p1w_S3j9}26- z@DPQvq98Y26uvF?_}fre5Q0K64dM&rVha6u_~PK)7A9vk;&@0buW9;#-f#VikH{;sR0y@ zi{T*(dW*uzK{A1wi6&-bgp==4;CYzh?3j?5ClbGR zli>FUp>Ux@&K9Qeh=6MX4VjdoFc}q2j-_gSg#I6*Mwp}c*OCbSl@U%?50SHFBGkrm zShymrqCnxNwtM87>!1){KtB9N6be^nNWjlhc!Z&Fb&v;KEwA5w>l!Frm4ez6Bq+pc zjRC((;1Ptvui14&;kwvM%RhP#ogz?sN)igciIRXDLikr23e!vlDBKkBefKMxPTQdN zbP1~dNLAP@LE-lpZA+4y{xMQ>!P5=VDFn6O!8CpjlN7gNz@IVTuVEf=yDd@Y-^i~2!;F8Jm7)Ys#w*r z=oEq4pG1W615pwXYfT~6n$zhxIXe=?!zP?=6eee7cpQ%lr+%YJp2U1x9}>zpi)xa> z=_}&#s7HXpGZHjxgr7M*O0)EQ3>r4aW_u<{#0?_2g@kZ=M3S84$#_JC@?}wSRwasu zO*oY%+3l`o1OLXbaAG8{04GL923_{{B5>O=pxq{%=*J8BiGI?*jzv3w+m*y4B%J+_ zNrHcdpW6IDOK`h`;4VxF=WxwH=}_^{Sd+PvoZ!X+==PRNC&3WB>~N+_G3WL{@e8U-IlW7shIeUQJP`nLNQ0Gt+-m{pv$-H_zY^6UuJGsLEU3 zWw(W&a4s4R?rYKO+L&-+c$9ga7#{yNBn$_4+aS2xq=eJWIsED7sZ}qve;nNZLa!TA z!tu|-EY|VQqSG&{JPh0&(5pRqjZ1N_aq`DYiUHi6qj=ba<2^XW@gCuam+bEa?l5{` zT4$PNSgbS6#iUsFAh^4rR~PhZ80TIMljU6ob^>=-^y-Qa`_1IB&d(A{=az@T-2=UP z;HdlQm>LWJOibvr7ln!>@d$zKxaM^{^+gl78Qc$`*8?Hp{M%_BYjR@nGwoJ^yDxh6 z#aL^C4CDNn%&2qwMR4~+uYTxNGs$Ds%#_+j{sQhOdPOn8_aZ#jdx?`@Y^VzE0U11E zAY3gouanmVJB@vKGq|5ducu?e$sqSST_ZdsULy_eVdyn1CY%byd90Jkez&)&0q&7u za6cyt$1h58ul!{1HjWs$M`AkGZUYi9V^DfvcpLa%fb+;bv4!FR-H;j+uYJv#&b??d38FLAH==^bPD$H6@> z3H~i2xEIRYYf=2{!H346QxyE$(CdB8)(7#;iS38b32m*7LjFi@D}SVXu+PRm;9iUk z=i4&mf1F|o<}Z!DTh-k z1>B#eFop>EIGR*P_WAM1gEKzP19vJ8`7fm*|255Pg7l$%{eXUq>_aDN?w{E1<39TizDS6MV!=R7uF-=GrfG11wYtvS-B zQ)jSNekVfy)G*}Vmu9i@dyAtIU*RSHfC<^UWfDE>a z>DeLlJBWUVY1ecF{SU{5LuqDBIDIq|Uh(Pk;65fpWN#GG|kiS(H{(MkIDo>>h9x-88 zOd@9&#h{Lu22ZsNIjf$)BM2Txnw&Xfc;K|8ok-3KBH$4-!l`3%a^}PC{@oBb+A~Pu zR9Q0A^YRhkDVD(ZJC5G}Z6g5#5_oDR!O@9EtA(a&MWD(CUJR-v5{Hs+8~{&kbp8Up zFG-PTb)$ILz;Opnq(K-w^=0rh$`HTC2|R+}xSMia9s|$iB6zN1N`j|J5WahRGkAiS zTo9)i-7+lS9QP*PxNP$`;Axt{17o&ia)GCn_~w?2H-M)FdbL2W)>NR@m`3Xec-m5s zwn_Xe1fJ_<0&+tf4+%UsQokF~??&{y*~YASZce_rYETJyI$*#K5;%tO%eI|N`C>J9 zfd~5}PiIW)_5_c0N4VLM6RXiF2%g(g!qH1Y#MoUTcJ&^)OBEbXB44=<@ z^%Hmoir^WL0MFAj#ldm#3`YLhHXiVu%%MTS{@@uJ0?#vPa4Zaw6raaqBnBRxCTBQP z_K=zK`!;emCIX(9GvL8%!?ST1YMca~S5xF{d=w8GIF`o9+3R8O;Jm{#IZV#pz+*Co zev@A6o9O;#6dc&GqWjx;Ohdov334_A<4u>rxi82toqxppb-Vp#@XU?kVFPEkB=_nW z{%XS7o#0s@g6G`?I5R2kbu`m=LFHrM!EVj72os#b1#(Wal|9h42Y5aVg6D%2cotLs zC7ApYOn!nyIF@0wr80#0m)`^o0uS(C;C1FuVwXzQbR*ub?Q&X8U2Wy*uC?BLlL2G0hxEvK26xGT63 zCR7H`4iP-132@;(ShdY`HJ0!B>7g&dgDrq(mkgdgGD&`K91jUxe1ZwC?g@LH=sn;$ z5XA$79JG;u2a|Y&z}ban#*rX+4&$XgoF;z9CGZ?ef@?V?#z4GMJ>dQUZ@4h~MylVmjD2a4|Ypj^QDK z_ac&_w;G;R!!w6S&KxN`!r&Eya~JQE87 z@{21RuYxy-30{edPfw+Z<)(OcbrQVIi2mN@Q9NuQuGFMk74%Fw(igm~!r*NwgKJut z8=Ic=jI4GZylpUk8_c!4lO&BBg5bR#^S7r+&f2B$2!rbio}#Nsu;Ukt9|UiEOz|ce z#E(NPMRB>D*X)Ml;O!X41B=#&apvV(k~tLpt`&H1#pG|nenj)-dKz!r&b$gLozNi^<@PMM1nSOp<&q ziAM;;jv_f56$0<`Y4DDg$k}M}R|LdnQ7%!-bp58aKL_s^5xg%aKn#f7>*8o>$F)sP?F+KvW*cNUtS6|vz@ur&o7 zOJMl=zof`$LU9xhbxq(+1)=m7n{dc23PS0varAL5dF;;r;J{8IBRCQgZ;u?h1pliL z(rIrRx)eu;B8Db0X~>T=CLtZY%cYGt#0-(Kq#APPr4f^m_9o+>!kOyUC>fBdAu~(O ztXIEuPLrWAOLK!+nir)y2fBcJ6Q=n?h=puy z##t5GOzHscwV392EX{)p%&b>=a9W!-I5)77NdQZ;MaqP;^58xfw*&X;Acl^rArGEO znuN?hzdP|J&Qc@{onRq7f>baBj9dMkYpT$V1i5 zti#Wqj`x83<0OX8s3HG6Dx0J!oLIL9)B7kzrf6!&%tJwwkhW@@7qt`)-ybLQJT>I$ z2Qn<=nMV_>d{$|_+kX+xA#G%`NJ8R$s?(LYp1P2g4?ckNMfbcAnQ^Ki&pORQ<~RRI zI)Ss<7@4-JArFq{SENfb&)@U@IpCh2!q6EtO}n+-_28b0A!jhov$M=X z!fW$;Vs1{cky$w7OeRdOU5HG0eIL&7Lu4w?LRJ+`LfVe+`05%6v`6a`lPu)es2URN z?K51@&Gn-lp>Ssya1D}##QJ_5duXM;>qA!leb8nnu6^QaViBv^S_~OZl zKj1oYm@E-1A;Er~8K*FC^S8I)(sY!pIz~L=@9O)HHYB**7Sy(qt=AddgWiH#`2^{rZ6jR-B_KR`dzh}t& zm;BHMPL}b1j}7bJxgc{pO5EIMu?!uPRX1f(xbS3%EDVz$UY)YQ+z?kX3(v~^ zPcMuyz_YVsS%7D!MV{S!WElc}Eu6SVwg9{}#S%mnRKPouIyV(iQH8i;I2G`|kS?6E z32DKD3Fbxs$<1DMBV4@Mn*~^IOD$^U9gcwO!2T3ItzxR6!LSPWP>dNt7!~l*l+H~B z9AKj0U>=SDo|qY8fG4KKvj9(YN!-@OnMT0%V1GJj0XU4=CCaFPBlK=LDmW^{A``%K z6J-W??vZQ-%ic@9+qZoS1pEfj#86deUuXj@bXK*(H zcxF$G0iO9inU%!|`0b@xCwvJpQ(v1$bT*?|5tN zLkRdS*q_Z<0Geg-{2();DQHkttt5sPK+WPsB8y7^$)f>kEyhO!vH_c9vIIVM2vsf8I5_c_&Ki7v-0oR6DT$;tVrx@UwUfB)x@jGHSjEYr3 zz)fHu6}AA}7GnwiHJ}k@D`Job9&~Y4z+ExshJaw(CDM*u-+>$|O-u?_K95}Afqit$ zf@@ENrK54}*NdqNcQB+2r{?T&eO#66OpJx2Is39QWYTeg?Ml(N&5@5>--G?7qy^V` zecDQf;u97uZDh@j3!leeafx0+M9S6+gtpR_&fWJT*JiMfkScg}T~8e{3?&Y)>X7|4S# zDg!)mpz5FfFq3Qq5c4(D;F^FhSf2KKiq zw5e*lXl%n3oFx&KX71`JGY}G=sB^k@l9kKTwbQ(COs!FWJaYXCc3g%tts4cqVk8an z%bnp9nMTBa2*as2B*t*+jSy#8yCbs<8McExVO2msOR;ovXHe$CBtB)paiUs3PsKHc zgQw=n$nYE3XIPcguM#8;^2?p!>j;gA|4@e3_`lL#pK2{}9ZpWXY6LQ1A2KVe$nrK~ zLx`o5I|DE63PTl5hbo#5jdsULTUiSBIYIOCP`FvTa0X>ngv2L0oGjvP<;h~Lt!xns ztwx3&V4o{mFl_w?4BKR4pZo~J=^;Uu7pI4Wd0tHY#<>9*c7lCg#)3gk(M0f{-1A~5 zk4qTJcg7h*`OYL~cx%DEW07GO*xyZCFziWOID@i2M&eV3BWc!L?P{M{AHA$Pn*JT^ z3zHV6jYGSfT1tF`nPF4Y2ckODkMEyzJ(}JP_V?lzrjKA#t^9IVUc)A)$s>tcPwD#Z z4`O-`*x!#@m@Z?MFCf!3Y-$?s=V+#3_wFuedN0^N3|p91R+)(X+^<^0CZ@@Q@S15~ zdLYT`ePCZ2u`sPHdR>rdeB7SIBR`tgn?;>zXX9`aG`%0}%R?5X&&Oz7{3rLT*08DR z$MktUe&WzpX!-!yS4ifj@u|`aHmzF#pr&W)^SbB6D?dcje}H|Z&BAn^e8Hx5*u*q> zCRWSqncp-Rf~Nlj`)9I+=_(gqx$wzbhE1<}SyX3Q>NkE3nm!2j&r=qrtFhR*=QTd& z%OX?Lucvv7`g(@9sGGXgK;KG-z`id<EYP z2JBzPEErr#mQKzL_&hR;OLQR59;*!a>@jDUzwXR>WH=1=H4zI2KTjujh8kQ$niq|@ z4)`Q9XK0<*VKOot0sGe>3x--eo!l94xU2pM19>i5)d8Q2<_y&*|MUSe90mJ&$%3I? zhNY7`175g}fjnicGT>9zoMBG=AFCq6F|cp2SukAA)5)D77}Yb7XSP)ad}f<7F(V3?n0) z0d9|Buu3Pu{$0YH!MJLZ+lmRkVPIhB$Ll7(Xuugx?JBN|4CP?o95ZLYSB+RYx$B^{ zEu>zM*A~?BfUhlZhU2X+5|QB~*tbN?8SugU3un;U7RoR<&KU+LIm1JDz3?3}oC5n# zA#(! zcw}QAGMoYXHk$=QXI`#yFArMVLK*t;yujBzIK!d7KORPgvta*KHfJ!daOPMqw6=vZ z+!o|I+!p2xE4HP`tneJze@mG&;JY0co)=o%LK%uQh9ZsO@Uds>Bg1*HmnJM2x?DJe zu5FcyvdceF=_s$f47 zvtW4oA24Wb3t=EH#Hl*q3vpbBgSPtJk>Mh+AB$Kp4EqNRTHAsQ^vyekfxLOg8Gb1m z&=DD`fxRqb&VX-XUwB?u$N2b4EQeHg)?Yv3(bqS z)0|7Md6DMYs;{X?d}&cQ?{jyTE=vVZku* zALNDBwh$f2`<`mu#P>aUUYxw4+nvaO@74%03x+At3oj2^+d_08FP5qd_+lw%xP9dI zH;|zK9F-y#4AX)a&Y-m|gn{hApfcbd44h%-_DgWFH?I&J`5_Ai~ayPjemWbl!n$gLz(XRFf?j7XE`WskJRMFIFZP1HORF8QvQey9XI? zZybBdg5mvtP$spu1sUiY!HN#}r&=cQruCX7{a!`}KRBETBLll>ty^OxWs=?{&U#EU zr&}@V^Xa6FQLc|usy2YHBP-e<*Y}CVA0t;0IK;F$mu}thf5Wv@V&$H4y^!Htqt*5l zxyJ8av>dsL!Qsv-yG$?KX@RBlzu{V*Vd+t>ms#5a_#!sftFi6bE0C)OIJ`j%y|neo z{|(otDFfHIDAy~lab4ea?S05q6CD1C1(&vF`M=>x#SL7qXv7)N4aKe`8Y?*$GbNQWUP5HI3&rO z%dGvvJp)+Ugf+MJOLMrMX_cMV^n{?wWr)a^Y*pb;9OcX6@HM;`$-Pu8DGe z660Jef}Bh4*ybAKstu01F$*q}_Uj*UDesJNy*>+ZuFo=@Yr}+&q@Ab(j`~RpE|d1_ zA8~D!4SId0ajntx8ZqaqFmhc2j)t-Ymr48ekGPcg_PAafw0zvCaotsU)-mL&3yw=g z3oeuP>mPB+F@s*4G`%)y`8dy)KL)w#f#dR!1(!+t^^dslQ5N+_%l$7YUcR`oL!Wk$Gnkry)45P8)I9b71Zx%yRBC6ZP#Uk^nKSZZV>oerIlY6q;@X zj%%_iJ~w?L$!zC-)#nUXt!`nWnjY17AL-K?gQI!e{Hl$wE#=lvsPBgHtL`na{QrG> zx@hEJ-w~S`G^{7^t zqvN^o=#Elm58EV`B8mRGI5zDVLz z)1Bfv)4PTZC!@(A?vazWFddLC+;pupi%U)a8!NZc;NtUqKNNo8M$=c}W<6GUT|2^} z=YG{1HZ^^R-t^ZW-MtJ=H^D7_tW4L%LZtk1H{Br2;!@Lh>x24X;)#2ttT=_GLY7a(+rr_uvv|tGGjLV&&X~w|NE6f>s+Y|WK`^aL?R~g$}JRT!(@Y z3_+=PeKj2(QFXvtP-Fkn4am?OcPqBip>2{SWTk^;-PgStOUnbUN~=>h$Kw%R9^UG< z>NR9&ftx8?=uj{xeBlgjL>8AaJRx$1|E4&@MYq>^0U282Hqc@7yuiJKSvtAva5axh z83slygQ*zD`aSe`%Nd!8F1IF3ukC))ZytgXLv@_q00EsaAdd^9D_v*h8vR? z%z&G?vA9%+VO$5>NsXcB%6*5Cp*8LqW~D=i3)ca69WyXIo8mf*REOhOFMj>$&6|+n zI&h4zS?F+kkfoD*dH6id;!+((Nt|JHnwN*VwVv653~g|`?T7`#T_Q^-cLwcsXv*+X zj5E9vx^3`KZmAo!cPUH%uVaw(aqU3etE!PdSOUs`t4b5=Ar5K zxIv*s?&B`+EPBqSalb?MqgRdVrrL15yKinen(l!6A6g0i;y*AwCSoxCQA%g}zF_5d z(e%yWSZp&F9QR*j(R03P{I~;)ORsvVKCjm|>AMO|cf`FME%F*Sdt}jbHjP_Dvbfar z3ccy}jT_;TN?s@YP=l4}H(2c4ms|?8%%Y}KA)Vm2e^9Ryn(mBWg@{=Q{-(@q=X}-p zkqH);nqCvsnf|iHlbg`=Ex09S)ZDc0Mf03Z;|`nbM@_HGkhX=c_NcqnfMa8F`mPh* zk>OTwtPdI)*lsn(7x>j(8Vrx_s4w*!AB)}^!nREJ7$4z3C!w3J{8BDu=~T}p;3f#e zADb3Hu787LQ&zSxF8odeyFN@?<=PX`x#h^E6>iG)V?y0M0vuZtM&X3a8}hk$E4-S# zFgSjc&4t5FO?5B|Ansy0Vk?_$Lgw>SN0)0@dns@uVVTbCL}SS)8fOY|bC z+ep-i>+Gq*Evv|do4)J9slsU$m~tJ6WOE6bM)I=2=c|$H4saYuSa5wJ>(W*;^s}JO zP32k@(YdL5eUZ_-DK#OhT{;}&8OmRh;Z?QF)+Nzw2?BNj$KjMYAby%fXG8^Dlh(PZ zRYL0qc!|TW%jm+X!f8WYDqMMz1D+9$B~CbKi_V==M%MA~1jk9MoLwK)8BqZ@hIMYL zf;$W_N|pFsA5I0-=BO0#JO^}E$_C7Q9Qn3IhY$kZ1x}k4;NG|nMghd{|F9pbr2-y^ z>fC4+vvcx4QB}!eZE#2cot5KeMemx)jq%#@?gnQSi$)mt>t*R<+Ny9zf;u;q>$s$I zQ;VK95!Sf0Dn_~N6*>n}B@VZkh;O6kbp@v*ZeHR%r$Rb=f5CND&#G|Ymq>JRRlT(8 zM7i8iR^pugtPBNbQ8ajJ#UluK4>&!dIUw#stTUnlYO`{lp#|EEgos2-T-L)YG(+(d zGAum`Sd%xx&RWrmRpR_hgX$q*H*nUp=p}G#WR@V$S^R93&P~l(ZKah1dbA}_;--{1 zZOMcJ))Bda^|AoLSwFeE=k09}usb;GB+V5xYgBO4<_dtiu_XsAcrc+0rvhqoR|?oD z!T~R1>oU6S^rkj^cOnAz0B2*H1)!mRs&&@T5-JMf-qLy)n#INoQ2`&~b&UcBHNdO0 z0Ks{U?Q5~E69Ml9=T#PfxQ(^WumXsiS?k;sKx0FrltKJJnl79QsIAmez~)-3cWu~M z2Eo}nKI2G-;Rx6hoGrrU3VPisoe{N?6hw4xD&Tz~otp~S-QcE_!C;z&qkwI-N^(Qi zPzs#wY`-?1{u~1K0%u!`N@7$-RnX6Dkmgp+;$oRO@-nEq9i>&0+k-3|1-vQE%V0-a zwt}5PBc@${H3CM!**^OB6~x`enGp_{1w+fAy0;_=s{v{^1SsGwX|sOgJRJHSx$Xn! ztrlFy-K$jLq(lWS-0fWFrsk|+Fs&9nZ5l?ox&$k5opnkt?OG}!S8v>2K5ed-85e%# zN5`OKXx4B}X_mF;%{9HWzL0Wt)2c+ztSTX#-WYy=;%_+r&$}O--7TsFen3D6qY76a zuS)sx48;xKb#97qxYN7dO{o$KMCL{T@5}HK_h1$vIQt|IZt2zp0UrQo@3^^w#{K2g zI{SA!Hn$ejNj05sF5!qlA0k`yD=|aEx#L^^$33KBxNC- z*)+p2bXQB<4MAp)FiIG49qLhnX1RQFUM6X4t!H3!5m^s)qbh8icas&FPX z0l!J9i>m@|mY5p_{2{^te@Ytxg@c2|UuQIKhJgLSxy7Qy87Hx-f`&<~3TT|flD0(u zBe|_qRqfdj3b?I8i4#t@4weo3W)O1y7r(!2RpR<4So&Nz-7D|trf}hBQ+45J&a!jz zKj+f*hLmeZGP}eH2fe|OeZM)3Tm!&~-$pPWju?jEieC8bNM@6B;YTBNZc2&6k2~t! z6uq>zoO108@(lf>LeutV=B3f~w<6#`{03Lr0`L*NVYR9nIwR{6mo@KE0Ov*-GzHA0 zIN;HYvBZJ%c<`q){r4c?li)lYF$Xl(PX!Ra0mO`O1&y;wMM2Yfwl)W#fG1=QcsgbT z6b^QgmyaB>2?3u1XL-yV&}c->V$2uy#{k(m`JWUs%POIpw^P9L5(9KW79hAPrN-P) zZ3+So0_XXZIUs%`i5W-3s)7TfIyWVYbS}z#!gkZUlw99DI9SeT`w z=@pZ8ZVH!fTMw?6>AkVKTx-hZ7Av+T&QRxvFDXW@A>eW+E%MQ%jl<7VT{u_c1dB_# zilY^{Fdx%{m)AzFXTViM{QF$SC1f=p4GW-3K4!JYN)zIY8Mq|g#VPh-i-p{$LE+E6|O;y*U-kYu?Yd! zWl}zDd>sLYfvcfp0cbY9>?AQG98mW}CNFXLr7>MNwO7^ds!+fy__zeW36~9cb-Y*q zSA7UL99$LO9Qp0e6jpCzgR3a0yZ5gF-k~p!ih{b$Dhafrpf+l!fXyOY!Il-WxK-wu z@25fpjDf4U&0ImVUcz__RxN|$!g{sn9LE$;TkWBM*QGe%^%WGnAv)K&X*mKu3$8X1 zbHKklvcs=^X9Kcx@;_IwP);#-3V371Mi_f9=rHXSN#u1l`n}oh<3xtVe!lh52LpMC-S%x!kjdpdaI zoDV-nz!$+a(4uabHp1EyVhE!OXP5w4_Y%4lKPuc1nF9_FWdp_%hdYiJ5%48&Jrgrm z(0F4|&DmjG1rGRk71Z74rGU?A3XaMi2sob!Z%KdtB?7(-u92bzpkZR80RG*6*4W*t z{cL@ST^$8{DaI9iB@0m4IX2TdIBYipjse%pk_BK>o}g9+4V}K)y5OA{^~cMgDPW@r z3r7JbgtMDvGS8me?>2I)?iFy2x8gFH;~2N_P`Uo*5U(&P8@MK=D{$f1_?E#ZFj(GL za80&oOT6RtZG@7c?k-W5HZO7R9(p&WO1S$bb>Y;J-Ps^>qk2sX^9-GyG*$`W^sTWS z9j_mcfaCCM!Wr`nHBOt=DlwlM;ef_cXkBOV8^s2|*&5*7tVTsBeKh**k(cg4z&L*Y z)&dYeoXir;xy0!nMJAv4;8a?IG1tQq;O@`11enBwu3Zh zJtvGs?{jS^^+ncDimdExZBd!r(3t?P&t>zRHLkp>l{XmGK~q3>PX1>(o9#vc3%5$l zoeH;B16-fo8YAFOI|lxOfUkpVZ7zUqdF~)gj(~d-2EcE%?&7;FKyYoA9vkt-l?XTy zTxpAD*?50W?avJN=aekYnq^zo4c*OCs^FG1ue@7>S7@ub30yx$&9m6J z=A{C5OEVRif{-;wB!K!K$#$i65oP910e{s1ODh1@2|l%8=Yt418C=^f0Cf+1qY(wr zI6P4l%o^ZX18NI@6mXXYxVHk}$B_v;`j#Q!8{qog3h;h?c~ccM^s<&&%#H`S%Ba=m)t*jbt43P3%@LH z1!(BGR6xTRUddvUO2WReYsg~!UOl@Q0w@Zh3WF=W=~KA1g$KE&qQ6D+_jl*wS@Uj7 z;XK+S-ju6Kq5>BV`aY?8ydH8*1F>qzJVTB9k1Be(M<>}evkV3I_^{4R84(m>byI)5 zO6b;TD3_zc1d`k&dwR}Gr;+Pz5G(F;r>x@X_CCh6RW8GAO(h?7`|=V7OTE0>f;8pw zq*zT5izH)J1+h3XeAt+y2$%rT8!;E|A2fF61x>s6P5}eFCWsej)dcdW`@K!)lGb=S zhykmbV3_JC3K}2hP#Zf#7oy~BRv)Ky7us?p1+0^)SmHj8wCTU(V&s|u;w5o&;dI*t z@SHU+7OOd{4UzsjXL}`BDWqJDDo)F=du_e0XaRD~1hG-ZoXfakr|b9ZI`u2t~nrHmrI8Jt73-!ZQ->0 zSCs2t6*81mOna#QXykea#C8@LYSxG9-qXjzt`s!mkY25rkLmfh#iyW`DnaHs1`I6y|V5bS~t1cJv7P{j`M=nC74~%Y|(MUp09&|^FX{U zXaV?8jMa4Ba+nF1wRl4S!L&si#M7gIcbjMEmgUzX*L)DWT4boPZ&Zcr8r89?Er;>B zVajFJhkCV#+bCDhiW!Op?WT1noD&y5rxZi(mIm2RIH^1;}}3KXzfz$ znkd%;(Fz3(xrRP)&urvc0OEt9c|Mxz^>-JV9!N3t`qxJ>jij*rxQG>nh_Vk~rAVyu+wiC{Jt`KtA;>*igCT#G<_BK`Nd%<|D#ffcT- z%1ZU}Xs-fNuBR&Mb!e-&y6?sg$n_qGgDmvYy<5!dqwZB_bfbDP4aonjd}X^S`KT?Q zQLbSX^df5^%_M0mawS0=W}%l^Pi}1FbK}xIAV9fZNM+}vaIi=C$wdRm`sMo|jElBRmxFExw2(zHI{db^V%O zA>cN0nB&9j zDuHd>v@vvVx{pCjT9r7HS(I_9K1boI#SDNSYgJ--R*3^~h4jeUE2^V{OF{hD0?=$# z(D;~Nj)2`@yQy=~hDO-I0GAbw`mOBhyP)GU59#Z<^Wi?t`DDBzbG z;MduJhtko#H(fFZ0hfdLl@*}jUV;kPJ#@i)^b7%hBoJ_3FM;sz_g zX;B@F3OFUDbIUP{E5}$|3iy4pLZgEH?9h&HPeQI0AZ`wuS6)x8G)sp!c7^jKom-Av zcZ)18<=QHmaZU4mLnfr3g19wl&gC|Y5f#0RH(_$*(r%1WE?LXaU0E3l;_uN8U)(nw z0at=pYLTJd>Adyi!WnPPQ9$r&MIFPb13JTMt1@W(qSK@W{K+HJP0`U)dN{?aW zv69a~+?z62&}dju&};S-qG3f&ouU>*SS}K1Wn?#tmlUetp(F=9QlZs578(&KAgx{s z#EeDJ)73Fe!JwowtSYD(<_dbXyQ&<}(;?2{QoyoIg`$VAl^%Vv>rcq_Ify6X=E9k^ z#>U$n3YYP=h$`IM3_-iY;NBNxj+E=HRDlbZdA}GLTZ~+*K+OKM5!=zkY_A&QQnSM4 zHas4ta2c1bl?>JG$wRmbD#&N?bwJ*dO&@S%846p&&12E}U^aUMX>AtChz2 zg<2)X@Y2OIRQFC61*{(AfX;X}pey~>;Q22j;1^I(J#7wXeBMk292#P|gN79ajpJoC zXYc2^r~zsZE>OTiKJ+Z`W>pFDtXlq}U1VA5ODJ$l=73)9ZW$U;08Iu0U@W2(kX;1% zp9AXZI|VG(00UWo;9M^a49+6UBws;64GTcCEH*x@t0zvg!2FNAsK7;=#dhI@&x@XGrF^Kau z6kL%o;s{D(&Pm-Xrygpz@)q#Dlg1+=l(|tX@GZcz z1rg!gy-9NRK?r>Br-eUzOXO^E29Fr{mZexCzU9$Tbw0QVox7bZn57@RcU;2nyw!MPm8)6f|sv`-;BC({Loh zvx|1`0pEV~-WM0jHilRlj#<&?R$TuY_zt4ipK;;LQHi8+#0CwU$At24>9rg~m!okI z|4b0S6JgXr1m8(|)u$x<3$OZ2nE0K=;|xAI^(}{V4N1M)|HVhacV5OL3jRtYNk49U z>aUa*O79}k{Qd;gYm^dpji-Kf@tbzTlER_8`2`fzOV+PZc^3GC5pZ7=7f#(mNc>le z;BS%;PM=Mavt|i+xuSdcZ}m9fw+ljDI9+@v}fA+ zL*Tz9jYkCh;S@>ZwkRIBDgQba5dVw}8GL;!@OKG={|*`a_e5Co{%+#(!AskKziS2$ ze7nFNW?oLWSZ(*#55V68lkX7$$Fp1?$NjPXod;Y7{)hoS4QhMUHT39`-Z{)9~la&@mS91!$q09>wy1}G#+><(FBX-eP*5nU(S+kg!6BpW90>lWF~z4N;2&xu0f#2> z2!VgNOwM9)JW$g{60=s&AQl=cO#}aPQ9LlnC~EBm5&uen|3zx;#SH$1rS(-7O(+Y< zt$TdY8T_wcz%dvwPOZh0_*V%0f2F|xMuePA!FX>Zz>h0M zcs3RN-b#V5zevs!==XL4+>@9&{4?FV$%b#iKU)G{nGEhJGP?lxoAFzgj=lx_?_|I~ z3oD9WB5BM|;eiFRL?&ko<9JBme=kbTlH{)>_&=g&i}CCujE5svwJG#<%53gGq&N7N zq1RFj_(_sPTM@y(GT^&2!vo$E-amguA^1O&@rZ(d6-{w9Mq7o^z6_ISU*Yj(9NY^v zKfzLTe-J<0`5G)T>oNY?sBr4HG)ZG)3SC0ro~8wSJ6@}I z)vLju#x&lEfqRDLH8U&@i@yi{?=g*Z6x?$nJo$ITXCm{4f&XXp`W_RUFLAH==@)Cw zcpZUa;NK#Ge>+v~w>bEJlfYk^A{;xSc-Y8Kuz7^j4=AJiTkt!+k0u16^wv1~RO#Ju z242%b48A_81QkwKO3^Oc7_#!or7O;XdtQhrp@ux`Gz*#k$Jl{wz&$%g@YIk8v5=8B z!i{O>752Zp1l-e8ZY`l4RGrdY%3Kv zwav}@1v9C2NOi*&+-8FYi3n-Ki5u9|=DuOu1o-O`Co(3b9fELpIoj|i6gRcs3ABil zzDEtG^ivI+V|hP9oJe{~|JSe)R+isW#7)4B@Murn5dD2?)GJo|@&=>Qq(4`}sl9!J z4(PTzqPW>`VJ^XD6Ryh=bf_ZiQn!8)4*nKjKJt;uIA-|5O&Mz9_(ktVC)hY}VQx4k14mhm=G{XmCgZ~QNfy?wWf7fuzfG{M}caA7`w6@L$90seV1_Q9-Y zY9k=#>avIhpjnAissk~kDY&26)hT$;;HD_3R4?K|6>OKPn6t-iu{KxcA=f7GeiFCf zI;Kxs%~_>76Az6`sivx%_2kBPN+My%j|%sFv;vpQZ>u-$qI;3+JMey*%7M#FF9Yje zl(@4Bl)}XZv1Hd_`~caeDKG3pobSQ=Stc7tP4c|V;*)}ngEbo&EbHBVk%*hpIudsh z?iU(PX+@da&nXg4X>Exc%0!3C+V9&aZ z+)ZoP#59?hYNo#|+R_hA{|MeSDGSqWF8r!>od_{aCbydDIgOW$K+`{g_v?hYX`H}b zu;4g_W^vIpompw7Hx6F44o&|I-t{pH(^_TDUGVEeI@5ISubRd$A|0P~>yK!9D|k0V z%uVBjk43jK{acundXvhf>!FF@WCp33{%~8eF`E7byx)c_Oe-TMVm@cnI&31i_+x@J zf4G()oGD=aM*GaNZTF#X-Zt=VlFS)!?co2qe9N{4*Em>Q%78Orl>ukMYTwm9v)lIa zMab|gc)z!qGvHL3rDLUoB?Hd2SzN+ECfN!DnPe*rSPZK7zilQmYzOaV*@EG=C`-qR z!Lk_OG@ivp2D-Y!7-mJe4udDIEJB9gz`G@7!O%6s(y?N&)B)FT*pKS)Y>?}4e~dG1 z_~O(L$bcIBl(1mv!S&3U0aqUk46kVni7;pQGjQ~NWGDsi)|dsu15uVv&J4K3#ePHw zvZSHr1ukiDhP);#mmtFq@NSD(F!T+xbaH0EMH3d6Fpxz|l>rwqIm3|7?~yy|JHfj> zWWf*(vUGB0&`mrj11`v^47ec28D6TIx*8dFfmfC+7zT(J&Y+EDXkHXD2C^8$8QQ-19;k_Y(%P zx}`GU>K4~wdfunYkYNvae@|I3#Nrpsfa_;0E;7)yXI38iBss(C7LUh}VJ~?1BrF(S z5Lr68>!8hv2t)a+Ob4=D#~ETHmu^Rfec;^}vtW2JbHNO_Ajsm9ydcZ-iVkFXo-?ex ztLc5nuphh!A{GqsBugiE9klr((Sh8_&<0BnRUOn6O&Te3f^;33k6q1bSfxiP`A{GFly_fjrusCZWKn`6hLiMM*;EXnhJlTjC!AYQu94Fk)zN6hLkGO#mHj(+p62D!Y={7DRgW{-_iIkAn}=7Jw%0 zvsop{YKv(t#@j|Z^;HG6=9DU!iZMV}DxIz1bz&@S>x+P8;LEpJ0GgG-)soJz+RT`> z&t{cG8!Qq)UsbN4&u+^C^f@B^r?jhvfG5CrkwqCas-?Dr1{f9a@77{%^g;n$GE>m! z&g$XFH!B|Bd$bk;mV-~sA&c>@9{cf1f;YN#ZfZ}Wt46*hB-oCL7S#+;;EsJ7*){S@2z*3!qugYgCH>>VI0%TM=RI6tHEI*V*gBSy}9B z6K^nT#k&Z24t%Y`=4DW~bf#tTZ*gV>-PF3FEpM?bW?{(x8em5rmjdE-sU4fIT_PLs zM)?a*;wl6@55DVVb3o&8SgpKW!pyh^SW!XpB#theT1m9EEeeQFGcdqT86%)@FrBQr zq~2be4f_n=%|Ua(zuheV-Qnpn4ow079j(y1;EN&^@p?w+ioM?N@Hsq)RQARvJ6uDAuDp;D?jYiLQ-qW52v`Yx_gVm&Hh%_a70>|v7tNp67gE3nA{BBLHxBr8Zk4&n zl?T2Dtr``>q(;qI!w5v-!sq)~?*4VoYW1CRJuLH_eJqqM+~cu3TeTUCfcfBiBxato zW>o?oyVJp_fClKl=x|p{EG`A?FIEr^t3*|C4Ni6QE928qNeiyU8I}$Tq6+sxNasem z*g5(CuZ7e4LdrF$VpZL7S7^k@r%obQ74SW6RaLdSgq+LRcIC*`CdsaWat%vY&H#@6kG>;DTLn&Jxwy^jd zu+Jwef4GK7_y<0eUhB0WZG175ywbC3Ag1gB|DKTgQ2J(}^lp>^YQ7r7LljE82Fcl^ zq_F4OG!#{)U}V{?sG9h5`7d>$=9GwV;N1-P&<f^~#U_aPU_FYEFwl&8ZovIYT6A z;KkRR5r(3TbUl~`Ov`nX!>)#!vlDm(q3FvfPh+h#wA+q*pys?79wOAZD@xM9r$}oq zK;v67JmAmr^IN~02sM*wJR(qYaf}3962!k!P_tp0oGlZf=F)^vdbLc>K9Qm1ctm|Z zujUU?@;Em5%L!zlQV;@w@#+N0S$+zSF!)a=$ywDf1ggjos1_w>)g}BZ2?0l#oH_Au z#G%Hb2ssmFJfiC3-vM`&JpK(u)f2?8VM^Hdc?@da94BX&#_^D#$R(1qDW5Ql{OejgTE&IZwn1`s z9j0;}rc#H-Ya0{x^+*flooSjkU@FIhQ2aBEcVhwqHwM}Bh#i9TIryT>!z7iC81m+n zP}(U%&N|C@M4{*^>UV2W*w-rrfpDDo-A4XOL!b*U4}m*F(s!@?fld+x?m(QbJevde zNK+o3c{e)QpyZVZ1iFV=#s+%G`Md7yg-$XaQ3&7|NiAT3`)qYqEhvFNuLK0{jzjTW zZmam+c=PY7qMiFwc!VMFA1%SYw(@m9UJ8Lem|!ow>i2>y!Qv0%GoC!u5CRWltcNky zV_K}oZJXPSe*yxJVyr%h@dS?*_^-`jf8{JXVXP-G){~molk!a?*4Kf+K=gVv3dKvf zSMjoVxWDua1fEXd5rn`{?iCm+&$+37ZwNetu?Av-F_94lo=u#6X59&N!dNkkH8Rb; zo=?r1F!(2QieStz6o1MSEKbE2h8rXN=olU%1jc9yj!E6}*v7h8ZZN^;GEjsIORWAr zomkpq&(9DThq1}{OTYSy%XnN^Fx#CFY5$>H6aLmg$cf!;a-d6 z7K3(Stz3r~>!MJU)M9-YDwkeDc{j%J5TWQJE!M}0ye(h%fxveX1U4n12>Wv#?W zo(n?Jr=+bc5u$itkK{~|vphU1#i8hP(yEqJj^iO=hstUgb|;C4eG6}bl8YoLshWh6 zf+)M_lEPr+%`X5t*@Qhkus``a&Af`fiH(>r?KG5lGI+$Gq&UI6N@_@<&Yw8YDF`J+ zDJUrkbFW&q=eo6c6iNaz9#JUzj$c{P51|{kjK&xe#*o5LQdcCrb@8k&o;3)Pvr9$% z3#0v_McW<{HeY!TN-odf5rd+gRDvc!D7h*HMZcv2cgC%67d^> z7x`=oiW|qt*~kFk9>%WLKF;2mot<40LqbGDB~R6)lBbv`Nu^1W zB2A*Cr>Rt;haq}SQ%RIGo=QR^B9yL&kUWfSr4awmIrpA>@668a*Jxk=dA%-s&pF@o zec$gn_uO;NJ?DE1hVxvWhlb~%p##@2ElNexd{off!&1%&;gG24L);La%SIa&eIKTx9|A0HvloBGDDP$qJKGAmtwAdK ziRZa3N=4gzly@tS+a95!?Ow`1;$tay$v6U(H$tnz_0Nw)KfL1-D%#^E`$MRV$0ID^ zJ}(vh3I!vz8gZ;8LfqT+7vE4|t|Zx7k)d&yoeMg{HTEMbGFb^jQTb^i#x z@;@!jnX(fJ8`{X89j1Z+yKs9>2#Jv6I6dKWo?2695!rKP9H<;u@*E4oNQ8v_^@J~a z>mFPEIoXTiI4~l>k^$r>1&{~{wf?hpd*A4R$ilW{cSdpesNfzOOIYf)?!P)>-9K2r zcVCO2=e@u~_OcibKiQk`giUd{xA8(EhDQ~@=nIf_ z;}F@~hZu1Cn04Q|5G&Qi%Dg+rKY1V7J4SGLt=s$iSi(*$QHb(wta$AgOV)kOgBXeO z;=L3pFNKo&Aq~ssU1^_>tLNS}EDMZ2nJK$UvhK6n$bK~kxdtIuBd)iH#r2kP1jydc#?Jaharh`_e2AS5^pJg6 zka7YNJG;?Fg`J|5)5Xuuh6c&*$FAp&`~rr>sqil})k6X-?p8n9hsP=ZmKZy`J&psZ z16)HOiobkhzstwsM&Y;%M%n9SXZLu?J~~GE2jlGQ-WU$F5?guPI6v9PBG2t%7Kaws zK0azaa66Afi|eQd*@+Qhh?70N{@8D4APB(^`mDzqhL{+dVE2s}GWWNzvne%6ZaZ^=;h?N5QMo0>=^v>A$`B% zi+@_O$o)c)^^`=)qHv0onKk1VO(gf!80&7SDQ$IQDN<(a{Ikv^azEo|eKs{^{Z~9G zQr6u5@Zm~wKZP>cFY?T}Hkcx1jm@3EZA0!Sz_~|O^0b~%mcAxk5xvv2&IEElhB~m5 zC1kU`$CsqywALQFc{8~ugL9jtq$Hb^O1q`~!6}ExJt@LE!)nTUJ!~o`)qP9S_J0l< z`qmCALt$cM$5Ik3Kii)oWoEm{bM{i%gUEBDUrkx}{!ogP88yQ8T2%Ic#73rS${e(K zN@*Ik*K9TTo5fT%F2qK@YRY<;46K^6#)hFi9M<1$Q8uzxQ`&xsr$|Ykci&!;#5l)I*3 zZUnbRl!c)lEBCQ`2EvBL)iC8wchAJVHZ&;0cw69pIl$Tj5yo2!_p3-Z(4&N@&ouWh z0X);VUN-y4pNMDo^?{Uo+$wde^| zR?O+NMY(kKsOgkBAoq)LD(uX|aBXLg3L-zYcNB9)^Av{ohtAc4r zLzps!jQK}Hm@>zWiAQ4?pX0{#qX^?u$T>l6jyosHXGFCUX3}!Sz%EGyDp30Yd_T* zs&g=fESspd$wIew`Rd)u!g-k46N%H*M%&(o{78w@^e-iO~pbw1_ho0WBYp*j6(hPMGim|rzs80f0UjJ~}P zstfQQA!KsZ7X^j5cGXFZjA;$WYYFk=s-4Da_ILdJB~V?6*AzYz)ygx9Ws$yW9h<8z zjTx@`3Q1x$yohq$HWSsChM8LS(^UWKn@f4zGFh&=amb+h>Mi-_LbaW88=Ez2-K$o! ze{JxdGE>WbT=ls=gX*8MMq=hHqnL8fH7n~Yw5p)OxZrYiwPV+u5u>4F3-d%IHF4=%U-9n{J2Pr9oC7 zN-6g;GdtY*9~hLekjBtMx5E_{tt>hn?6VgPZp!UpW`}#jED!e6?u(>4XZcbiIQkG>`kf0FeP9+9}G<>cYqngbCSp>eTHd%AysXJ`hVHr@dgu(7{!um=3{5HbMvnDPyOe!jE) zS!iXk_lmorA)_Vbjt!YGd=Wi;2EA?J3=fF1U`sYMhI?;3^b;7)!;+4;3B$7R=`-kU z3ul<<)9mnwMPvA6!82^CrWF>5nAzbg@98t>Z3}0ZtlQx+zs3-l^4A++I3LSR%!&FIQ*fn?Y95A%O zQkaMd!*|l@Gw5v#XLv5AF-+6#FmvFz+rZG4a-X-EFl-bEdjH{HKfCp|g)>ao?eMa0 zhbHlJ)`H;z%AIazhs|LDk$xMcw=JCE72OUqgIZZU99)Jb!)DzMbvwU{3FwT=D0h>`gu$idlQu(v{*sL|Y}M_sO}9g% z32n~wAOfJ9W|Evb46CGGf znV=fWE%ldr7e4-YAyjwADonGhZW9#ZW>;;lTF2&Bjn$mG>d~JLyB(@~V3B9Y1vf{O;8l{?O}u|Dl2V!Egl@yPB1LSGfwqzwIGoxvY@o41enP{_(K( zET}j9>JPwhCFTC*F|mVB%SWq$Net#S@ak{^!%1xfooBUZ43>#QSyl95A#TWo;W{lJ zjUnk-V9wBAlVx^bYmU|Wke8v_0dFE&b^sP2t5;bvETn_NY8lI6`yKOjW;oIhJmF75(J)+~Qw z);X7h;X2B*2TUwKG9)rGtAXa0zdI&mx#gW9jiJ=5F*F~!;VCfm!D{%J3B$cMkx%*z zIzMN?l6bucz75=Z3aa~3UYX5A^|)Xa)&IH(9taCrt{N-ub=Cg2yEKLBeprZacGVL+ zLR`D*f313ARLFAGSkSN6`3<*qW0QydvCcnaa@CJSgt(^qUpK!~yh4_%ZfU&T8vEWJ z3DpCz;lNDw6Iz`~U$u_SRkzk|H}v{)3MVdr>g%y*!Dn*SA)g@ER3{nSysAGV3t6uE z0D&hWp$vWVz~Vv}OiZ{l=m@zJcnSuE-Eihty;K&mTy-C#>WhZY z9thP#u>r|U^@@lPPhYi;%~ki03WMXSXXK992GuuH-T;fqRe$v#u6k8WU~|=jf(F%N z_78s)s)u2VRMbTE8ov-v|Ej;$u(|3XUW4i_+cr;w>RYe_D`=v6{eMus(I&9DYRu*7 z&+y3n_j^F~aLT*ctgJVCg?Rc`y*2TwZ#Ake8NG!~rrwJEV`i$iMNeP#_K1+>S3M$X zxaz)(E_fEIZ=<|BEG7ouB@6NNuX>MH$a2*qgF-c$l!1#!y$jX1W5Zk2MD;#RTvMIY znwd8#`x6a5+ACDEhBUuTIaH6p7P_E`>bOUco2fQ$QYvI2%dh%AV_Ao!UokbBaR>JD znU(dCm=I52wT{hIkJnVg;1_TCK!R#)BOGt0`lx0!Gu7q>KNb|&T=j!dVQ{E^XUnxd zsJ@f(CRj`i{&z%(r>|Pa=Bg(J4XUT!b|$;IMq+DY)I{~E*lDZAPDvrlRX^%AsD5{H zd<0bAg*}$R)T*(yQi!L2)jBp*^Zl60pb&cHAr*>D4V#cwzJ3|tSwD_GdXa0yR-wNb&8i~19W6X)#zf9AS0%+jzQ=lWUO znwhslP6li%&+)Eq1;7cECrc)P7fU=h`~$@bpkb3=`haI?`EkJAI^aIhRS+MasOOFp zmmg)5iw{xW9uq*gr>g>JztmWY>fX^v%#cpmJDOA-d8-F^J0cwiJP_6Hjl)TR)`J6l z(wGN^0B|DZ9rT-6FjWzE6FQhxIiMDRKmNIhuaQJr4p^xJ{+R;s(Rz7>0Gvd5N74c8 z5f)0)2Sm3={c*tKI^d~fKzN0{Z{DM9p5bB2JCP3H6<(nveZV`TLY4vMQ@HBQd$woF zyK)Fzk5GOMuSv;XC5e2%px(Tgv{HY9i@9ksg#AO+)2Hn?7bXGKW;wr3H1X!8{Cbuf zcYOan04G!a8PU{$hNUAKpkXP81_)n}$$;XV{f~4?j{t5*L^ubud6NxC`3)mSorfL< z*Q1o*z>}H_?mt968W)^^7{b(h_JxQcOfj5cl33@uSQ6fgpWHmhkz{e@S)a3D+SgF*fL_(!XuZ@H67)~bu z&k0niXm!^{Dk?r_1BfRmzp2fnKyUSnd^E$U{y|u{X1Kz`k|CX9xWXf0W0>-ASnn5M z+;A{ zUzI!5if?q?Kjn>S;DTo!pV=K6h>DCf!@-@8_~`|@O)`Y3hD$K8(xSngmq^DA*Sl&( z%ehqkxvBFTa6L`=*O^tcga^9%C`jmyt9R%aPXFjgS7qAfC}Q|@bw8A6F-xS|T#5T+C;919x4)QX15SoNm?!rh?=gk}DeJj}157(6&Cf zo~QgVk_ngodO_#XU$h`h<+KI(066VaGpn-6jiJ&NVTv73gGNa)CY?s=F)Z0N^yrpA7;Svh@9}3j(O#pn#R<%Gwz6U$@Vv{v5QvTy6Ep1V%0@Yt%>4r-vWQ7av6a@n_ zCU4OUeLiluXXIoq>!CyPCtI#{gKIkFKO0PKFSsN%!Z_E9+Gr(T+k_+rr1`H%9ZwuQ0KgfPKi!`iFmdpy02a*Al<0;-_g?)mBbna` zg|bM;0mFJ{P5WlF0dPWo3m8A+CCZ;^*2X1_j#a?7fw9u^$O*`Z!zIY(5G<0 zg}k_E&0cUhZwNClP`I=g={VQCXfhX}qII47^lEUuO8Fm~RJ6p-p~{u;TvF_%x+G&d z|FNPO`ca(gGbx$N8h3hrq_Q8u6^8HGsENI1ip$emw8UmuEyrn5k?lp*mD#;fz&j(e%Co=UQ3S zUKk9xTdw^cT(c;DrHQ>#H5iHaf@&{)BZ#mUB@Vn)E`17ubFHmnFZTJTT{*Aq2iI$q z|E-C=irPgCc2MufHbFy}%B62n(6|gcCNz5$>8oxz*9JMc9Ifc1jg+1q1FqSW|9voZ zIl>*VfkEY3AREF|u7vycAMQup^%dvZ>P^Mxb{|2d>vCe}~tEYn3eWL0PC=s1)iCVJg=~5u~*f zRCtjwom#*2@dM}DTg6_Kzu)hfyNR`{Z@|l{iM{LzbBqdC;>$CI3m$C+m{z|M?-`Zr z9EHiU65anAl1_DT-p?H629i*U{bSB1&% zFu+wSYgiOqZ&UsWp9z<~0ztPIK}h)_EKRO`ULni43aCmC)(Woumps}GT<;*>tUnPD z+33$9gM+@bxl~uCEK|-^JEgs*N2V+qX znx4H1PliQ)oU0&~ip#tBt(M?=p9%^CCR|png6a194_t7MpTOnxq~dz|&m*jzh~T?Q zF%vEft<;~+^&iU7;FFZwt30K>{-Oovfa?P)Xl!CHeDtX*R|0E#QJ~~(b zupulxdl^=Eajy39s$6a55urct0@pk$xG?np#MK}wu8DJX4u~n0f-bhiDHSUW_x&Yp zS%H2`1sB^)022m{>VxtONhpCa(=!~t=^|t~V7C+nI>2}P>WtsPHJ=K)n-ypRgW3_R zI*=5)*#BTP?;zrfXCf`J2b4DlR_#8(>^e$a1dX z=m90$%j@atyl_6aKEpR-ObQfVI}rJ36)ll9J$n^BpLk;is@m&NEO7qpTb=^fA}YAk z#9sJPP!+DCOM-^5^tkXT6Cum(b&suzy}ZaNfPi>Y9|Ej3qSnW^&<9p^gw$I$hAAVF z6%i4}xhDFna!n4sn|ae~;QE3JCRtK*CHA6KuJT}{+33cSAFWmuG z(ziq%i{{h@PLv8Bk0s)y{+4LcmrRS+{;~(+OQ_(fq*|F;oZJ^h@G)%uDN=jWu<}R# zIIsH0+3e**Io_Neu;7ndu&U*NWrB1sQ?Zon&xh1+l8z&3Y!p{3jm78Cie&Y(n$q|v z`>dw=DFSJlmw(1HuRpVk^g$E{zPfiqfSt|rk@S&F(gL2#!T?DN;n{Bik6RQXX^}+I zVt)1o`zr#ke>Nt!6bHQJPENXw((@?iN&xs0Tkj3Yq#_we0{+~533-_hZ1dy=$4B58dDA6^QG z4D$v@o*nT%qBccw_$Y5`P(&3plka@ylDZ`Qh^QZZB*_sqihYM=gg5&|1bL}pj+gQ- z2@9#bP)v@`A579tT+U9f;cH$b?Fsv?$axOmm5B4NdF9*uLMnHkTpVwIl%#z&l70=t zi-kvvIxOc6`~4-74gg`lob+|GVFOm&K~fx-9LFvWR6^CF_-b2U@02`}D)CK>2XS5K zSc#~H(cz=-sZY{TT-Q;b`gJquI5Zy%t6w*h{)Vy>GC3?k6okX-!Cz6z?Z8UfQ3K&M z0{qiAYL>bCJORu87s!zr;NQW?y;5Qc&-9Yxj2P9uEXL02;k&uN_{f2)##sX!{=$XU z_pr0mx?EOSX?_VIWCA%{&#V9*1<-uQOMKH<+@4a2zjk1 zDtTOw0A-!B;Y(LOmhy7sa=DkJ><~Nig>iT&e>Fe5IzWy`z1HJrd0AZVAUT#m!!ula zpC~!{_^5ciRws)ejKu$V{CslsN0$A)cE~IX^`wRotf zTkY&kV%-3F-vA(3J6pwN|T&)Gqa2Ou>bQWJEkhhhVs zU(ggmkQ!y7;%noYRG;|aULS8H$HO5U5;>+wBFZr(*11dnuTdfq=!4XiJ`q*i%hz<* zhnJD#2}nHwsi$?Rr(<3HMO#t+kix#CtVNns*5{Uv<4WHm$JCJZ@Qe8P%pV?+q5Ut< zgH0ASAqT#;74y4$4OHWMe~t~3$ltLc_KrN} z4RYYg;E36%*ri=&ahYZB)J-pvV^au+M2;VWn$%C04qfwLw;yff*b3F<5fN2i9w@~SsNcoJ1ofS&tEQnb5`na4!CZ{7n zPIsKem4$J5$k~|7H3^cl3GzLc%QZv%xgjcE&oy`=I&sIE__I`4*6?q<_8_kQ&G@W)QL;C|mHeS{&BD zpHxN%ms_aLWpVABXzpX@mQdDCAGoM^<#kD`fAE!i()BK zW=yO+dJkoNA7f36NO{DYB4y2^mGufJ>)Q}(y3~}%7sgYhtl=y?Ifk-WtMH~*q`VRZ zf!=W}TA#|wE$sQj@+7?RsGBNf=5FUlUsG8rI3E=$^Co#ynO6xmyE*ei|__!zfFM{)Stuzf*viNF#SDVUmaP0%*YD)BWEy}kN z(ccx)yM3CTkXC1{w}tDq=U-4nFPx>cVt8~-FhxqsWZ&WEtiLs zhy74ON}H9nAk5k=7KR*^R;{d=jkRnnjHTmGqcY4qy?Xi`#ea;zR8DPJPRY^_vkPXRMIKKMC;*CF?bjsRl=~P65~U38`aOiWPBLB z6obuWWS@zzS3VzNso2kI5j#pT=HwwfQ2nf$njH&gGXz@!L8@92wmHheKES`qkIfLU z0jI9|RHW=%s9sL?*Zd}`7xJrP8E`cc%Tl=#EzDH)BJEnZYK&)f)#DepxCE+KkbREV zMD-U?ru@=Zy;O*zU{FgiCPw?k2hWa}VtIJR`FQ!LyTR}!+225C(`b3&83#jbkr87+ z*94dUwFo*5ldKL3Va|mCxypqBxmF|YZ~f*GaD7Ggw|t3QT8+^2N#8ax*~q|#_bLO1 z_nK`k9v!+E3@ge04#vbOZG%ps`l}w>Y>JBfm~GhHg0M|9S+h-tnvJJ}>ua*VXI5qP zeA2hgkBJPJsSvgasr3f?&@ZVoXge5Ik^OzMx+{CdwWQCmGa_Vp9l;EM%7A$f%?|H1 z4Alq2H)Q`HsqSiZL}{{FUgzD0PNPW7uEu1#80AkbRzP!Vs5FpFywVjDbxwiLx-bQ@y$83#Y)amhAJR zCJf4hmT{zS2i=C8;UqwmwoG#)iaL3!=O?|u@GaT#Y)!adwX!%GJ$*aqHe?KJ>P#>g zTzC$zed|Fm#K`_>(1by++v(duw;^X}5Y-rj`%Ktj#p4xy!LW|(i~J@GdfiT+LAN1i z$U%83RS|9(uAlhLMNs`6*%y0FR3F!FD>KzU`N^ss+ArR%fjrcO}j6c6*Z_9v#4s% z>B%u~u7~RH$-YcBQLS{?PEWOt&954BuliNr6gw*d)f>saB5I<#flZL7f7RJ>A3e}s){#DpSbxu%-r>{Cs!)B`4%(1R|M#YnBq5221e;qVYT@XHf)%Jjp zWvbZ}wyyfS9Wo*_Hk17uzlmz4!_Klu|EhItu6l#tp!(q42aZDZ7P7DLny7Y#m|FHr zUv-;^B8f|82Jfa-GxSgcwx+Y7dMnw#l@e8p9x5j1g*a2qd#LJghJ~k((@hfjah&sg z!VykRA8jdpJ5~}|@&gBW#$!i=j;Or0FPzN|ak$q#t#5%sPxSHy* zjxxw(c{1y;_Z4atg>{b5Z;OGmjqE?jCOFEtm5EmmPM;{ti~Sf*?(1>!NX&i1raYIx zl{;|Inc(`F>|1RnT>UjGR}Ysm*PwCDvuRupcr>o--u>w}aKTnTCEZtAZDMb+lwb95 zDYGSlD{qy~)m`n@!d_Pm{@4Sq?PUKsU}CS~)y$>L_h?*|eqpctMi$LpZ#7wN1=kL; zZx5Sr-C50C%8ZrZ%17g`cKhtR^>WO*@ujxl+DZ1EF%zyaKBW|^tKN?di2PW+x9Dp8!y$2f)l=`4 zc~4P}_QPJyUX|*!1MKzglh3ndHM_}vIA~(8C#sn%6cN|S%kdA7#`Tw8zm|{bIssgJ z@D|bRepKd)Szgs+uNQ&|TzC&D>iys95FGX@o%KRLaP1}gakKh0LlXH^57*0aksr6$ zDXo6tO{Z4B$|p3u3S7V9O{iJ@npw@|INNXJV((H_d*NNG#?`3Tv}eJ!5ARyd>X-7y zf#p>__Ik&bz*Rf0an;f5m$#xp191ID#bit};o>mXn2f+lcx?26h`(VvpN2Z>8 zBe?ccaXqv8rM&fFc~uYB0#5=L-Z+bLJX^0{BMbMv0ImagBW+f{K8uNbs)uWFSmej* zJ$t7uxbpS-mFJu?7F-7rZ&tsSdPP3f!?hx@eig(F-NA0*0GpXQM8$7Co^vCbN;7H}M_6cD9WW>IQ6CTvPiHVA?1Fjf+T z63rwguCUZVTo&WnDxnJe+jkIHiy&k=N1VyFEQS?9pt<8CnE1hE|fsu=$b-)&r=- znu&-B!*6i`k-i-c$wHPhV1GaQWxSr=BqD$S)LpRYgzPh^|F^{ zEdb76SmNS0!D-;FUYv$n>2jRzT0@Ek>#5;2aq$_)s2HmqQ&vzcyD{RVb)%}U%9%V@ zeWg!qwZKX|wQ>mWy9ck4KUz77jR}uq$zCWCMmP+@YA*wfva}m(e+*&iEz!q9Kq1Qk zuZ?Sfed1LCTU3_r0pJNL?(H!F)F1AsOVt3V51u&SfRF|_NbSVzGFb4AX+0VN@NX=z zjF|xHy`t&?)JL5haEM0(9O|iR!N}l+?ErWZ>qe96n^wencd~i__2D`Pyd|aq-fpW3 z_}T7Uyb{kiMa9EyCKlBD;nf4E&vS6VKtuyfb`E95O>#}g?Y~$ondmqM;wFIl0H%5X z^$8*lc#ls5yf0GKf=&8-bO`{hSiEcosE_=r2T-3`8}s|Hr8$5NMUVpFHIE?Af@nlP}Y+5ENh z3R%weSg0!3WdEu^j$R0^npFI_$AqgaEb`Iq)g)*LQ+5J5n~5Oa>D%JfPWc~@Rd(E9 z0l$dhTv+2-#a^Gr?)6{u3b-<`2Gq=69;0p4*7!V4tFRYa+$r=i2F8WW1p#B&NeN*F z`*W^oRqRE@FU5ZAa@i-~%B12KP3)yERb%;U_QEC)gFeMxNh@|Zm$PlykWR6eVHFSO zniWX47Ztx2uCaIYd*G@?#bIyia>TM_L*8or(mR=&y|4_}kWR4|_V$P$v!Py^nw5 zU!AiCQ?8k3Qt?M7_1^HJS?5|E6dZz!ZGAF?{R7v+fJn!=7FMnI@Kx38#7VrG$vlgS zKQ*cM)z0;Wl)&{xyh=G9Y%BksvvexB>QHesl)8R7^w*ZUz4Rpt!d^lb`yXNE_HuTT zkc9uZy}tBS;X*lflU^GGuDVqGrAfWVUM!K1&b26H2vh6*QW5k&xb!xebFKC#b5Zd+ z+t{@kwZK)6ir4s3+Y9^0ghHJwE*rvBt__kQ>>uoCX?&wWVtN1>Z}%_wSwoF6>3v5>9O|Y!5Qn zL2XwPIzcK|LeGuci*4L7$f{iDc|{oKlB<@ZEzkdu&)NoD4XAj##e^$GUmKfuMBcE2 z%9YT^P`MH(*c5x|&r!~`JDRE-7cc653|v`MyvJ|CrB8He^$VM0s&XYfn-s3Zdq%aF z-tXXC*lU&lH$qbNK&ciF8TiN!Bs$#!>pf^pidnS>652mP}+Ng zKEcKI?u#D_Q`-Boun6N^O=8JhR`|Z$^ylX_z*R_6c{p|bGQ8M8-fB6fYVQ+l_z&%U zH#vc;g)f)~E{88L0uV8jOmu4d8i^AM_6LH$x9)X ztapj6YJN+RIXMR*wen*uOCl_+XIO+`3zEFZ&%%6KIu`bsj4;DXG;YTpG0l!W;)!-- z#`*_4mTY+QDC}5FQWtMx-PG*ZNwTOv&5nupcQx2Cp{}QCN8M(+9j}fFX3dV+t!xZa z?6^w=@%eeFzpUADfF&(EE^qRN8+MdP>YX%Z_(wY?-p~KNJ|^}D)2olV&2&3n7Z(Q7 z?Wn&r)azrVq^HB}b8B3)<87#YY@$!x*Z)`_Zx6lQY{DMc(LvHse;V~MpphlRWKINUt1$X`CdD4kwyMb(Ldmwz&8SkOHD+EM)HxQZom*(0i_9hbvT zE-9{h({Z_jzo*sJcoKouI7GnHH#;C(^=!j zTMk!CAGun{N#1lm88&(^xmrW&d`R8HS52#Xm6knu?|0<7AcR9o@}{$Y@fBB+>p~d^ z9MVh;GUGETc++{RwLeTQZyZN3$(zn<*UcVsT`Z^Yrc*TQz({goySxjHbJ4w8hSHw! zr+@xDmt5WC6y9{cp>1o()e}-Zy-D75CeMDVDY>o$%9WlZZ#t7ITW%m%FA(+$CVA7z zYB;7Lxq5rab!{xko6fqGc3||4;qa^8bX?a<o!`y{UF(%=W>h>rOpX$QK6_Chv2P}yN?1&rbC)b_GbH9h3jY3`O zVX^LP6=!D;1gsVDD3x^J1^-}xTn{QsyAd~0BG*F^>&~%U!^3`S#c>anv}QHZHQ7(D zM=%YARf}q|!MDk~!?*1w*JD0%O_8k?cpNKH){4)iGp5(Oh+I#4t-nu;Ciym*DQ{dt zuBScZ3Pr6I%Oy=}dAMQ6zTL?+)ncvqESTim(!{WVpTNBx5?KN7Q9QYSrHswYsD5> z)3w$A^M@11k!ubz`!1N|+hkh5w?8J=n~-`FQaf~AJEb9A9kAg$klKP5b6FuZLxOLU z3wHe4fn0L~I4stReUheYU-;?`J^moq2gqzYbT#s7Qu*E+dX>IHu6Z7EeH67;91d$z z71Hq8bw4N9Cy@HpOC=Xag;dF9Qq75@P$-yvbuB~{=&nayAr+i>{U&mK?jzSCnM$tG zqpp>DSUl~>g}G5zRHBkT0WHG;(y6)A-X_Q4Xp_7Mf-f+rT&8f1949yP`<-FR{)xz^dp6+?~QAc+j& zq{Y3`l1r{lL2|8^lbp0XRQQFHTw5YIyh%=4-d?-=W^!!{=Iv7fF(o%nNzxT;i5yycFaDGf=2q!Hy$M>5;t|Op4g6ldF6j6@9EmQW^ zJeOR5;ky39b+yyVtGI*od#h&-lj{VcPQXz?h6WEOEgS#*vI~`3;y9qIqpqt{a7n{D zIaFFBg2PJ^21{_QQY(xD*}v^!XJ-bfXg^wR3_NjGN5&Drv^7sz-%q9W;;KWH(k%Fx z%aT+_ETs)&RNBx_#dskFxqKg$=EW*70Z$d5~cv;FO9xA;wOvT%H%BC`vcJotd^Qf>;sVC5C@b>!<FIF+8K8LzaJ z=gj&2n;^(W_MtW^ZR6FX+Qhd%^ThX5+8U}c9xTNal3Mbm?c@19ABT>1Au4SdrqYY_ zsEZ<_E+D(#w&(JIEcVsZi3To(`6ercf!o!IbujaCdELRHKuj-3@yp z>S|Gfe89xC-~ zHKz22;2RBJEJ2W;N(Z6j6CBYTTs*xil@5_{1d<%lG;O%z6Dl1F4s>oyhkLY(zE$!+ zd7v?s-V(*(QytNi-X5gV+ie#7tQHB*gCstPhufCoi6PB#%DUB450iVImsw0nO2zvk zDN<&xo%Q2Ha(@8rUDQnB%*U1@Wkx(d(wf}wgR!$u%`@-ZXo{3Ihxa-2W^%s^>vasN zDRXAVQ>3hM;q%*`Blp|jY$s9{_k-!tc}%<_s=4vKH^}`4I9tcmJna}0R847hjy~@~ za?b(hc{XwFt5R`Vt{m{f<>a0P&gMQPrFF;hu$rfJ$F8Vm&(B&vK9F3I7<V(THW^&xn2yhC%i~`IG7?O<#(}nBiFM?Ih`eBSG4o2RNAfHUiW=Zt|wxw zhoYwZWv-kePs>>&vm`3(8Du>mB_-wWRwkKHn)wE&GxfjRf{Ey#k*6|8WJUBfg43AN za&Y#k?cC`MI>Rhaq{N*J-trQZ@YNCvBMafiXJMtNNy^L!uIIq*UW8f4hFD9%(m_^f zAKeRWRN7P)VY~%(&yTQHR1H(w*qRM}Xkp@NnBso1T#^Z`w24(n86+aMA!qlFsdpycuRG5WQ_#$HU4{dA`@7=G~(9;mLmROHxGetRY9!?GuT?h|qFl9!=a)89 z>lcHejGTAMi40 ztF%Ncuk;zZM2rl~gpjGkX!+19u@7@CrEY*O9T>PRr#2}5u1>DxiKA!k5~t=hrp`DM=HU)}|Shnx@jO&I#dPM<-yA-^wb z>kJ0ZFCDvl&;krC$T`Vt!l2jf^vgoGA!le1)$CyK{Bm^l6>P0bOL9IUnK0;eJADS- zhMXb6^UGshci#!s=aKVKi;3#%rT^&!sEGDPKRI>;|%nd(HB9G4$F8$GYg zR^)u#O!W{UeHty&OPG9F`Pt~91Zs_WiR^S zjrA3q3C={RdU2F)G|Q9MlV`Mg0_(hS$xZB8*^ZpE%y1_As~1PVJceW9lH=qvT}r^! zo}6>yCT4mJ&4Kc(o=T*Qx>$~!Yo1NCp}7W^98V5>c0IT*BZ$k292U!ybK&Kd+ULZ}Ev4^`c6E#Ny%$^;lk;oYgzM94=F;0k z&UH-IxC|~ivK!_-4X#Vbxz=OC^|`Nl?WMPeoa>ZUzl<(9&K-T|ad2Hq&hJq7Q;w;l zq3Y$*+e5}>;*ujYb?O>$bs^_Qp9$BpSoL!0?IGu?9T(+@4=8B$%lqUFuYv0_a&GaP zaD7$H<*2uZoU5)@zl<(9I*z~g9&mLf=Qgu;byYQ&quw5JF1#pJ??=2S)$HY}{mU|N zbtC5vv-WFEHJ78_9&)bK&K*8|-T4x@x|4HnQaNh#1e=1!`juYCcuPdca)$DVW*dWZ zhjVs!V`GILZ_=Pq9&?qX_t_85vVUZ zn0l@nD|2x9iQ9H3`)SO$55drjN;0c7qd#98g)Cl}vpm=j49fE6()xZLpoA&Qi1G5= z5N5t@1dD@2I?jc+>q%T}yJv&JXFmb1tEr@pH_x+0YmlfLtGjiRV!F4T_l(L z;7Sft?B$4waL)CmuL>8o39f(r(l@}>n@a3SbzCdQ1O}yk8Qxk6E=nphW-sH9u_|18 z-DF(sOMc1q3)?*>kIQ09ey^jFQd??!sV@|n4&<$Jwbbf}&XrKM|4{GULBY$p7DSV| ztgzP%`@56C)rU&Xk^XH0pC6%M~-~ivZQVFVIW%N`U08p3NFE}hW$f1 z>eE!53u_fqaJ{l}QV3lAv6eAxVy{$OO=ZE6K9@eZ$hj_#T2wz0SX-Ce@DK1spD(@+ zfCH%H5`P+i&8yr10{DL$P9KMI!0tNW6)6C(>w4F-0KA?`dZYuWEGAK5(reMMz))Na z2fRuLyfy{k^d0+Z0dOFd^hyV?HR`MKOTUQqktql46VQryKng(T$Sc{ieGrxOO$Sg} z;=>Tr2h@kC9B`0s!6E*tb@1TH6>L1Iy-hd^d;WPl1g;%Ess1I5>;0PV?&J=*1=D*wqfP=A6H61`@4cX}d z>Z4Z) zj0>8SY{Ii%eg5mqHqyQ~41GJs#XcvS;<<71yZ719@FpsmAgAV17fG?}1B2QhR~A31 zVd=Gc>Ow3g%egGUs$5>rRm&f_5?n*6OTL+qCFXrxH$+rDF!F4m0 zJmpPoFKlpNd9a^mFKm@iLi9F{Z3{7knLq!r6jh|-_3JstQPT1!Wt^ZhAf3`*GAxN=TvEQb3KzEjc7BtNfa?}2dCAOP+x_DD zbS`~LLFcMEt`c(We~k-^bwxPmlHyglNlyO2GIe`mniId8aZU3D-@s;M_x|K@ajG1u#+kS6?4gaCv+fS>T++Hnw$y{vv zujR|1*MjRdDw!Ki&1D$R!Vapv^s$j{FI}HzFT=D23sdY>E1Y1j_NTr5x53gGZ-f1I zDw!uIvWxAOSb8sXG5yQDsL-YQc$0-K1r3(xC!|~AN0`fjf0ZAX4W*EUh1s?XV>-S~ zFgZV4)%=!12rIjTM%g9EiLEskVOU(MZ2!d~b7R+T*mh|9-upRcqLB z1eGlJnbyz#!tv;r*W8(e%-|J)IxH!G~sM}1p1- zv7-+LrsA=m0#txoCWcY5$i9H*!Um%w$=J$B_$+(oLBvWZ)8Q{-(RyRQU_+^ zRg63n>&DNB*P!z5HY)EKMHF_LB5(Y03*Nx>^EA9AdoI96vW>1(-Zu=ZtW&qQvc=kL zd+YY|WB}1p~w(d6SJ?w|bH` zoqljd)~|f6eZ!pOou%) zZj@rn>TabHF1Z%=QRJbj~ zik?UP?5*GO#k0tb31(+rhzfTGw5VOuVB3ka$^Dp(3O7S)uTP8m)w_CI!Dezl5v0PO zB2;)l*L5J;anZLM$o*8D3U`F4@UX6{!u!`qsTsMS@l)ZR02ThBOZ^e;)8~a*_ezy*%gFr#bj2gqKYL2-nqQ1l;Smqj>&R^7o)MwKzao^wW=_fda)1gu zMJZ=|h@HLaA^Wf(<#h40vza*a2a+~&y>;WFSNXn`svEhiCw`j2H@{Tf$o0mFPuD3s zXqw4M8@V=aC_Y!&hEruD*G+{DRw#RUs%+#sZ)(nM%7&mS8@XI_UGi$)p{eKNxdokEWLCMxxYZwe-tlNr@5r6Yi?)$_sYJDAA!=@1i+VqNTY3AJ z$h{oFuZO7Chq|th!X4`@pFr-fyj1ILAJv+#M`1>~;~*mU*AZl9qgtN^vEkyJRUDuc4g=1PY zB=`CV)xzG@T3_o?tNc4w*|DW+GlG}csMeZ*mf>2d^A9{=x@7k$KJ91 zfH*sDJvvq}T91zRxK4aii`<9&RI9Pydh`KVm9ie4AfK`5W;eMH$0&22$9i;PNQ-(T zw0HO2yU1PXrOeL|^{7{idMYq$sk?&Qf5a(sNyvKiX-SKk>Tfr6Gz#-CKV`0j)boPS zdh|uxq{=onavz7TwUC+~)udjIR=hs*d2*kGuJ0lBYCwyc6*zx>&tIs_5~0kkkeaRQ zdPBOY<)LO&Rs*`W$E`=-jA>Hu+V21JvXfMn0bP3`^`5RP60N&5`W=vWCe12|QN@`PGEv@cYjDaM9iTQ{)Ao1@PhWuoiW*kDlys z2mhXV=Bsgh{alY4;VYT`+bHFu=mUfJgu1Lr-5vU<*Ovp8FRR}a#dp~CsBxjJp$9%C z{(1J>5H&%MdMI|Ge_DU#8}Fk)I7QMjoDy@bYM!ZlD1I!Wv@*w^u?yn;g38zCC*U>R zYF0=2SH~aq8ow@J)?YCB(qm^GpQ{VK~J8e|f!Dp=~z;Rws%dnMYTlZf!QJEJKB^GN%dtF!i_*?z% zUPfgXd#n{r;?|0bg{kmoxM<){^{A{1BwB{8J8R19D!az4743Z1U!K5|%ldnv&05jf zo8$wbW!vKYY!@K&fso|rAb;Go>)BR9=I9{Fo55NBHS5@ZMCQ#P$z?%d%c3vYrbgzn zAjvPmXH)t-!*)h8zXVCn2j)xiwQPGOb3TydVc?Nz@hrASlX)0OawE`gLyd`SqbGAC zAe=|c$5}k8Z|ma@BC;0wR0C7i=a#2hPlVW{xb^Uh0m@n?42(bJzlV+POIhr8m?}}$ z*P_HIYgKUT9}9{pYpIVM(<77>3uqax^MCfk=w_6)GER<}klGm0qBi+wG@I_CtZzf) zz;4*ApL|->Hp_s_OCF=F?_=bc>!qw+9xZB@Tz~hyH&WJ*0dmZPu6;2r>bIa{T4!MF z^pWE;zxDW8UX*jzuW@oLffJ66tZrxFd&7?2HtVh+N}bB?^pMjQqe8qT5Z$uA(u=+e z8&RXK=my$^?&!ex7YtMcqtVO*Vx_m&B zhDeQltZxJh0LM zxf>+Po)e@-8$tMc;r0$kd4SaMw6xy=~1lc)gBSP`$2lbUh_7C?n*TC(k&ave8S* zm=dFmXZ5J5($JolzC+nji87uHQN{}%EyEXN&&UmrQ1&tpWjuqZSM;b?q&<7GtM5YsXoY#TaY=?2PP7p9Ea$ndkE7PZK8Ri9_? zrtA%n^2aIT3$GUSMf~~7ZD&&UCP;mX<4Zm2E6)!1d$_JG0m@kHr;IgvhHE`F>)dvT zvVZbY#tKBO)1}tM`wv_DIc3W>%2GJ?(ZmNjtN*RopCi2Yh_8G|E~VaD7!L1nRg+orLcgt@;qPD zwKvS68t+MvuvsfRM>MI6J^kl;no|w*+$vkdt(7BfTGYr;%ywa0s)46PWv7_6@2TP>r8`*2)`jv<_=hZKTz`rkzDKcKfZB zrO?+Us6}07x$C|mU8%+aWOjbkT6wpuMU4)R&bf9L)u=@9rD1F3q^K74u-7*3J}1>U z9)pC%TG`vLMfH}KhHm|ZYSxgfl@n!aWljCMGHhM9EpI_J&-7R;uMJu&hk7-sq0z>( zXRn}|brD=6qB;-3#&+gB#JEM?9VGS{7i^gS){hPI64kwowF!-y$En8nFqN*BaaM*d zcG+RXFY&RnN=ghQgzg*@f4Ae9}nQR(Ml7WX&0CUC$~kIh9gE1FO816XTp6gYMCvAzY8Lz%E3WK4ipm>DaCoV_sjNkv>&qNIb~a@l z#|ZrwXlyQufXY3#hi7lRj~eDi$WaecErXg=%lIch_Nq;1UxQhZ2YuFK4Vh-?_b9n< z#K`sl<9B3Y7r-MBYpdsYfvwKQ3%;Q8Hn{9ILFO_?E0v>|dEQq~!QKq9Bm4MBKi{oL+q*PYl2%DHv!j!2g>#vh+ zY=UmwVZ?m731PuSDme zUrn|i-YT)FDv|Pnx`@~*SIP4yeQa}3A)D3P(Cx%bm}x+oNV(dkTFvP5Cu8fb z?mMV_8;IWbt0_(V^OPRjyNJrS%4}XwO=;qvXJYuStyI1_$|eWZlqUXpETg`vMdj$K zxZe<*CjNQa4|cpq<==bRG^NOMRW!vFS$xtCw2JE`HrFXqe&Ds>zSspl^CKc`TY!b_ zwh{cgC}GxL@B&Y*SqH*Caj(>`-}cT+sPXtXo3v6>9=tA|B4xe5|MZ_ljmO2<%$J(- z(2rWpGUQpe_V^L6QRDj}Z2C-1c>s^Os@m%;>6eGvI>yK5;MA1c-}9tMdDhHr=#n-b z?O_vnYRdR?Qi_ykj%xVg<Q)th8{q1XpQsY~FY=%@#d2mNKMaqmP zr>%aS8sFk!)2wRB1J7Dgq^#M&nT=NJX50Uj_8#y}R{i^b&eiNxOxu*AG&LXeUi_YtlJvi0zdv0|C8Y59N^jvh#5A@))9QXOzm!t8xuo=HDIAyT3@o1fURaCUX zkZI$Q2{UY3^xYfOe|qCF88diV&}8_uaj5=Y&Av26vaIVPrRD0>m z>&_a7#&H2N7Fl#I3ieQ@r{?_aE6{jg$_!{0oIj82sZ6*1u6r|R9Gftsq6O#b{`nrt zblpGbIsMJpFJ^{Ti)DJeJvg0{=ld6-aZJRF&la8A{QXI8bUYnv;n&xXy+dY@x8Phc zJ=#N=_H(`0+=0eD^yjv=*v|ZjP!CR9OZM>{(70R53^y0b%sXN|IIVYlxz(9y+%;jw ztP9RHx=UNQ(rnbulGgVwtU}}Hm>KvkI{RmOaN>dRST7oPj+oK&qSMz??dqP3Yy;6a z(qe|-3(nOOdpe$$7p@;&gT~=0Gmc+y%Aj%Mu%H<@77d%}@1nFFn?D~cUZWi+bn2ej zpx?Dq-zVso?uGT&XIgis{fFJRJq3e))wdQ6;bNIrhI(+?`mMWrR}AV%nnB@$bMyYm z9-P+Op55_W4AT8?J+fSM>fxL2wU>Ny@I8lM(3+?jo-Q~y9b7yYHvWsS?BK~$FzDy7 z8RITE`=5~Np-gqef^j(v$_33pdBM5)S@|BEmU;E#@5i7P?d)6p+hxdl(4V@Vt%_~$ zKTwy0L2LE5V4I>(hOY;$%bOwWLM<|gJ!rlDUTv2aO|2T8F4U}kyt8XAJ zqkeWrk8~HEXUBUev!rcs<5D!bGG@5E;B38DH&=Sl8~sICz31G8Xmq5^n0vwb<9$7~ zQw?6f@G~?bY6k9$&aYBElxg|+?RYyHE&B5rk}o)A2))s#>lu?SXdFmKW8a_|Oc%}E z-05aERwc~sooG6IVx7K!V3>i@@ef0?PdIg$!Fg!7C0STg+ZfGVnnYFcUxoka_=kT) zU%Ya}e$6`j`Dl15{y$}3sYiYbwXa&94v#sqkIsGp8lJQKXZGUbmimsn*a8zbvP}Q0 zcoS-LqkXUcH0VX`%)iacXkVwarpK2l=>O@Kb2y=^>S;&S-lnUbjD{EVJ^dseGUM)A z)J_jI6tn;Ps{f1?^Rj9^cF|S!pC=yssIK}#J*1K8rRvT|G5f!-x~ti&S`V;vRXxA& zc$=>JB0cWXTh+hC|L;|IHJerI(VVWTAD_R?&bsQ0^&n58=f7Hy(fr@5?rJuxemAgD z)ekJHS)!}fYu3IS>A7k>9QA*$S`Q`_^O~y7=u_dZ)=yAwAD!N+tDb^}kN)xf`uhwY z5GiKw?XzAM)#dhdhU+n|VqR8#(BFTxuKL0so4(OiUy6oLd-REl(Y_F@n91UNGdak-K z=47h>*Hv%SY#wku?$}jz!|oT2*HvGEhHrcOtQ$iA=f7HyZWi;Js?8drT~$y0=8)ZW z)iE^a8~h%xW_rl5`1;p>wH_cX<~3EDvDdDuYX^?IM^`-+4J&&2to1l>F?+G<;sBx1 zsYQD`!;3j}y8h1$!w}^ zZ=}gHum8B{e-qM`ZrOD)H*H-XFm3JnT{7)5Gh!tYSyu66{2vWmQy#~{duQtgPDjI< z@PB?j#Rd*4HiZYI(7+kGdU@%J3k}@6DDl9V25$WNyMcN_uJ~dD^=w|Tfsggjz&n3G zxJ@^31{!|($GQ7o8#pyttfZ@f(nT}6X-w}8d?-}R%LXnA{N2Ds>F!5x-E9H$<+>6L z>;BCVydqGnq^p6_Gc@{tZ{Yp0VqP}zfymzte9+syf#=Wub-ixjRTzkWa|AEl_`EE9 z#L^-Ce>d=+)PFQ^LDxBWZ@7B{FSnE~&<(sA16|(#`5ZJ8=fz68j^IwQjkN#r5#RZD zULHYx`%^pz@9yCo+z;E{sv9^H12>KS&kZz_{u?!>a0I3MZS?>C5$i5s;l&2tmifDZ zxA)M%5#Q!b$L$&ntW5v!4b%Y(#Y(!4p!E8U{@)vTbEue?4ZJ1rcLQ%pcmIgTMkXGl z8#oICtN+Cj)WH_TS62h&X2a-0>|$7`dmLRY)GI>`UJT^rpKn07Tz%UfLf zy#N0feQ#pzbxHjG7&vs+3iG(noI;$vIavDfvsSOJ&`ezF2>OktB2AS1MiZES$J3js zOA}8Y$gp>$gUr<*Plrbio&1EE9pv^)|GCG~u>ESz;1r{dgfGP1n`zCixO+39*%fzh zrZT(Y?#(2oj=SGzs?p32OFX@qWbBHkH`9wco_?c=MKcB5HKo`UU2i56yQ1sOG-6kD zy_rC4aO=pe`3Zeg%v7*`0^uLgT6mxMR zO>YZQh$c6aLk)ZBIrrsJ^Ec~hA-xrfJZE7hxnZ8O_|K@-Wa#9tIhNKvYIV;8OU~ec zT=%HeuO^qQ=cr!oaj}0yt!5UC+?zv#wWsL1resXN+dRHdduhD6efYKX{b>Za3q3Jw&Ze-YF3m+p`XgpkDz#qhgd(2^8O(wU&OiN?oXp^HhjVRoPh5BG|Gg@e|*hZ z?CwvaeCr*j50#z@@BTDO(Ef+we0uk%QO=8htEZ;)qTikcD9>vwO zBi*C<@B8t}A)I3A9?k!L-CL!cr|BNef7|+-^~bI!d%8#SH_mukkAdqMqwdlCBlo;v zEvKEjNAo}Ctvr--SKXueSDd_DPtNKIuVga1@@}Fq4mtuk^3j-Nj!>=;v`l z$89!M<}{lV|9Bo}9;bBPmKSsGyZiGv`0|DOIf3qY zKK_sAaYlXgla6iCv+&)Y$C>}q{tcYU@BTc_=-~M07!T0>d7S$ej~OFD1xxgi|2$v3 z8acj5oH+cm#f(ho{ydJ`x!@*-H<;&fT23&(e~nXhqdxbV&XJA@lkSoEhv_1TD4is- zj@c4Tx=MzjzbUwj6CilujQ8OJx^D>=8^KNc)Oe~~%nXaOF zH%H_3K(UsM=cz9p@zg@&Fr6>H_c~Ag^W^8x5a+L~$DC_dhI%N|<~VNdcH-Pkqps zt0#yv7c4k?nWsKt+(hId#@p* ztxxb>&p!7&Po3#=^Otn!&S25m^E~yF%U{%Ysk%(vqbxcn#0tl9zNd#< z#kp0y==3LwM}4CRSNo`P2|_kK+=8>0xYZ@wkA7Rq9Ox}Ldx=}Ua^c|q;vA4KIQt&@ z_p#il_L6;LH+&|}+O#-(i(8#KYW{oT+#*?U_7b!Eh*$?FfiLY%uM3eH~Q&X!L2X=ibcjuo9f$DK8eX}eFHJ4cF6Ur)8G z)G<3P6X!_tW_nD$#GPeV4;e4c;VE&-?Tu(hCd|A3jbAgvfPR@99rJE96K82&Cf3rt zYps_U;@!sHJ=!=-N4wzcC5CwDx%;tv(_1e%dx;?qe(9emWnPjjID3g9o)_N!M{!;h zE;xIMAs#zp#@XVW94t6{i6P$km~RWe0q1**&O4&TGhw4xXV=S{zdcpToRlv*Q-6Q6 zHgYu|3oXMhCNt>PBt#0Rrq2VUTGg~PMXFOx-w6#+O_>C>deyWlLuyb>%_-7A)%3H_mZ~YA zpf*S~{UX$;ntqqmtyI%rLR+h5i==L&nr%Ycs%B@*VqSw)^Cl_McB_$?Fx5OLLmaM}w-wq^H4lkV8=;zqMMxu6vs@D`JE`Vf zMB7<4?=Cb-HSbNEWwdJEH%8n=HIJ3lUG;9{LLt>WBg53)RP)RjX?N8;J3`t+HP1Ml~m5qk&=IP6S%lh=s`-0@Kx~ovK<&y`S+!(EyG6D9oTP8QYRLylx2l$Q z(QZ>Mzle6bYWYpHJ5Ysq7x2J*~3)i1v)i?(e1VS(QC7 zPI^vd$3;ntRrV0^J+HEd2dTZFvPX&bqRJjC+Dj@M@iO&gl|3;}dPQYVNt0ex*$JYh zRCc0huc_?WNv6K8vXkPZH&pigDCtd=y->8bRQ3|l-d5Sm!c2WfWn)3o5|y3qCB3V% z*F;F~sqE|!>3x-*6Ciz{vU3TR4^?(vhWL@n&KK=tmAy^0w94L@qWFo*CK9AiRrcN( z=`)pmK(xK_JbJd2bKLeLi$lvN&MarpcYl76OvTH>9S!LTrTdlIc#F)B9 zWq*&5@+!MFL~2vn^`f<_oD!`=<$R)bs$7+5zo^{i0gHM4s&f7i={J=N1W3QD+yH{* z50z`k5dT!UK`GK&mD^ghbt*Slw7*ntNP?;BRc>gEv_a)|j2P0r@!U=^l2WvG)x{1m~BBVYlcT$M7smh%ynpfpc7p+X?&I~ZMT;QzpDvDHA8TbO6wK`QrHjMS)dPY7+L za!(t{qPAAKXGPma<(`jF+g9aXO_Bzy-0N}Db}IK)loV9CC1KJKm3uEu+Fs>86yFXi zmloepmHRZ$)L|<3g=oW7ZfTg>jw-iIv=J(|T(prY_d}4WJE`1CFKK6$`zcNurE)Fe z8?AD!;@d^#RwtRdtID;BFQjsvQEI!X+;5`ou5$7lp!QHX`3+Ees@#S&eS4`^gh_j= zR-5GAN3}Xd8>3o1L8k7jTKjlO`>9rMoV34ctw@r_s@A^ZJ3zJe6YW6N+FyJJsn!}V zePPuaNR!5?)&b%>ShWreQX8*Y2Z?rwYTY_c?NHS^I7~WBwFc9q!&U1J;yXgM4i8d0 zQnii{?I_i{v-plyt-FModW>ofiFT}N-9voGsn)%NOg&z;j>(fEs&#+youFC|3{yK% zwT4AIS+yP!pmwTiJx;Upzk|wFv zX<^d2s`X0oou^u7igvzgy;igfRO|I#`X;N^c%F2jYP~T{x=6L&EZW7Y^;Xd?QLT3* znL0(a-W?}hs(yGbO1eztzYmZuSNRnw(iJLSIIm(V-zM5rmH*Yt)M+ZeR?3^M+8{JT zwUtJgdZlXfhDcYbw!UG~)v9fC(e$bYTO_DmquO>zk!Gp3ky7%ts%?~LvsK$}5vE?R z+71kn=BT#uQl4JE=kOr4xvK574CzMIc1DUcPqm$Gz@lzaZIeQzn^oI|3DPa9?GhFRpW*M-kJ5<}8IO$H+c4LNgw`#j9MM|hP=^d(jRNI46 z@&eWNNQkNTswQpf0i+W164@{DtQSE~hq~}!oaPd8_+II<2dr`ITl_$NV+V>TDS+yS^ zsjsMZ>2s-9Rr?_+`gFL-5mNGNs{I%vS=8&Q{dmdyhH5`4M(s`2ep;0DmTE723U8}+ z>0haLRQtIm(V~{9_Q^rgyQ=--80kILewpNbU$w_l)ILz{Gm@kaRr|~k=_A!XTk?La z+ULZm=>^Shl)Rs)_M21GK2`0v8Z_Pau)&sF;Z$@_(BzduIpOV$36!QHQo&S zLcgev&0^GkQyn!C(jTg0fM|cJj)4hkYgNZq0n$3vu}zxvm+IIqNm{Quc98NmsE*5N<93URYJfUJ0h!REP9hU{@V-6@^1}jFTKr)p3Zi zS>RF~hX+V*)p4|tM|B)$BnwJaMWGC&m8xU90X?guI^+yPmFk$4r`At(+?XV7raEp8lKQKT+r6Y} zy_#}>zuBSX^9YOa&i9aN_yMs29-^h8L*RA-scaMf8Eqqd{!?3X5uP@VlVq@7h~W1cih zb#5!PtLhvnC5Ke!XvwjM>fAR<-=3=T0HJ+U=aFG*V^rs{Li?-E(}UE;s?M{74pN;L zd#UN=o-Pv_uR3QYsU4y^XXiF|lNik~2K}|DY!SPU6M@bQ=*`l2QbxWGsiBK=bNGCzPBITV7^}6IZ73xc&)1X#J z-U(1YC7I)NsI25T14?>smOd5GFAC|MRK-HMVQ6QtWw(vl?IiIO%0 z7Tk@J-@T-JP_iLHx))Y^l=J|sUMXcEtW^nW55d}BXc4S6d1?>CIv_xL1lEBW(xb3$ zl_os~>tHG6aagyHQA@%)T<8f{cZyPb64qUUq^DrrJwSRI*1a;MXJFmWOL`X81Jb1D zV3m76EQa;a7`5kNJyObh0oG%MUWE082vc8zRr;rR8P+pH)Lwy=HVa;b_52{U6s#BK zNw2{=B|~~0)+-XEH(;F}C%p;l)k1n$6hwC$PQ{p!O-OFQ-VK!J3MZ zzJN6?zAs_@EKKbySieY;mcqJJeBZ!YxW+Dn^+(aZg>{wW_zu?QG;=J6^=F~)Va+F~ zWnk^dkbZ#mccC9)U7M%20@e*d(n{D6C9Q(3DoOeYw#{RtCfI5WSkMgH0HGGx8q(CV zur+!~IoJjZwZb+eK<#JPhDJ!MVH+v52DVW_YI^Cz-Gtg;+cQM19kzXiI$$fDO`WiX z6HNUDw(%L#udp2%ApHj0(RtDzu$>$t{R!J?G16MtCK|9{9c*WZNPoe0p3r*OCVQ!E zfNct?!~)yp0i%^TV7pB;7i{+kd0<-@W@;&H564NHz?Ky11KU#(YUQxK9w7N(dsnn7 z*ghAnA8cQVwmEFe6Bhj{=?~kF8B#TDtuc}xw!8sLNeyhBVbT_`{U){Pg(TM|sMW#_ zFR2c8dw?_mc2|N_5Bnxbl3oecnULtq~rCT$P=$H6d%}K+&|a`#7Nxci>@!3g1N%%bwS8fqC8=X!Uy!GE zAnXr{b`b1~lGMh*zSv6|5Bn>UdMNB~iFP>b?~8UM?4P9RI~w+-q8$tSa?y^5eU)e@ zz@8KBB-q=7%yA0rzl(Mn?DCy1IUNq0XcOTm72jEKl*gIl95^-;Eec2f1hq+U)QEO2 z9Dx+I^WYemBwYZ=q$i3df!a z(q(XriIFacWB(NC3OEjmkaXbm!3ok-I1USuronM!iZmULV9URlecRd_eiEj=Zv&0vN zW;k1tq!u{WWJp;!+a)yz=Pwazt#JMxBK-{KpJ~!+ zIRA=}*1)+TPs+np5+=35B|X)WcDM@XUk6-$QcUfHt6WO?1+J<%wO`@tFL{51Yl|ec z-{Gng-yd)dj8Xd&uC2VJwQy~lBCUgKh-iPoHB_|qaE%ac16-qw&0^K}^t*;h3a&jw z16+HHRsz?4qFLcOAWokRu5lrf9j-$}bHH_kXim6}7R?3M@iF?`aGjVYdEh!#v{JZE z7i|-`&JwK;T$8-?Z3@@*)a9tr<1zgjO&0_Vzbyb*D3D+#q`oeXc zXjO3CAX-1T=EdpT46ga2Z4Or=Ppv;(_ep9sTnmHL{BS+uCDp+7c#^aQTu+5b0l1#c zlWO65Ax^4;OMca?1K@hyORXNRx00j=xZVwu2Ez40p0p)g=`?8&T%Sitjc_gXlD2|t zS(3ChT;GRD+rYIVNZJ;zpVFkkaAl*U?ciGNB?aMXOOl4b^-G+zJzReTNjt!`E=?K= zwoIw zv@hJ_h4zE{@F;Wa5BE`F(pb2U4U!Ik`=k)*K)6p0kPd=-VuTci`|J#99Nd%gq=VtU zz<|X%9`1{T4uN}$)Nv@>m&ciU7~E6Cq{HE!A#?=XR|_2p_bl-p1^0DAN5dT#ItK1} zQu48I-;!r3$HDz@kaRrUk3~rlxSvRpPJsJq@tp|wVk23sC&B$G7r|3FJEy=? z8liS6Je$Tym%&pWCtVItWr}nKJpF`X@bnj&3eOfM(PEtjPo2*;OqTqxS@@JL_4dIvn0rRlp9o>+o(7d$iKq`Tp{I!e+jgw6_)?t$m} zAZYt5iu5!* z--Jldz$3i^>$C7=64ai9XGMUt7@k!b((~{%M@TQg(;6bZ2+!&i=_PpDM0*(?=^t2M zf#%kf+UF=886|yz(otU0mnhvuQolm!ZUJgbQMyNh^fgNNj*z}V z>6j#G8OnArV6lFSvJnZ=cPJYzv>au7$Ebaevi&4S24x3^sr`Vm@gdR*ltrZ8l_)zU zNNp9$PWO_2LfKi8qX}i_$EY=<>=MyhPopnGEJ=&W!HE~Kcj4RgtQuE zbCRSrD4Q!e@+iA4Osx%NcNwr)+flYar~_s9XQ*|e?4cCt7nD7kApMH6Ct{@EQ1(oO z^gGI4mh%2U*=wTxiL!UnOkInzZ@r{-DEmH1`U_<%MO%-upYqf;pe!3RWV4{`=QK&7 ztdprWplls;*h)~gUNkGptwJ`GJA=$&N4ZCQ4wQS-)SM{q8z;F?zIlM;MtMz?o1EBUx?5=nD#n^bi*0XIgoXA&#UVmtP;rFNzNk1xXg^d$LI!R7qvB+tv8b3Jq;~^4 zQ|LfcM1>AQ#rZLde%ZpPxL9Z$DlQi~7!}hqI?-YqkBXTg(jlmrogp2HiW`JZMaBCG zYUiM$IYo-1B9|deLWNvyZRet*El%xxRQwhqT?n6CMQsHRYLc$qo{K5w3M zIeh(-q$}X7iIAqkS8u>#n+D&&3~4%iTS+N1;M-P8xe~r$gsE4-H#A1N8om)iGvON* zp>_>?yM{=!;M+Ywx)#2@M4JuY7}2hSukguS58t>HeRJSDG(pn)9v%@O-2mTF5z<`v zA~Dj9@ST_<&4ce$p_|~7zJTp!_$J1fdJBAKN$Py~CJEgN-}woq-Ui<#0n+X8U79A{ z0iXQt+wO!;JY@@)VtxEBRLZA%{7w6b`N~>GNc9Y&5x7rh41z#=|1@G677EY z?h)Su@GUfn7TbgHJ)9sdgzvEo=^^-@OpzAB_pAYn?P2&9XGo90_o9^iD15J^s67VX zYkAV+@Vyx$>8-!siIARv?|lOn+mrBpm?1p{-wz4W)9|en?HTx*1Js^{FK57Fdk((U zA<|;_+ES$F;pDG14+r9xrYA7L_NYsC|dZ38F1WW#QcY9+gqa zp?5dAz}PIdA5eLbm-Hhlr$}2?pz^W|wUwxhMM4P zwV?9)3@MAsxe-zhmGknXR#eUpl72>I;e1|=%Da-()}Zp97)fv5c3*_lhQ1F9wWIGN zLLKP)M4G9c==)5J^b7hH&gWmz_oWoI-_Z9}sdp{x%26ffhP?t+A<5xG)m|~C_C=MP5q7;Z-vJS7{ZKW|fW^KUs>WwX zo1^N87^y$1j){<}QFVNR4uQFU2})PSm3fHV+QGG=7o5>;0vs0~8ZH8D~ns%DF}6{_MPYFne~#sFy>RNb5+ zZHuZ~6QseYxP<6Lx+oNhxn5jFU>d^pcD5@TpBRLFJPYVr4)ncI?QT1Y;z7eQ; zHA)(Zs@ElTCse%^r?xw)z7LT0K-G^1EcQLo{{}B=~z?`OOcL8 z^-fZrUMOjFh}sFN8D(d50NIIIxIO(NA>t5wTY-c zT6|}r`lK+mb5MPT&?Hn(5;_mn7YCVo0jgs{7ovKmm)gaso+ES#s^?0oe)46oXqTc| zMse)=$(JE%=C~ZycScG2$(LbqQViA7FShF^Uq*^H4b=;iOw~`m93a{ZR6iD^rk{Kn z7babW>ZkG~{S3^ZUeZidKOZIOXJC#LYqf4WUQj9}{KjWAN{vCp`}TK|)FR#|NoB0srAbPr`q+&{OaqpJwXQ z@Sl_I(ROi&0w%|DRscPw;PukeX0~FsT_e)(oiyHKhhD_AF}p zNXa?XdQp&CD{3kdq@Pvm*#<23)u`zgC9P4dmx`80jXy@MO|>2^T03g$q_z&#TDT5% zqGrnoeZQ#I6OyD~Q8PG0`b}k}Cusj&WjB|+f2eG2nyG)PY-60XR%N%9)OD!YB}DBn zm2HsJ^(wnvjM@g&?8Q`ve#+&6gwY&IW&0#aI^eCGIUFS_TjQl>Ma_88Y^XUbO3jX% zqrxN)YR<@$N>OuGjI;@AqLSJNHRr{tZHk)7X_6N;@;mJ)L(LS)TaKE`Q%voPnrkG7 zeuj5sfSP`W*PkKvN8pJtsTzT&MDru?ypb%98U$VnkhVbJl_V*Ez-v-oEdp;zY8?Vg zL>qv>2VVN>5lBZ#4G4UeBn?F1t1xLx1ilq&L|{dT+SUlPBuLvJ(3&A_i$GpV*$#o< zB!^z^^H1>&L13Nuw$~d;rkG;~)Rst&VW@SbsqLsYmQ0XFptd4L8j0GzUeZpe?Ux|! zjN1M|(kRr*ID=ys)Yb>6?TXqRL!=ODM@q?iqV@pM_Cjq~@{U365n=lFMeWg&x<6`9 zOi()jwWkXmgxaXkIMiO4Vd{9)UM_SfYOe}VI~=vw2_1#nTfEecLG7I}(s8K0H&2S7 zc2RlVO+f9N3DW7PT@oWrMD2$W(wV4DOWw0k`&EG2*{EHX zAf1ES@57`hYFETblTh0fBAtucT#R%cYS%H~Swt*9U9 zCEbSlL2=UUsNXtGx&!rt#djy_hh(VTh5BKl-HrOfH$H*-QBkJegZfa6bT8`n4w3Fh z{aB#~P=8R6+JmS+I7V8C`a{#ChfsfHgtQ3t$0SJ)qyD%&=@HbQ7$-f7`jaKc+xR zP`@BV?RC^I6nYc&j~U70(3{Ucl_D)c{qsWaqW+}}wf9h;3XtAM{TmU|2dIBLL;4W) z?*&L7p}z3__!#x+1hq8kKg*ClLH#!&(x<3j9wdE+`i%S?pQC@{pzU63eNUC0)w=~MUKcJyc zp7bLc$^)boXz)cyE77o7hV&B}wlH9EG@+q3Li!aAhYJ0Mh9kVxen-PmG14DsI96)= z6Ai~p9c$5WQk1Fd&~R#m^cNaV50loT;ViEq=gF#Leu{L8YJV|CI#sp55h9(Y+TTl& zCaCsLW2Dnn`!@zG&NEc|4>8h2)!q^!ovGUMDbiW0eQl6*wrabTZE@=B)w}>TeZ9IZ zNz&J=dqmUMs|VB6^!4ho5J_LJo)(|JUcDHhrmt78iBDgz4)ape*DJ4R7sG#@`1Bpi z;c=!;LG|%LlD=a(HA=e7?08SocPtl#NmrQt>`D5LWqO`8)ofi)nr61GCrvlI)RShI zP3cKjnmy=ASD7v6NmrX4=1DWnhVrCq%>MDDS!TO<(zRx*c+zaMO*~0&Uhj&Nt~Wcv zljfKW;7Q!?opgiQ-kmhp?B-6o(QMvMnrHTEC*5SWW+&Zjc48;pVm4kU%{Tk3lWsNJ zs*`RryQq_HH=CxD?l60#lkSA=Eup*24(QbGHXELk5@xe=(ml`{os$-rP0mU8nmx@) z_n9rsN%xx_%SjKI4a!Lmn*GR03(fZ9q=(FIrW*=};(ro)pdcy4TO?uL7>P>peZ0JpT8Vv(uq-V@V-lS*ECf=my%m&`1#b)zv z((`8HZqf^8({9p>X2WjMOJ=if(#vL}Zqh4elWvmUyV@f;Qf6~*YOg_W%uRaTY|2e~ z!)(Y+dedyiO?u1hy-j-CY_&~#$Ly?4T4FZRCcS62%_hBXcF87vV0OqReXMuJmXh`L z)D@-n3G{;Rq)$=)aESDoS?Hbgxmnkp^ab=X?j(IZ-9z$zWtMNJw$v=$PSV%YiIVpl zv!OS&WoEf{(zj-*cG7odr*6`6=q1`o-<##xNg1;=J4s(z-Cojnf0-r1N$bsu;G_*^0dVtjS=GicYeb<-AFD=+(PP4%BTHCpn?l<|et!Qrsjr^vc^Lk6CD&R0_SW zHfa;Hj5eu{Swfq%saZaoX|3zI$K#_w%tIa=vG~=bm%! zefKSs&SZ0yWfhal%a0Q%?}<+C3Iy=y=d%2Bc71iz zjHVk1`{nQ2Syfj}4a_PlzjlWZW;V^5(K0LCQa@)_bIXi5ApqkMa@NdhtDgxz+Bv?Q z?2DfMOxN*LOk2m3k6ORF=-bbhm0kG$@)^Tac*2sE!{M&MuJB+_Z+LRJ5{hmQfqq=H zbJb8!xCGaO!0R$ljFhJ345R)j(CimqA`?G0CiJJ&2)(>1BA ze8+!+gh!Tlt_%-%_KNcJ($J>lr^V7RM4y4FGt$0$~c(1qR~ zW;MW+vhv6l`dh9@G|inyspX+)5gZ!s91f54bX5T8dNSt*!jOWcL#d)ATLyN9lSK*J zXG&OpzZ5SD70{{B_IywSAV9q@1T?^80MBMM0A{B5YdRZM0KTYGg(U0!M$oOQV-jcJ zdm1AhQ;58%vC=V>NG7MzHkrsXI&GCizM|7sMdWFX(;XE+cD(MV9arjbLK9OndJGXw z%!=tTWJ#hqsK+oxi4Te=ZhM%Fgg>p9!+azzH~4}nNt_(j%VAa$ll@vuCMHoG*Mpp! zL@1~SIX#K#F+Io`N}QqhwS$wCc#mH%$$3hg5YvO4s>CTSwK9c?<6Lx^y{f277bmVE z;39L*=4M@FPFK)P=1jfYt(%kdzO+jT=jU|4i_EFHCa#h@Bz$!)52aWVjae7J%*B;% zehFs4<)k(1m$>PinH_IrT>9BSw=|KzeAUXy;pP1+d&7$dJ6E7v53UU(oHy)ES>QBw zW_0YHm2B8!{=Cf4s%W%-aJZ*C+#l`g@9rrp-?{_>RHs5#N4)$QaipAv4kCi zBU*L+L1;o`UjTdFY32Opu=Q0^3{xVfx+{o7&|t1FkLayqsw%Ddo#r8#0-Pf^aj#TW8V% z4=j#)7}0h?drOg-!I}%&2jv5po7pzb(HJZQ}ww#sqk_X4ulYxR;eu?G`b2! zFULK-EEq-kjz1APHeO7@_~O(t@yeyd`1r9oAsdJBM?^9Z7JrfGQ`3rWxL5fHyGQp2HoO5V;DDyqf@TG!*3Rc>-&r>td=eJ1-&#_ z+ko|-OPQFYmbM%SnQ#na^HHNT_aXNi(L#_u6EUeT1Y*63rLY5d*k@A0Fs#fNP>~16 zveul%Q5jaw>Uh~IVVHG2P2hs9Du2ONRvvpHt2NU?(f~9L z?{0q~t^rX*xBn=h0dNd)dqyXLYqr?-ltzMwjXTD-VtFrOdr>z2zhHoUTD&2X5f zu`mzE9{3*x#jyKGB3#MyjmdRyXvIZ6z9_+jr z^J2(vAC`V1KM@)ogbOpus|v=T8q06l>s?6 zvo47%BetH!OICI+56gaE*pT(T!+p?|pA}p`cNTm%G7p7$jfOm~OhGZy9EpiEw@%M` zhfzd4J*x_%(3jQvZQGjmh$f1Jdfw;FA{W;E-=-~H+0k{oo8Mec@68@z3j4nt@KS_z zsmL%v1jMmTYvZ;)B?zq6(T4$AZcnHT1vo~^41y0#xI-5&F#m`EY6JH0bh>6d90P2zgd`KW6(KHyIpVoPVksi+xyGW z{_HXFqk(PyUg(%u?N-+K1M(w*rJ9#?FYm$XV9nmGXGe}nm6YbKmt>ELS(@YKA0C@# zyG@R6Kej=k+BW|A8d}-Sqp8830cbkjcWj!V~*n({pl3J(siH&Ur010TTyi zJr|GOLhmE zJ1sc@6DMKBV=mG5=A7&IP-6GdIn#q4T%3jLV>u5F8v0$@gTWrt?7pOit0Fu!uwvDk z&hQdcy&M-`zrtf!cBi|(Kc-QZ-}~CF_WE1B9OuZQDGx0e*yT|VoUxg`BB|jh8I-Wi z_UqWNduFez#6M7Ve-=D;^S0}|!okDa#B)y7jj4nS_Z%v(=gpeBpGO=T3r{rhrg8gG zj;vs8$>RRbk$0<`QQ{UUGeNu zM+Kk030~|6`JCZ3co;0)wR~Aw`E4iAfOOgXr3S9Cd0WIf@lN~w10fxP=6wJ5 zpbkL;yEB>way;Yc)P{W7dc?1jK^MC9TRtG_OxhQU+j;=n6S+Enp@_Qq*mWGTNUiKC z(8K*O^|5&ojR+u~&ul4+*>a8(WXqbY+*{tyVe8$I*n((37?h9$pX!-umx zVC#zIAG(+nR(ynCKybJer9Z zK>K24^iO^R2NvAXKNRNHF-O!b;>;PzT6L209Dw7d@JY^(e%9oky~d8d7&W*j9PnWD zxqyMgUKdBdmNamn3y(e)H-PqPH~M+MfrHV1#56#AeH*oJ##U$^g|PDYZ0L6-I%CKy zhg%0s0V;pkw`P!ARh z>jX`ry7z$3X5xWR-(XK?_uBAEtR+^bFI?HI|DM5~)aLrXLyJ3n_2Eic8}E2qR1a4% zxvdVi<)xsJ2PuNMo#Zz%sbOclU)tnx-6p-W70X>IZL|Ytv z!jVYzh^OVk9yxB8MxsP~inX=E^V@uO3tOU!mf0=H+4a9Ng ztjTG+yX}u8Z5KoxdLnU{^6uS^(1v3uA4lg1`S~UeUbk!31-y(GfhD%v4T4_`+c@@rCKYCmm6!W z%Z-x>F2kreMAPff&y1nhq3ZG|MDnkIOyMr9=jE77WGbc+3vBT6ebF;m;M#VQ>!%Ec!L;adPxjCqO0`cbNu}|PhnoEeSs{L)p?6xoWs$W zaOTjqyUD>|=rr)yVC-EXaugo`#~o*@7Zgd<)NmHqO0Mtulz-!{q)4v`uUfgJs}uJn zxYb}@@B0V!o%yJITO2(WhCoCe`|n{e4w92y)t&!#c25BdCg4&LWIBw0uPFrGm; zbau|h5ST+(C+)vr>d@4T{TCcPG&5%Zg(*68ZOZ-&xpC;Uc>XVW&1c_V(uF^Hj!^}d zc06S(6TdH;4BFn8!RBy%3Ta)@651rt9{hyAgjPX)nNmKUmF%CMF5wG+Hv2Kk9*6T2 zzMX^j$a?YeK~)$}a~zsVL;}ZiD z+He2K2Okg3#9x+X&d}^#KK$u&0dp~1E=ybp3S;)Zh)xDG*7(b$27yFdNJ9@-U?S`P zH#La46tDMSpb?r>HiqPXgwQ;DdRFRn^~)9Gv_rlE$zDzOA&-M4}&5l&rq zaay5PU|2Q3pa$nyD-fAF*#MPLF=h2tA%&M}%i{`>=}|N0Q>hr(tW7bMionzzOsiCk z_qwy9DwUD_w~LpvTLsqAK_Fw^Nf(@_oO}nW;XPFAq)sFCy(;f?QZEQ8ysYAeccc_5 zi@8D0_ndlJ(@#804US3cs0!Gpu!k?qX)$)T!-1?8V>df2gLUhi2CP9IrEz*u#cpwU zl25B{I@ML^-C)l@{EoC%jt%ti`!iaMP55v_M2l6idmf&pccxM#>jGNIN^$49pdRGV z57&qEpbWCsuLmWd)j2&VGf@LfH-i#`U`7veH90&Zs|UHP9F`kM?n$ha2u=2DB`XA+ z9?^s1(A6GL6ywutDlkqSti4J>H)W{ z!UN75S8Hgc^uAVjfZO}}s-!KNe;N~S>@}Pa0MQvs8Q3IRaZ)Q7orY`TDw!c}n6B!m zke~(pDmQf>JtqsYBQq8R7KFJ@AAKjas{l3tN3FY)#Uv96EX+sW8`MZ(Z9aNwQUhRl zK6*h+17O)b>Q8F`th`6hOKAXJK`bF)mjxbf?Zz#$h7~Fiw7WxKbj=8iHtf_Q7+J$W z6f&pehupIglszE0wP3If_tU0JKR1MOZtX%4hlaRT8^+u4NJOWN&nGv0UTdg=BiWGC zNnutSMw2>(0sKNlhwug9hR*?U4~ZAt4F^J6h^9WS_Yb+>aI0P+s^UXIK!$>1V{f=a zXHeeZYWRrGpls0_9@I)nAK0apl3uVa0%z0-lZ(5Cdx5wiY3b{=3Z(`&C3R9#wKr=O zN}V5$=%gy70bT6_W{4M;(E4ivW(F>!^&j+`8Ms>3Ps^DZxP;e#0JzBqE~)iXvt|aa zoDHj}!4+usr~1ipKz^2Gd;d!4Sd<8DLG)gF{AG9rNJe z5bJ{x4-PKg^^-lu!j->%ve!_+(C{#AxWZ^H76$=oFv-2g^>VT@KkC!UNjmRMX)(^* zyxkf(l>WTuGj18Qta&eK=xCbr{-B|wCC~e7%B=_Ocit~GbhOxc-`CL5+d^d;I@@dL z+_>8So9nzUX50Y!-8{MRq(mPrGW7PSo1bkpbf(TUB~I3vrip*)07mumIgJg_pNzQZ z@?nvA-}AcxHk5h4&;Z;<&HFtBlmzNv1D*GZMuP2g-cN#V32vt5eMKX|M4Ttb0Hq<6 z);=$-QNXB5 zw^MvFN}fI9?Fq?@rUzn>b+p59*YF^p(3-E%@qjP1@;ejt*ZUx%Oq&%(s|Pm+jJR~* z){qgGc(p~0xCE>vXvC%WHu;UXbly7J5023TJxuuw^O$QT)Us6^DVpac;O0tmId|9kq#=Wl11mCyfG#%LtK`xx{8uJ=(i$OP5TN2O|jw08Vrk#;ISh`?gcA8RtErh^?hmB*C$q6s_@ESgU&nS+9W%mrP3BtB} z?lCIKjqvi25vP39p&0Oz<|NsHY;O8^L<_N1eJZVmkWEdy^$=!YhTKLGUzhg}^Rtua zZFO^|&Vkg!KAl3DmZncfwHQ~TrUMx*#)@i6>UDB8YTE19%5g<(dN8HM7_J)Gy-T>P zA#jIY^HRhXu!(GXGo}~(w#fJ#U3(6kjKCm1u{pe)wVfD_m(s9`?Y16@DDZXl$PTyR zxlrDaBS8nSN!RMmQMQUP=~bpL_Atbvqf>U`Fm+S zBsc2wuNWk5%qmQ9abc+)>jR3trG85(B?dwz(sKTi7zvgVc_orqo-8HuVk6O|aB@vZ zv?zv{WQiLTL(JITQNOJdk5w+l8w)sQf3hH*r-i2tA%R!etm?)bp~ds~sJf}sr_@x0 zD`W_*3vI_V>{HpH=bsVC+g3SNR9PkZWvXDBIT(-=bqT*y5HA*BH?=C}GKBd@i8ehCNOh1s?z>4h9f{lY zLk&L)v*~LZekAq$_m|kVUNo0$Aw1S&b?T-sX5FS3+(}-dEQR^ge2`=*IHH?ZZY_>i zM~n=jz!x*%E971Erk=C`N5V{FzRZ|cH>pBwwT%bNywfG2=3kyQu&k(*beVsZNymyx z?nv5pnsE3toe#>)zaAE}ArTHP%F8@Bier-S%=TcNE~9S$eB5kL-sWuoOAZ`L3CJW# z3@bRU`Eu2wn@Hi|xIP>8vI8CMzxTEy0dC#n;qFvE6f!^MWyqSPYhoV0$V|6?Hsr;^ zX4bmZgJmk$$<`enu1w|Ly!AFu4ra{0pxF`Hn4dM{Q>Ev(#=RVs6`*xz+)E3)eCue& zgN3cA^#QNJ$Ynp`=bD(299S)vR9tCFy{Ezg>jaMycd%#1tN zRgAm+uRib^+5w)5waVw;K~E+L;AfNIG5B3!t-ixlV`6cv_myI`sj$yemlJd$=P)X1 zziH5aY|1Oh-TXq#z|EYyH){ZC82B(?^Ej-r7EThpowr81FzKbRxDm3Zqp9my**VnK zH6c&s?Dvm)vp~!Ihdo(j7_EPgcv!N0Z~b@Li-!)+ug`k2aHkp|+oUj2as@nd-J*r#$rF{EQJUPlCr#W>Ca&xBoZd$s;ko=|vxy3?s)8 zh8ovpa z*tc}0O*prTEv;r49jxV_L6fv(S<6bJa}xHJ+aazGoJ?%XxG}I&dM%NNQJ$NzmL@aK zCBNA?vz(X7NrQ6hBCy%G!ZPmf3mSy2okUA8V8XdwZK;SFVN80{Eh)gd>XlnjvW0J% zn>MQ8)Wsr3n1kO+3%Zn+@8-4Km^Q*pn?}D0mU?WF=TNlTt$Du&;(F^=)_&Ay#Cc5C zazn(3OI|E9$yf3EzvaVGgSc$IT8|GJVb0y(l17*XyEbBk8Te@#Ba8*0<&~rfw${#5 zLnd6d9A`z0Fz0O~V1lhiTjn$3yc%e^J7~l?FZ0h!0(a*v-@L=6IXq~7I;Z8p*AuXk zyxlaoN~@ie(>=HP>0GELG7! zZ~PG>56dDMH^Cy_nl^H~;Ep#ZGMe|c>gRCsg|BDz<9tSi(lQp1hGtK`n$+D0a+#c1+q6!y`0nrQx zk!=2%Ukh31Wee`kYEjJ4{7dzM`JM-k1-FK@!l?WC??`K*yfAuV(-T>6DEWbcSkM8o zW+;H>za^=KETz^7>s!-aES#YE{;U@ZXL!L%W{-RRQIHE{rEx>(Gz+8=yCDpAK`->V z6-xhJuqmy@ z(*PV_&>w>YpMAm0($n}nchi5;pm4JBDJglPs&l|PcfSmD8JP_%8o=2+Ql~*Krl|x7 z9ylMsJ(dNLJTpG1)Z4R?-&p4JQ44%UGQQ>1gYP#9P?IV0^Y;KNP!%=5EHYa(-a4G| z(O^M^{Bj`m&bW}``3%k9*PUA1h}^CD0|0wIL^0p>ta*j}`B}24V)3=Ki;T&xu5fVY zM^b%Z2&^147HDWd;I7YrlJ@~za!+Z-cY;MWd0bv@s9SQ~`3T@?qW8oKvNjb5Vb&|Y zp;<`{h+t;3MY~oUA26;^cCWUALfANT@pQbKeHpM z>b7rn%2QD<1p$i*TOA(PYSq}lH{QmRc~vWx40Yiy*>|&!zvT|uw4v}Md_oHUuc{cr zdCAxP;BXE=_G?p8MJm7SVm%e{TM+GZT|EflP~1rfcOr^Nrlz0!iqZ&5CxWtIU$&GL zYz+=+G1A?$ymPHJnc*iQMgE}`7|Id9lMr5jwWIxOS1upC*w{mtk(Hgf*3N@S*ywxV#zwHDAV%hGQ4{i+obpR{e6P zUm0G6kIbPTv^8@4dGCu%Soqp1b2@~=BH3CvB6qY0d#l;{6^A#rT7YsH@U1iy=RI{| z2xhBff*Z58N>f56k9cj;c8wWELbTOrVQehgrsqt0twt!fT3s>1ZlyiQp}3GL1{k%x zg8RevnE?+TOas@1_UpWvMA7})0pyJ>TEW=1-{;LEOIG_lIHXR%G`tiqUeo0dMm=2a z%)5NAm&+JC-MJ&{t%%Eb`z3xI6F=PmNJZ`>+fOt)W(~YMYn11vwtaQfh|9pwrG1TW9QCTS z9^;Vji0Cnn_bpj1W;r~V(u3k{SxgT~C^m=mAji>sLKOtTbsXb3H-55N4rm zqX7$uxySr^Nj8ByjgoMt?G_^n*_KbH^?KR!S7!~FmFMe?FtfI8vk~Uhw+;AA%DEu5 zd=984yw+*#k&qq~#fyS^kOkQCXig8xW%uhTH^_RD4V5z0tf%&Xn;#Kx?ufhTsMqEh zJ~y59cTUDlXZY4ouErl(zctlDS^x&f)b`B^t0^>>ZiC99Or(nv6P&GR&X zRP5x4+XlBu4gd55V3pV(??$ZaNA0oML|%9BJ2#E@rooO6KJi+LzYmtLSnGpSe5a}T zcw5#kW+-fZQ6=&cbIFKw_XS^$Lubj%3W&`sMCTt*i&_*{QB0?f_qsVS5gqfQEf>DAjUlNC7!7@AWCfPRY5BxoH=jb<%NT&_x&J^%;fE78A}X zMD~|iQH99n^45StWM{cp6=z#HEu(NEqO*I+$tU}}k2d$a$GDH@~^l|>XP*OPs(JE-`9IliZZ7IZv=W9lh< z5qH6BF>vrb$>R9s)B{oMm_=y~h6$RTqFia*_!}^gk}bDJ3NzSoN>Z0HW{M5p7VhW@X{F_osbLyHlQ`dQZRqwg!1rSt=0-hq z@D1CBk9l$M9o@#SdilY(XcM0cz)HSpSWn{fB`$<6b!QexJ{gM$o^PL4RaY+u7YF9W z4J>sH)9R%t7W{xL?g_Wr=*c-f$SqXI%!nRj{mhngFMLW;$;|wRB|EN7>SbAx3;u4f z$^z;rOX(%qr53#G(}P^mcR4Qr!aM|(Dr@{b6*_7`4mc0Ig_`WJ=b0Jx11)g?x~Max zGA~=w29D{I>gw6c4=ki|osL=8VOr?yfi`-jW2vnN3nOu$Bj>@wbB_aEUUqo=a-b(* z&{0zdGwe+VmU^*pCz9G2GbrJJrQT8>&Kg*ltGr}AfO{szfJ+mTJZD6Azox|?mHG&T zv|*ZB3DeA`)HW{`9)P5_`wdE_R6q%HJ(cic;YMdqa}Y}GgemyX*{+T1kSdOP@4=i7 zgTB4e8C?3{?twW5eYAD00i)bB0|sjcKIqf=VLeHH;Ozk|$hkjog#lywz0-g(@n#wf zvUwb6Fo0Zx4_uYedL!NJz$636Njz|o0hEHf)BsAUoo@hHAa#F><{erR?dAQ#xwBYW zJD*Q#{FIW~`LV19VmK53vUPDmFJuvdxpS#j28I%s1~u9wZFj%s(?N{!o`?K8h!Ni3 zsdGY#Y3F;AIw{5|@l-$uu`Tc4q=gvv+3N|h&n;cGVp0F%<(<8_#_7j^=bV|&>nla@ z7&Hd`_{o{P90FBVe&iRa;-BFKxV1ay+(@3Hio@syHn$U#pKaQ%NASueX6O2G8M{mJ1->B5N;T^xqJKjyBBv2;lqab2I^mJcBGiRcUbqE zbY4Lr>5z$k_JPQrQ6SRoLobrd7+cce$@%vP6E|mUe*Quw`|Z%$l?Z2IeOv+H^6pSx zi_>L9dhdm?Jag}&CBtFdt(J9l&jq#)2RX05{z08C+)J;2SO=i7)jv&b9D~z3I#>PU zIsomi{u?>~Ggber4!|-~f16KZgWqFolxJ!fSlc?Ls{WRgo4uog317c6r-Aq~ZTP`^)yup!jTDy3@U;#&V) z!0mwSPU@f0ArhR74q-E>kEb-+D%fP}@6f0{;j6DnIuX zbI%2}R1LR~bI){hV{CIzc5}nQxm7-w5|qf?x4V@fvFH3D=;CG-%$?z;lYE_93;Xw9 z-DIAW%{?PorVL0v2Qd5pNO#T^eu4$;Wqy@i%4P1npqmc%lVU2lgOxJ(Z4nopNk8`- zH$PK;Ze`rX&xD`zO43D_4}s3Da|2AlxxutcfK@Q(_inoMj=6JVE&--_W4Rx6XM7OM z*=Gk8;$+!j%=u>w^pYg&kPp_)c_ZltSYVBB$^vkzNAfkE8B~bKn#L1j3XvW1T@i(d z{d{@jRW2%=ZmdYFywq@+i;Ajf_-{<*1?x1ET%j^^8sDsHVE#3p z98h?mlEK{Yx~f82-xX1nSIF;oX=XMyUYt_7nG_9w_bX)S#T`jd zdBw~RH}v!`&Re`+r6y zj2LmAp5ByW#9R?!%L`wsh1jYW%1uF+6jO3xMN+4bO?%;0SslboZSNq&LsmOei}wY4 z-b6o~7`Ht=7e!PmQlsb6tV%`tExepmm%D7$Jy#@E0c2&*JN+sZ`Q3A#ix)Z9BO9j@ z_mI6kXJ=InsGZgyq!p?)Or}ZGF#-l%Lo&s=hA@cGgGSlupeZ8 zi@78@z<-HL4YRZ7f|RO;`P_3#OeISOob56yyUA;P;IgMmGAT0L#$Jbmc`~;zQ8yVa>V^T5l}W^SRtAf@3v&xC!_gu= zublg@Whob#7bFWqE;2e-<03aVuQP7QxwsLOM%mOdKkVEakaLX2?ug1RTbITaZn|vf z2huKnxq#?%)8(>&Y9wEac4bH2lyuQq&yDRt7oAgGy)y#3vvv@$>ZdXa5pxlIs}Dpj z7kP_T2juO@>I4v{61jO(y_bP>sz$tOnzITKv910_R3RcIW}fI*h?tz}7wLpkC(=83 zVF*N*XIvtJ?}+Fit`Nbu1#}P>o#5MpI*5xz@B+UM;yO_MwJacqZ!+P*^GM|#O=sAk z==c=qE~mLW37+TEL0nI&KN|$(w83Pkek!C;nNrnz0}7QBKJ$dALgms^)2#9`nW}#j zQFxh3%>yY=^F@jGwd6gP;8QWO;){;F17TfB;pbO+^56HaV4BQ$ISHQQhVUWCFfo3d z4%0U>HAwPs&x&ZcYt>->M;@2UZ~aOuS+^kzhH@?~`Q9fIthG5^LYgekEJ;bXCwzcp+``RgxU3gm z+sY|aiZIvPGq$e5t|};RPii!xrRPFd@#K^MT#-}JYvLYLQzJ9hXLGJL%0Zvlm94Zh<+#S`(o4qrbd zsY0YqcL|{X&6Z8BTMeO${i>S$8+kudHNnAK8ITg^xz4Rkt5me}#xL3Og@I1t?JATE ztZw$JBD|p0aa(wTMY4^5tB4R`M-8|p_X&|r8*krq0VBo@_O|*Dr*Ib(_Av)W$G~*3N(_k=k`5`Iz zb+3jzSlEoYYHj#d%!>;%wc*b}FAnU0HoTtp;y|N#=2~(bH$24kuB(3#+y4444w2h_ zYXt(+oE#{}3)3Woe#1Xv_}er_zFNHDrx7m>l=FsP2fR2?+8e&_8736WhP0;+c)Ibz zjF%POdD{3sZx#mn%PB7{D87xCdV4~a^I~2~c*9^cp7vtNeP-YT2%Kmirrb_}L1mFr%OdaIPq8=UgnOUqop!JddSbkm8?Iy$4 zr}#JeJzteJmKu%JuB(Zkk@^5LW}5@>wYnzMK;xu50FiNF~qVRneBli3D#{$L*CHe z#AWM}YPWwPHfH#`XC~CfF0k%9xrwy#X8O9vLKCs^YIfa6Ce+3Y*>%70PozyMd9)+y z$tUp`o$9SjF6Bp8r@S?>^N#jMJefF&qeF2|9_jL!pF^`=OMF2H^EcBQ7~O(iAf@@YH9dBVuM?f{sLd zW(Jn&NSk?-ag1S9Raz#KwhzkcOImHuMIf`M#4jV zOBN5yLzHO$tHxtMPaN*-?d|E#pM+J(KJ)JH0zhMTBD|O1I%gK-Zhtf=sBS1_0TgJr!yF5SRru6tIVcR zbK~!c=uE?E;)g`+(BsZgH#{A?%*9?-{=im^d8n@N=~TmL;-*xo>fS|2xzVVD*zKG- zEWA4(%Q{ecgRt&jnNx^RzUyi~h<0xD3_`O!aAA+6L^GSptxji3P-xqGYTRK0Xg7!> zBgs-?A<5*(ZRECy36|R0ls3WK(2v{#v=DcvKXQ@2`_y15%xT&C%?OD0n7cGlo0RKFHthf9rUA;v!yaT%gQwwu(K{i?0JgK*@r zucq7}3gxlqGj2K-M{?R1#GN=ujHel3B2vwqCS( z%7k(`OIbTmJ57o+A}i5jWA2ezFAja$q?8%_*jL=B`108E{xa25*^E7wPPzfA+J93N zK*@-ace@8;DizV$dl$Ve;YC3Atq7`A%)vf!v4j^{yKgw6@*@9t%VkQDw|5a|F?oQq zTcoa7B(jS-cbiMuZrP|1wZzH?J5nH8|-#aIa$ zZX+DYUzbwhwyd*rqAD{oYtNZ3D&m~{r%&ZYZSPUy0dt7mo-2bYFX!*Vv`R(v_pXa5 zRN0d4Z3op6Xlwx(qvXDTN@hg&ygj3mCH~ga0fjt=62@r%Tm33G1O3n!KrJ=NZB=4< ztb~m2iGlA5CQGSu9KGwiky5Jl{qp2VeuXS5=DDcIu$>oy)!{|AJUY4i%B)JoOzoN< zRjHV%UFXJBD)agKrICYP%*n1l1XW(l$&Sy(6{-~S{zr2vnRBvRj_8Xu(Oo6oV%KFU zm795+oa`b?DxRNFxf%Rj(jrQ9a|RP%i zDixvId9PokGISRORVqT4k~P`kFxOhzdsG>=^>MwOoh~vHH}S)aqFb^*(GpY1%>JEs z1XMEfIq^pqnG>+{i!R+#6dzT%E7&-8%?hciIa|A*^p}$5=P(|+E2mNs)6@?^bS5++ zVy#gxM_hoclt0$csptg_9X_UBOS<)NDsmb+rZe?BjUG&B>bbN_kG1Z-u2IB|&9}j? zj!Y_|%M}Rj0Di4um#xXqGytb6^|zqg0LvovCyfMWG4&sf1ZOO9WlU9tQ0(}QU!@`t z`%8DS+%F=Wvwj>0Z+Xds26%T(rgGnffE=3gUy6X!Sszxrzm&>L$P&mm zTuPYm4?XT8vubwy&83?$+41eHs+&>Yk#%u1w7cI$?&7`*6Srqgri6r?+jC=}gv1Iz zBUC~{#wH#wB{8-iPdT|HddZ)avLUq|x+d*pWBm8XE&YOH(h&CiH|b=PY<;N3Uqa)c z_Mh%6p>h1X-<2$($)@7WQtuePhfYYB@G+ZeXGMhOeCT9|D}QZEQUf4{wKw`T0Af@- zJF5W@sk-|upG$4Xy(CU{?KL_%#HlWSMd6m?`{gsMk{WZ?y)2oGx{p|sp^DF(*V;k_ zvwm!aNW}?#-L2a?(8h3T0~&v&Bx+{|+%C!MFSQ*}4I-njh`W(65~sE~=LV$2YCot$ zm>sn>DUA&0zHVd2g;*o9?hDn82DLacq3$lf7D6t}=#6V3%&ql9P_sVe(=$lCW_>E4 zM>+mkPnmSHg*_G3OUfpG*4JYOl;sj^%m6KY&Wc&OS!+-BnRV;67~QP9Q|pOL)QncQ zC1h9Kty!IW5|ZH0A};3;=-{_~E;6Pjc(dC8qBH;W5V-lY+0bz3aCoGrYq)=~qpCt4 z*XCn-y+89)9T-**E*b6#SK?i-9e>POG#pt(d-#6Ls#qG7@}W-Lz+Z)j(QuyDG=1Kb z3JJ-q6KJ|*5vjn~W;`4Oxg@w!05dknTy*xS;E~`G;#jW#u2cDdb|c9+KX=I1c1E zDzVNA3uj0;WxFF~OXZcXdDPNV4COqD;u^W?a)x*wo9~*hSqdENTsT(U?iam9vNa(h z@)frQ25jVRpZICZAZ6G5IbEV=63%cyUVCb+gp(&r0jj@!|LRppge@jd1X`vZxi|YW}bvq;m$> z$TKL*mmwJUq@7IGX?7$y6YE6593QaHA~yV4E<3Opfu4x0n}lH}%8o`dRfN|1;<;2DTQB4JP%hx0FjaSb+G&aNa4?ky5A|}vK6of*|Ahb@ zd?IN7g=idnByInNXdZkhX8(mz9^4nS|3Xv_Zl_(*iHSJ)cs&1?Y`OM6K-Tl^fncKU z^cQfpPd>@K|5RIpHClGmJ<(7JAD^z?e^)7u&ra`IA1UGEQ_FidWJ+j!7IBfL?yDg?^Z2BWm|O0Drx^7 zzs7zQa<5N1kLpKv$KF#FRQ*D5)2uW4l<(y28A zpZgYTMVL4@YRxbL)!8!l+*EKlb-D=f5#-)K>9kQlGY5PcCi-W zK(7qwv~hMW)!JbQF7)eU;C1g`qdEkoQb$0)iDQ55{a?&2DBZa4WWNSs7wGfpWO&=V z?_ABG*V9>}JX?HMI%LEvIjT0fcc3>=#RlG)NE$U%aV2Pz(@=v3;wQ$8 z3M!ct?H(>vGDuy|nuA!$C=9Oj!34W8cTK#0ST)4253y7CeKZ1$?Od)a_^M&=s~M1# zh9uj(&Zo@bs*o2$?@vKgKUgk-T_4DqaVltgr-uU0c%(UC*1&j2=Elto^kM$RNized zG}2>svVt?x`Nxb=Lj`A~<+YTVfoT;va_|Pr@jhZG%Ccyt99e5kZ4?+Ez?9-qEQpqK@yEAIyWk0$nVg)A>+DC>xRtN zd$qPCQ18^*Vl;YxpVoLN!MMP$LF6&OuD53au|MW}pmfHi+asW!l<%4&%R5(w@wiX% z70|(-#(Y;b1br7~XgKuPX z2(~T5N43sjt26k#Unhg@(NcdLh&~i-MoU_vMw|_E@RguOvs|4my*pwQWgA<%#Ei31 zF5L`qgKJz+2ERqu)VRti!O-~>bwSLqMXzwc0cCDw?qTwTBtU&M*twRzC2PdlScgu_ z0e9JDsSLf_r-N9rgKzkC5R-oROKBa%DoSkTK$NB5{(K>GH8D<$CI+NzVmG}tF(7jj zk9e8DzJKtgjLtn4W#Z#$59LVyL?Y|KfJ9H+7xiF3z9;SvdN3gE6L%#&7?AymyZvSc z*%u{tMm#t;v57q%Zb~5}J`wcL!S0)odnbmOkgJ5mxW`n;E%t*DIcP$;gB|%o(4|v8J~&X5 zH7J!UjTJ=SRuW^GfwJ;}-D!g$d*a~vegn!TK5(@O0ml{A6Q{DUGdO;La-H^#5-RTSH1^Lu?UBsZ3 z?^4vsVTL9+6`YNCn$!ZjB&anhiCR}U2xD6-hTOVeF4gKbDJxFhbxFNiE;e%S2 zzwVl#9^_J2cU4voGUe+kl6sJ7Uw3Ix4>I+uYtsOEwW*A=Vlq2C8^MfwIB!N4_O6C4)tFNbPSr}hM!Zq7%K8HGx!d;!qyRd}b_O2iRU1syPT9+BhNfzVT{0iqqD~lk;K5q&ISO3kgg-o&tMr?1=gtDQ1Cu%}*zEVrY z=5{Hk(#soCVB$8I30m4yQM0j^vU&q@TUhCzk}VqbAMVD{T0nNi+Ax zvEQ0BbFUqH-DmKMJ!->S0tS@bFZS)60p%5H^cP8>=7@4#jDFsmhx>_vcLzQ7a6=LO zCr4qn#XZBo8GcV5ZW;zI1dE3ruG7&!Bt3b!ZHWGckum!tS6ufowq_o=%`wmy^k(Ad z2R;|~W|B}p9rb3C7~PWcW?}#a&ION`<6N8v7NotIBm@sn;1gpxaPb7A<02mYZp_;p zc={fqOYA${i~3g$I8a>&!-wfu7h4%c zO@r&G;J!clg8*PWV5R9I*qN1;FQ4lNgSO4Epf~3j5UqN4lGu|m6U?H$ZFkTFbI-E* zA4wC;h7ef~)S7#I=9)iThKN;K&-QiQ;|FFh#GT90AC>iePYw)P$2sf`C!4}X^o|-v zEnhBW@Ii&rgQ4q{mrL@`wTtz|67jq^K8y~zd-0c;=XcVnemraA3>}_W`b-1_o^N0Avqi%GA$3w3B+3nxR4l}OUka(b7*^j` z=wk^lP05i@36)`d+QkbW`VM4N4cMymrIQNPdfekV7n#+wq+Hb@7xI12LPv>na`E5y zcvdB|O8O25RWeJj?@<>wyGdkg9NgUB$$VP3zu46Jz6H9&Xoc0V`Z6hn%*(aDKSxw5 z!nfp%m`dfed@cZLah4g;*CHw-=l(}gl}g^92URLF;FPRN<*a_sr&2j5KlUqBdEm3} z59-LQDF03MLQVshoEle^ustq0DWH?9|--)PX&Pn?eKgi0QaEH+Mi41^Z z=otA=(_oRr@%=CABuNT&PV8{kUY zc?BI(RB@di$?vZCq&h#H$`49liiZnVhPZCxS4R6j8H0ktAmv=FFXtFqX+mvy*0UbTD}8u$B4IJs<$d_4); zaf2M+;=#@pcqVw{EAb*pE~%p>1gvL611nao=?pKyqI5nzD!1gZ=|@p)d^}P@3OLx; zwnmG(X^v0vuKj_(C?G^c8Bc2eGFu=s^egde)8S6k%+3D}mID0fY(IdFUr0FtjAe}! zsO6qLeXuz4U7wSY{b9}XpyUUV=aflY^Zim*eiVRHu|^7`R5LPrNIxIgkj zx`f7}?%E#_nwXL)=zb$pq@Z24T@u9KB}ZwvP;P&nepoI-%jZLdzZ?!j_%CTy7}wG5 zvMni5i5zNsjVep1=*w-#Csit(s_)5y`Xk8s@&fI|u4% z4h_@bLv>45;hhfNrdvQP^$c^_{A5(6!dfu@d`|H=QhoxaJEZVVLlEn`RlU__31ko9sX$Vfk|FS9-jx}EHqM}ghdx9!20@-+yi{qCh;n<=(LMjy+?l~)~P^WB}13&+|5ag`Sdx#+&AO2yC?ZBzA5f@hJx`W4{yrDDK4&Pc0N8j}M= zXBc=Qz2o$Z%F8gE5ml*}%N=J%R4R!+m5A|~7mBo7}*lW2zqZCLWuE^XLDKzfjaV;j26Ny9%%sL4itA7N0w`)xKBB9IHZ#zGAE)#xaB!= zMpg@Px@1vvg>Wi|b+S(@#W~rdhdAO|y)7y{3;Hx8W^^CcLyXe}5uFrwHithI)IyBL zGx`t$ImfRR;`BeFhd3pV<+M`B!oyGLr66>?UI+s|{5sURW5K=Mk!u24h_R5z#oV)n zDLK3^sgvT?=g19NErc)~el4bhCNnb*>7}6Wil9!2+x{az^J^g%Yg<|iG4269#GF~1 z)JicJSLq?9)17gx6jOJ4Mh9_ob>vBH;3qRqdtzECcAB3@v=BXf7ZCR(Pv*GZ9ZEe)65TwJe1a(6Et$`zplUj&UztKa?!E(P=it&r-A=c^_ zlRBv?hW5p*4&nqiYlSAUROP0QtBQFsefVfvr;j_h!(RvDp2aGr;8(I*h{^P=h!*0o zeh}3{oRb$qT8M$4nbtx~nVW(-h&!w!ai0$2yQ+tO8Ph`S3&EroV&MN4(L#vf5r0+- zv5RjCY9V^~g_ITo@_by2Fc{y_3$avxoYqP))qa@MLJZ+^J{`n;mbj646RAPF*oA#5%c)Zk&+cWrB4{ zTF1(;-ILNFXh#pliu7VT;+fvq13=1-i{jy(6^rovlJ$)g=o))3fpP`lX(W&C#+D@Y zD0Qy=K!l*>aNZm~FZ_Z;)2)!_+gsmZ0OdgO{?$>9wMpT{`1B#qQty8tX~y}(JaSa| zv1N>&5ImNQXb>L7KQT81q&!+MFl*6D{IccHQ1{Bpz_iNAQ>=fZ z6;)HKD!Uf*r2b`Vpq;DmBp83(P2NEmYgDPIs1ckI50M^E$8sPK-@U6|IQ^vX1x_BA0-%81KD}H7R3|rW>b4@>@S+9WEs@l!!|Solg89 zUeuk>A53)O_ii#0d+*gba35!xw79!^B-VSc4Y?8i2GrhK9e_zs{3YnJj566(Y+&-g%lv zJFMXuYHM z8ni7&eyk_3F-?GWoG@j~3?D2pNSHMe2b&Q9y% z+nJgjQaw9QaT}1wr4nzzKxsZ%Ka`NjxlPF1#EHLaRB;dw{4-iqCAWKdY?k<9yhzQ* zT1s(ssz}e@9IpMSgJ!jN}w^i<+su#l?%P ztCbB{zQ8QKTzED`RSg(h?e|?8ST40cO{vUW3}!6=)ebc^TOTuof3rRoDMk2cQ<S8_BAw^IxJA%XK$L+%SJija|3y7M~6bbC`9j z<8DkoU@1ZPSj>$QsQp%20jxZ%9ajZpsjB^ii=B`6)plhSE!^kTrh*Dp%D46*P@NMp zBwe8P78jYdReM)ZUy2-R*(tK2N;+Ml|(t$7}bsq8GN+V2NlWE!ozLYY#g z5~q4mPUUB<)qWwSlI8u1+OL3I8USfiwbtQ@)kdTSI;8aLW@yZ!lIrG!O7+kk)M(ul z4IKqiH(#TNt-Vg}UOO_FikQQ7*40_jBLS%D9cj1e!nZu@E{f_=X2qH6e4D)=1$O@qlS|kB@*Zf z-SR$Cc+pyX{Wbp$^R%K<>aFHW{!)2K&zi4f6skDzSw*dsUd@xLUU4C%>Sc1T+D%?eg#Gdu&%7(9 zH1ffuRbPz*JJIUko!#Ao_<>^N@5sv$kn?#iWO+7h)@kV?8L7_0zcNc!MOWeADS-`U80P>&9 zz4_Iw1=(ZAwdp!gBrgkU%F4Hw$5bM>$v4YN}ws1K&b_Qe!&*9Q(ypm6(@J_8E3wqIuwMdY`)n?O2W7I*py?oiZV2NB;s-J}Xl zW%~@1Bob(Qr3pkY+rG-AmVIgaJ58cUmF+7726wq1*>-i>faZU)di?&li6LxlhUviD zeFi4Z3p$r!)-dDacLCJSY4Hb-$G7J^c;w`4d_&sELN~2F__nLFMlK2Dbs;@2`|0iN zCY0~bZ9hG!mu2JLJ};#Q<+6PHbdzGXv+cp0L6#kSdxZ(*{`$_d^_r~}h3De5Fn(K9 zYk^$Mpv8J6_#I*HX|~%t6#G{J3pFd~B_{vaez&hkX)Qywz^i;FSo*=~egn)VzI~xl zH{tOuF|9BMjdC2n+YF=5$2XZ_)a$(!aij8pJRXmBFupeJ!DRh5!1#ShBM&b$#>e!7 zp=o;D>VBKWC`z&+<8&N=!rEXHsN~;ONB{QfXeQ9-$csLelST} z{}b>qiWuIxz&w8O%F5AuKI!w&!#bd~ZKrv8g@t09A=RyN!eX)Q%Cv_a2575w|J@L4 z^wO;_#=TTvHQuC;39{jVv-Gl4`3di>FGkIZ^U?NsaIjNsJ1ywJ!7bsow|QA%qul!Y zq=ydH^|*cJ;LbyMapU!F-kfvh~2ec3x+t{wS7D7vW;4GgO znktLP1Mi9GQQ4e5@V1-*MU#5qokmfBmn8L)lClpN(rv25Xl!p#FAKGoX7!NOFvgzJ z`^%m>_K}!Y6vaFCF}+rl-`KW*Rtl@dwx9X6ko_VHgzt%KIp|F~tA)6q8GB4G#+762 z@t|G~O=@gQR*xZWZw+dp!uv~j&8Vz=Q(4mH;+DJ+1 zkL}Qo$hzydFQ?VXavb}l-X0s$*h4|BoZO3iKrhE;ICiIAjyspJ59=|ux3QRhd{VTB z^m5XZ#-7j*Q2NE#kz|>sVObmBvCqWyAZ>2)M{H0tSy=Wq1%e(7=qC?MjoJ*(*@Wa} zg`sLxqup%s#cW9p1IlL8`5_MmbfrzFq&yf0l$-ctzC13PV=?0Y5m7lMfwD-@0^7*X8og4qd}u;{G2-g~5hFczM5fz$+= zu!OAhO{59kdtGO60!_SnTm7a8xa1_$RZGZ&Ti!@}E0GFW=ds>OLCWo{b-{^rvHh$I z=PbNEa`X*LC_Q&?S47(Tg%(Y!Zmg&-grf*gZ$D1&g_R$@$sn zl!zA(^K$e9SuYk@QAVp%o;{$sX{>R$c!s8KoF)9QEU%s8F9!fc&e zgVh%Y%<`zwkykwAv6TKh2J!OZQd$}2&r6N`I%Co*xjORSv=L{Xu0APZ#CcA;`pr=z z&dti|bNoh}CA|7HpAlyxSY2)$wOpQ${Mn4NtVdpr81*x!NB(KH&jow+JAy`eX$Y&| z30$AC)?x4J_oU4XV&bgyMJ&=Z+OYdq2VyU;k5d_ zXu8=LcoWeqa?UF^|&gBR+D9NO-+7yd#?F^q#onP#niLOv$zLNJYhu2y1QXx9k$$% zwPMI0SGcYHWOXiEN|)bsAKIQQrSjG1p)IOrjC$z9F@+Nicep355D|%?q#|Cys0~dI zl(OP?;_*_$!x@zdwL{lLRVsI(!#lGsGPtXMrP#ABLBjk9X!UP{iijNK3_k2v$=qjD z=OQYZ9c*Zqi_FG2G%cudv)>Nv2$hn>ol0wRKA0}WaG}H=HoP<7qSK*)U9nQSrHlDC zSRMi7y71y>$p-$dXtSgcj==|m8hsTk&zToepQF_5D5B=8uSo)P+v3A4gAZhMa?;ud zUI*lslY5rczldq&YHQWhhK@=uch#FCkXOfI z{my;$9cdjV;dz_eBe~9%i{S6;0*?DhDAR#|XaqYdnLyPq&=oc0IQQX_5q!`M@=Js= zlrXm}z$z8VUKDTvgSA(IrMi|L6xKJm71PLxpYa|NhtO=;@)Ja!b zzHHE$M4RNS-W-AQ(pkY+fDC+7tC4B`+oZ-JX*L6|0#PChb!-;v0~!Qn$lREDc@m)N z1w2Ia8TeIDD<~7$tn-o8G4ryVPGde;vtv4yNSeV*QW`P2u&i#60y@69NT5#nN|p?u zdMVA7W)$lt5MF~dhP|wMK}IJolQ7s$%q`6|VX()5VTuNa^cd5BaJd1)CTH+>KCL}I z=2jgIXfci?OoytgqB;g?vx67KfT{Bskb|?q7Oi3{I)m0}f-AVvIR?HKaa+vGMfJ`| zB@Zq!fZW{<{#K*Zem1?j$FH%-OdXt~8HM!K!48cz>Bd8K!4hp9mE68phjje%5L>m( zs}e@5iw^uzXJ3B0uKJM_%s8cBq~2Fz8VT8nmd!plG_MrukRRw9x;IlI&>^aa9>^(F zS)NBD3Y9fEY#nPArZV6CKjjB+(K)$-s+Om~j$ab(4Ucp#@0eu&e!$M}iEAtH^}Qus zo!BoAck-RiN`84%wh=q;NYb*(FsaBemF8RwQ-pz|-+69C$1t_1;q17Pf!6{%FO@*4 zE{FnduyzJQW_i@^&dDA)%53MA9`;eEJ1_K*N88+aiO*~wTZ@O=0lOn)`Cq)vxc{r! ziP?XKU*)?OvqRP*vz(1HVnEG%!Q)?U7=OB2EHm zcY4j_ikXOQv(m>xmSVfRGpg5(+_@L;lr<4|1a9foX@8IUj(?hGzQo}9{AeNjM6G;DhXT5 zCQ7M0tY_d(d~*N;mr}c_*TAK;BtQd~((;J zk0aafQAR`PN4D<{7$4P?43I~)?g+rKkc&et?}97F&sPim?s{BOKaD(Fv8ZU=z90d@ z@6Ku!iiO;^^$eUo`%FCpr_UW>Jp%{-&Hx5p$Zt3G8aTi1RcfdxG}_$rD zj`Vpdq_RNBBYkeos&KKD<(2-~jEX@hKz{u&zyRdew*usmUymg9`YFHeJ=4_V`NX08 zQui@oW}Z#tS0yb-H10P-0eT7lu6|t6o_-Y8DiACE(^)+O=hqJcG;n+RW`G7xpNDMy z5S*d+1q{LM>1Rs!^R*7=*IUZ)2^{&Ai>fRTIP&Yg037M_r-1R1KCcDHBaCl^^y4Fa zrYH1xJ})SJW(26ovx)R66Y2Ad0K2da5QkLWwkWP4IktZ>wT6lGc{i+Q;Pm-*M$f>( z|7}*!!0qXk01e2m1<#tSp?qwtjy}>hxH!ds)^^4c%jp#{@$N>xkRIfVxlv7cI}E2hR!VaU@th zM&?>P(8rgZ5@vE^Q>>#kj&}?u_sGy$Zn6*PkK@*;DlRz@Fc!hTx@4oPy z-F_u_Qf2UfN$jJfF>&W>unlzCcyydjzZ%N2!TUk>Xn`?bP589nQLDi{X+It6?=t;# zSl^uT(}|q$v5S`BS`CXmGiI1YQOCi3pDXn{>B-Xnt&JaL_*oewbnK%O;I2 zPnvJ_*!=V!0rmW509rf|nMcEd+ac0@N;u+=8JWtz)f)V9+CxR6z3L@WO%44Q@-&^i z)_f6;ig@Wj^{H5pYf_iroZnM=PG*4 zOGT1D5%MTSMSSQT3Aa%P|Ia%VSI=K9j{@A`9(*wEp~3}khCReGP#^ZGCE{})R=#{0 z{6$1oAPVL+j{;FHKg)P{dD;D8+C$~Me9EhVH4 zR1~wl%*pnl|I2yl$o8QRB0e$&)xOvyaxquS@ErTlKmDrNjxUBh%#`i+*+i2xP`2Br zm>weKr9Cd~A!04Dr+Ag32JB;_9$rdT`#7RWLs1Rb)6*UzYQUagda2;OJmsZAZKclw zc;;xI1|rUrStH!I?D~YHHo|*_|5_5UjQ2A3Cu9X>LcytCDi$95oRmic?s3}}njR|d z=-a2KJycYYeY}?!IccBhrJ{;#nBaCUKlg86>E-3pu&1Uy%v>7wkv?u{zREj0e$v3M zN_cgkknBr*WR!+o=2OBcxmxCqaIU|RB+bRrh~!J-&77Cc?0@!?kpOGLl70Ln&d?wI zs@aaFkcSziu`=x;qBNFX( zPr^%uTJfMrVM*mzMcX&~%!0e-m|g`$jC)vld9d3&WAXA}cZOvJygb;=X%CeX+w^MS z#BTIz;KW|w)qv)H<4FN-;Zq0a^ z+16WpIyn0`dMC!)D|@X^3G7>RVw81{p<`{D#G+Fo`9E=DcjFfvw2Tk%rt$r*BbutJ zumxLWhncr(9D^;J(&A729D30}E{{R&LgzrCvVvI`Z4_!N$BRLo#GyYi=)%e*%MvqW z+dm0aqv$0pu~l7(Mt*qP{i9r3Y4PL@z8Tue$FN7ZSn)b3W~K-JFSMZ6d6`T7(#HDb z&X=0YV(qx3yY}jtv&r&1QapN@ux;Cg;?Ht=YLgB$pJp9RbfC%JasorVFnrPZ0xgRO zhxBHIrgHYkSt0QkT>ukr*I8-$6SG>fYH7@C4YYMvn9R}Ayd*z`j!2S7`6@2JwVwbzMN8;c1+LeLZFndVtbuk(8*Vm&d1wXLkV*#g z(1NgGzz*i2p1t9o682y(zrijs78&a8IChVU!B&|RuG{+4Oqyu?)W!g{~91~vZHXO(XYr<-_VP`Iw z39HKnD;>;)Rc*sSHkb)psrJuAh^b^x9!EX7{W#;Ju#P9z4fmvihvucTJrw#V3h}Av z_D_dD3M;-~-To=!EIlK9XS)4VLt@n|Mw=c7Td@_n*^nXaClc&x9}-Rvl*SwAhhBHX zBPIQePgb{oF7c6A@j>jBADAD7msy`9&e9g(YufFfw>}DM8S{SD{7Ae!v*V*5i5DM- z-(Y8mx9D{5YpKMwb88(mo}yhP#Fm2AniH}gWn> z>(S;>5jGze31FZS-t<_237Epe zNv#52lQumcz<`#$i4X17UgRU;P5(~mhrnh1CO$;aXuxW==_et*1{@-6dOE;JEE$_# zGW8m;s&2Y3t!Lo%UEl9_KWw4X!_uGa3)BpTtwk`}{| z&7Th%3~9URH&CQA2fcjL-khET40nB)B?es5@zpJ+Zxf+7{W|)sa#KBB_0pv*q343V zh)TbjrjJQw(*zf^#crS`H1I4$M&{EF4;#-dpTjp%2A+xOTLPkkZm zB4IZ*>F$hA7|A~A2Pq#N**$4N)JI2_4*dlDBjfZE_oc|ZmiAS2HZYTZy+if7B1hPW zQ2?9hhBN-3=OGi~p8?FGK=^iaEU~JU-P!)Na2{-{b-wRLG3QI}NuRI?8-)nrkrOnM;e=0XAuyq_cz&muo?1ARUa=b7UpvuPiG|1IIXOrD`R z5~KHPkn8X7wg{7io9T6zu@;0S4Y|B~sNBTyHu5B|#~FxUE^_~tM4nxO<0Sc2LgZ2M zk_2945y;MU%MmcwR!Vu=NoqpQ%Ld0&kW%HaNYachdPUSrCEh(p_^c-_9GTRWDa8Ps zaj9vx0>U|QYH~(_aQ>z)1tgh;emXYnrIH$JgF#eYQQB73uA=p#t#Ji0Ukq#ADmblD zB$3@ILDzbz>vLXWte$e@&KitJ_VICrb3_|+o;}-M z>44O)7dOP3=^ZcP;WA2zT@zA5(1+1$0O>OiuZG>Q5EHwHT{jWpxP-JiW3```B`Pn> zu5VnFb<>IU`T{?_9|m`!;K}y36{}jxDtIpu|5PY1OB_J?dz!T`7tAk`QC3sOlue}A zbW}$3Vy*?99!T4csj(?8ISO%iDCq(`mc%OAmiB0lcsSsGYcY7u%yE+h=NzjvClgXD{4z|52f>7B}PX4Hjy7s+W*C> z_)2}*$1!AK^H|s(#;Ra!{4Jd@9{q`rB~dX=Sv6rD<6zGb*pwEhLT~JUDN+zAKB*Zm zj~1xzJt|(2vg|OEFr|TXUK9UNi;`^ouOzCLrCM3`XqgE;}-%lg2{6?6Zar#S`FM^Tlm=kwYw<`TOQMk<6yt#+uBL;l|%g6l+rw`t@EQw2wQR|unNF@=k5g+!6KR?RYm}07wbwK{ ztwSliO=AolN)c{4$I_t`V4p#A|W70Zl)Nb8(G6Vt<0(s&Up?6}UXP=O>%Nj8 z`Q!7u315?;5z6%%xJfL@X)WMNZNUg?3$cxBGE-U{jbP7%X~NkJQWG7Iov3}#&I>Qa zwMkcu-XwkV2N;nhoacW9lt0?0F|XE-$qV>(xx89TrnfuL|W2$Xi7> zIOBA2)yR)7AE;|rkS;gkkN$cI0xF_%L=++b*A5!AhhTN)Id zbl46lQU2QJ{_`Umkb*kw+_tA{&O#Z(OXK)5dYCUt{Iea4WEq~N8=-rDoDp#f>sy#tm8q=eYN*VKS$N{t#x(Y=pNsYSUQ zhpa52{;(nO7p66W$fP|#*0@(JD0gWh!}Yd*h!iUwjD#5egj#bIdfMKHbRdO%?_)X; z)2XtRgYwbl-I_0HPhkH?LRH8k>yqQhZzluY8~pb3H4_a*1z9p3G(;YN>MiNCeUs3%j&z59`{MiOOngKkVz;|Nnh-CSXp$0{sy<=)n?!V!X z2E}Q_@VC<%l$-3lhz3RP-hY?IhkVMrcXU`I%OTfn!z#Fw7Ee*7Ww(NNVN2C|E6}}% zG__H|8=F~KrA7QC!q6R-8szNW)1X69ReSnOwJd6RXdtTr(b{dDBt?Dbp{QC?Y=SQ_ zG$`V_XQrh=v0@J`vI+GU99FSSX^kKfV(2bQgK~4%Yy>K}eGmO0rIh6lfDC1HAVqc0 zxwb}<%6zESP=TC-15YS1`VOnG=tZ@I=`9ti|;4jy_rry7>7cM0=LcZrL&8T8Mw=K27qPLF@X;6;(sRSxobeTxglT0D8oCuAIZV$IL2GzWcl9i)yX>)uBDpb&}M+_bjs1YI!Nzvp%dw zkq>)T>p=8?yG)HF1$9q_p$2)e-}hxpjaCUqSZOuP`Mu}3j0WZ7r>T|(T5 z!IrFAm}2zTq#BAK$A?E`)My#k*6`tks(e)j;k|1$!sWd3@4r5)7UnLr*CJ4*ntyb# z_h%6u3ST{^9T+j(KiAL*i!1$!IW^3$IvT!%K$TtmWm#&R<}MPs#iylC(FT4BnO;pI_{YF>Qz{7Gk*Nc?{!bkcmN@ED=W zSczzyqa7Rhx#yUSQaU1bb0M{5T(5gBw$-A%`P38~C(xd&vKmPQF|3|0e~fa^T#Z3u zX}Kw^(Tk;}MF(POxx>^*B79#k)Sy_mFVvyDy{y)uyuEAz>f2t5oyMlD3PXS1)0HO3 zf2~I^u|Y_e>rh^Dwvwn)majIJ8ie`{;yu+(YK%8|H<(H}KDk=0mV*QKU6ds`c_!uK zXxm9QLz={Ta^TlWmWo(;SrxsCke&`WI5VVDQWYCVpMc{R5bk@_R&k8OD7Z1wMB3`7Ua0L4;m2kX&w_RtAy7m%?>_l25^uI4qlcEV4%nx zdMc@9ps(MR^Sh|_ecM(sxq`!8^3YJwVEC3Ejki3kQsvBvo-;W(nQ;B2DG3fOjs|dm zVL>*4fkJk0Vkm$CPn;YYs~p2gSTgg_fPscMI5nqYaE3r=rbPl6D1#2o zQrh5Xz&vM>0>3ZwWk;?$FeR$up!}+&8t2M9Sf34IAteVFXM#8oRK>*z<$B%_xT`;* z(vjyNhS9c)A#W+o_R!s_AP%bFgPA}bdg$~_5C_`O zacVmp9cX2TB4&^hr27R{5C`elcSV{w zSm2S*5rcm=`7}a!Pf!|?=RkN*&Iai~WU}cX4ov1rD~JOLe|sPYwlF7>iom*|=^WZ* z5R-2=lAjLBufr;#h61?n)7c=V+9q6^>^q)#f(#NzMi-=mxp2|Auh%HS1k1;l(8T+( zeXUloD!ww`cXlaV*kkV7V3g3s=S!z$h|BMDvH50CeKH!Pr?yFWYOEd1g~qrqVU}P* z_|7b)2|m9yTtX9kUQx<&T$=1#4i9KsI?azSQo^NlvCr3)(#1YM8OG~;F5EdO8NkB6 zTnB@6O7P6pzH%6&<$xErhJrZYLL(c%A#%3M4q}1xjC;ex!Y;0Ft>@1R;lYq{YA*Ie zFjDqD#ytuW8r#Lynz(QF->gr(upT>CbyV;)(7utx=o!e%S=Yo$_Z|6BSXpU<^%0cf zylmfXCUI&eByOQPSyC(c65}uWNSv6q;%Le1 zbqdbje`P}dKk+X8bcr{YZ;%4r?>pv1J&wYN9v}(fRt&H2ru5L(%Nujw(mt#rH$S)Ty!w!+#7aDK3)T! zAvS-CxYc1nIXD(6tc^VOyq9PciQK?e^Wd&XkP=!d4ia0yFcias{|z(*o6&#RK{{yp zxB2YdQ@SMhZlG$Kfc;&IRLg?2eAy5C$l`SE89yDdv0uO}B{f_W_Rq6EI?B#|+)u}j z!Txc^$B$*s{+^#7xnt}}5I+aez5G?s6cR~@_#moi`$7L8NSv;>Qw53kR`F8mnDYdC zBRw*m7&HpFYptaaCiX{F2!%h*H&L$6RRLomwagZVS2R;j;#%)!xmJa z{EUZ8lH-hV&mNPXn^S0{(@YY&-%vsb_nk^9T$m>A&M2g~lO=ACs380@BQYFSLCD5L zi=l$b@q47|1qAu#wV(nCmZ$~hP00o2JltwSiJ@AIgIOiUe%zlX%*`IihWju|a3nCNa8*W_)s5~X{9lvTgSypF_=&+M7PBmo}Z;^3rukmz3Tp$k`kU=rY&9+s}^zhiKZ|4;%G=SLJ0$D|lFwxKb3Lk`y--v0B;`af!#S*QJZH>*V z_-=X6eI_9zm)5o|jjgJ!ThWNO?8U^-ZH$XxpW6)DonaLI8MEIRNU=iRQ1NmJS^-1tKMD^_{KY)GWgmW=LPlnTzQpnhF#wUXd>IoNz zRU+6JPdGE7fEJWtb)9fQPKEJqZsI~%;f_Du*G0fKnnaf;${XhDhYUY}?pb|vlmOYw zdAmbr`6p4JrZb`)g5V)@C=S^%)}{B`k#+RR7QL%kYl7`bkrP z@E-naSp@=LRzCwjdu--cu2=str9hx<;!FZOJ{AjqHD8!`wDE?m`uzyWcxK9%W7Rpu zIK0kW^|72{9Bcw6&NUU(yxpYt@_oBLK%`{!sj64 z)rL#N>iZ)K1i`M3XB7yhQ~g+!5RY^C!lnAfv_ggt3SO}Yky;tH)xXB*5|#SG#04f% z<)YwDT3us%*?CR69`;CDc+ER1>m}nYFx8jkykuTNCi|3>qj^m?eB5Y{GyO`iURT>b zZfcFjb6Fpmm@AS#Dtg>F!$*eM=lMrOT#R#W{spC#PpKk4VDU>awoX*%v;Ix8IBVqv@*A<#WAH*ModFBv`2;7;OZ=FMOA z;XY>GTfdj}sOE>hjDP#BBsUsQ`;`#&cV1@h!NwnQ9@YGHK;y4o9sCg{<8`kg+?I{= zNr%cWcvFb+yl-IM$^P6T8Mg=c2A;vUqM&OM!hoP{O6o3(G@~?Z-{X&W~c!1 z+7#pLj2gtdM2zto$#T3y#JD1&7AW;p6=yg4a#o3lt7gm%Q^YE{uNFxV`rRUYh+>a*o+3`*^bLjW#qW<~#5?lhDKRkBKfA zo8QDQIu1%l#>>XU==%ZWm>);zZ>hTYfbXYSFPRs#)5AnoM323Ap$05t^mIcl%E3F` zB+zhOI*NWtm3*B|h-4ohZ&Y7^c}R3VeXPF1$Iqw2)l-Sx!_Pa&YjQq1@5Uzj_48h= z%JA{?#(`UaY!5ar)e*lQ-fo`lAD6d4r~CEuS=o=l&o3m#sY!k9ih5eCj1PU>{9V%O zPxeb({)7Fr-!}p@uZK=UKrum!@d0n881JdAHC~W z&-8!#)x&AGE&+dGBhJpj?fkCSi0q?dS#3W*LP#Nzg#=!0ej6|74A7S+Tj}NItLm5H zI;f-AFzebEFK%oV-y)$wrW$!wZUE!=oM`1Cp)B4e6w)}wo$mB$8dwO~qvA`N zTUzP+tjkxC3`fIb8*w%n7-eb8iU!Jy*48-L*0`E3#Bm@;t>8Fz8o&4l@8B6YF3arB zo0DRg^}umZapY3*zF=aqUrjKRLlB=r-9Hn=k&Y(kv5#qUW>2al|JbhsJTm`&AtL-X z!YcUfvCTq5_v;30fT?X=&GhI>$+30$7ukLAYxizV@r zW9@gt5(#FXoFbA(9E8ze_y$f)`T?wJ1D{X$0W4?(rxnMIHy2l| zAwEhIZj5stiJQW2XGl-MiF~Ov@YyiYPRM(lb&%o_Hc>qj!jd%bS)zLZEJyZ-Futf8 zt!;=~SdoUdHgrT(;zNtI|4+6H%cjj2)z>f?UCl;51 zvxrczLCB)y4!j1F^W%z&`(j2S<=+W9aC+89=XwjLeRPytA5UqN_kb3Uywk5ZXy`{x zw;Vh*aB6}`qP(06CE_V*Hy>{_xwuZ1P3QAnOzC;ae#G>^OG&p1RMvr4BoYeiz*CY! zj{379w;|_7s%n6<|H!5FZCL(>%EBZwivJReQr`zAQ#?o2@B{^V;G6`ZB)wue zIqmO;j+K8x-}h!tiPK|t?9@I!`cXTbwErxkltxIn=k?`mJp55K!L5`LBYQ8&5ZOEJ3QAmlva)xrRgfy)g5mdk^){;{$HXX-q_^iOp#FO|D5jq8 zt1;AG&v!yfIpkK)11Tkj%I^7YLW!X_^gJ0+VkrOKR>*nhKL+#1!qU502jf}C-u8?} z7%tcv537bHv4dKrlrO!zv`Q)Xy_t}1NQ!^&ojT2Y-qpKarc$l=S*IIVxMWxhBU^hP z)GFuXs#i&)xOi4wVY1s>BI0#+y+f7?V^?ieim}EA)DWEXGU@XVhFwnY&r&K#1a)^p ziJ`1|Zwo0glwYJ?SXlmuIAO$9)Ga%&UO{l?QUZ@_eK^|FJ}+hQ3FDq<_n zR6V;F7KT@%I$>NK_P!U@sOAv8u0usB%m{0QMV}$J{WYW;7o~r_Zd?(iU+4xFzMP!Y=oY?wJFSD+ zm&?Kg`+bQC|It)J$g6pl5<*^lNQgoqvinNR(=!(Lpsm-NeuN!YlTZO-W{WdQjH~ah zs1oBo{C-Y}u{)~OI*~kE8x4gVZ7jAfQUR1uTURKo;FxY*n(*u5aBekK2yaUdOOlKz z_5A*2JatkUXCV-;W7+2USp~v9s6i!z%}F0`P=&U_I273bsD{U9=lYHf`3xIJyC6c2 zk17zD+;>u{U|4<-I*RR&Cy0F5lBRe(f3(%$I>g}no8$qUDYiB)!DZmUupt!XX>@cn z{@QCN(vxbuZ5{ZgAD=XL%yfReQBN!^gz5R3ycMLg=d_HETn73)N0*D8!rb#*$j^=q z=K|ADCyO6;w74vuIi_+-85XdCza)i>3!F7~`b6=@kAYlHjpAB!|8zfiO+{Ysx3WG- z9+cB@|5X2|EXwG>0l%zpF^xL#EB~kt$k*ie`DNMtA~>)3$904SzCY@-+6gYtxPegQ zy~|mZW#c0Bo-e*8*?&aXhgZ!m6K@;Y%MTsN!s9Cl=H@c+HOnW;BePw5|C#oqkVVhh zf0SPoqq41iG@H(q;c0pvjY9eSDI)zmq^Eihi>H%V9N& zvKpEP&@~u!{h_Og?r z>Ckvv=0qz!I3h_z$8Pe>!NZ}>`J2Lb@TgGnZ}RYg_cO)6$wLP|bp1`qDbnAbCi-F0up;P)-mdQ` zq$v2i_@vh}Iu%y`*G!Ez9Hj30za*iu6sOLPYXGQ>Kw9iLL5Ct+@A`m5m9j{*s~)fk z9krwmU-#yRw#kg2B#7oQ1F`B~n~FsSV~8q&589Tt#+&I7wf%x{f!^HGOphBk z(0xG8xQA>)JXRrdC;c!)fXkPZJ`YUJF^x7{#BjR!qu^|+(kV~4geY?+9d1cVcs-od z<{`rJN!x9i6E2(bj7uHVKc6Tvkv&sh$`t>ma+vaC7fuPfY&_%gv(nS<*9H{1U4^g=iz+nVcGZ1A}`#zY^|D?X0_~AHAEALFJm>H5g;?h zhJFtq-om=9E2PBOmyVD-~NMykIWyRC+HMY z4N*s%Rxz<=B6Xm3ww8q+KiK}R<%8*RY5PATJ~BOKxm{dDxF>|;*zLc}__!(F+kfX* zLJQ9J*Zo6MLG~YE_>|y>G}~Xw`shUN|F~Z{p0V7{E3xEre#o+aMAD}QKLp+Wyh0C= ze`R^uxv;mtnf1}pf49G5_~>|mY5U)O>iG%G?Qi?c;RSE|Z~Xjd{M(=P(~+#(U+~+5 z;@$qTUq1?WJ6~)|?peT(N%xQPPkOV5)n;AddzWsgw(ER(d_6tKKAquE%aYJlz zOM5d_Lp%{B@+ht=@!(3^s@het)s6HxO~-VTvDVtA#@Lcou`!eoUDu|G=9tPf@hD2i z%tVp5v9-0Ob;QW-Uu28COhW}so;-6h7PK_;qc)w#P#RYAlO7#&QU#>Fxv92oIe#xT z=6+C!_Fo^%5|=X_@l*^}!}fz9i|G_*$!gob1GOW0qRow+bVG>dNe{G;MdPk5pG330 zQOp1qwKmo_##cAS@MH4!>5+m;{8(pIY;haiT%;#}I&LUh+S*Rf4si*N7`gh^C`kz0 z3KGO#pD8FRuHWtF5z%30yTqeSc!Y}1O`b~>L_8q9H=epv#}b1We6yk*&=<@ANh-09 z8}zFAp{_4R^a{u;7p4gob8K$xh{+FRjTpJ=M}|w>i?jRU-FAV+)w(gQ_+~sPEG0zkGk#ZE2~i7;C)E)3%lK`kQi@6>&O0)ZgKp#Tn}YaL zYBByr3O5^SjG(nq6~tS8_o$)(E zN(=`I@!Qi%4C_SP$|*6d3Goe4C5Ck&et%Mlai@-eKMkotp5wzB zwLFpUY1Cr1jX#)EtL1RqlT?GexjPt9gY2Na8W3B&jvd7@7ss1xS2$}$*Q&<+3qg>2 z8B*_*0WSbZ2(cS%=Q9=2$M7h%w~w_yWs|6Au*C~9tQyx;Po=F|$MR%B^@^6}CHXa= zozItqT#*jBvdYRDl52?;;ly}OenTYi<)`Ifs(ptspz;TQc4%CdmTS5IU+7AI(FwuDFaugBo{6^Ivy`Q zCbm#NDy){G8ujwEVYSuEnc3>`l$sgBp$ zS(#+Px`ucgJ=#teP<&a$*8z`}ww)KN?PfuX#%0X*LZrY}zqGM_Io|m}i`a`HB8!zu zvH>m5E=Uv)N4UEs4$lxYa&dgcipGZ6ikA9VTWlddB;?p~5+F{Oj}y(1m3n-C{0QE8J z&9Fj(nv(VJv;v^kam%q;1whTBy)s0AayB?wzhzv)FUK`{%i*RU!8J^KRhR&!Mx3s< zmtj&$7^mp%6@;o`9HX~KvN{;&=+-YW8;>jbsHA;#l8}%b7LFPz9Uavv#j$xiFO*7) zac*w?&Q^?xj$*x&P$1YcTF-_R2)2aQzX|Zo0JVL~v8DpScKMdWvI+zx)!kacRBozQ+t;prYvB z6%Jsa;^^jk!nz@-NVPBVyw ziLU@R#3-2IgMu>RY>Lv7@jpn_?*-dW8xu6ediXG?$JPU$Q+cyj0Q~_(r8w zKy2d7IW;3jw(va*_n>h$rezfgNyfxsNDJTJcC*7qD&%ELG9G>a^o286IK6W6G_vqp zmV$-v&n$lujmoQ*UlG&tVOjxEZ)y2gLIF@6wfrNe0Ps-_Y#Ww;HKaiKQ*14N&nh4m z#ME!JWt2CPEwQjnWoPV)dWf~1b?m;sW<3Chn3Q*?JOK5+mOGLjB4&4Y#6yHH_!!3R zKkAGvU(R|ssT;O*rDY;FxRyZ=70u+DEeT?!j9uJ9Z-AA%K5T^ik4on&LyqnrjH~BNWqR^D>Myu4=5O6Mu4L zY;pUF74*7166=c@1bPh+ha~H7u^2-lxLwi)fAybFnBx@ZE<(QuEc;1ZYw$78`X1Qq zcV8R60JeT*Rs&LlS>Kh^fK(~#<2emTb+Gc_*c10lx9% zq!!2eA_iLXj+RR>tlt?`s}c7R*I%cxg73$#UukNDv5Q!5r8Owd9@cNxX~vPm`mT^h z7DwjmYjv8jH(4J~Xk^h0*I%E}plG1$zpAr}w_oe8CRtStahK%gt47gU*L*Lh6c+u9 zN@?SXhL(Yne&ZLTS{PM&Nk|E|@up&Z($t`QlXv4;dfn7zHhw`5Q~TU_TvDlA%xpF{ z#*ODCv^?0xZ@kFT<23sXkJ?(C3M<01T=Se6z(jp+&F&yeQFe#Y0UD^Kt=SSZ1htSg zuLli*hZH(LmZ34Q>d6hAJh`Sjs~=uWakt)&;+vyuz6bUGM$ggUJ;$2oi~tVQ-W1OTZagKa#Zl@T&(uzpuc$VD+SJKo)!i7@i=%^W zyg)xZKTWamgtSgSH^`0WSX!LxuJa^V;7xU?dJ3pOJLevF1KNnU))LuG| zv6K)cb?13%DP(KMUn5E}s>#m7)M8XeofoMmhQs>Ka!aWZ%Ub7|>e0|5I{v7U8!>X; zh>)X__L1C-Yc5L`DR``@lMgg~{^Y%I=dsFB`7)#P^BI-3oL`-%C@ta>gU;i^N;xcG zoky$XP*|O3WtDQ`wENAR8YIDvKdC2ywWs49wNk8ko#(43$Qj;wv85gmk{!QEDnVRy zcYaZA4X6Da+jIo6?Wc^s`G*N2_nfiP_eIPqd!5GX6=^F|191%Y~K z&BUBbgleMxuCSXRUYlJ%V7ZC>RN0)9vo0Fd#k@)McVTo&-Fdx+i$M80Z$y5Y7@f*x zUdk| zR~bo;g4=LMmPiOL$=wjS0a2^}enes$>qO-VQzmm<<}M1$)Da_R$BDu&6c$q`W}lvw zNEE->Cz%q7vSZGnutY+H=FPPw8U=d(>nVvuk)6%g?}!=?$@k-G9t^wLIF|ETqY{my z`Dj9-A)fO-V@Wg=|Llk%(Gca?Cxs;%qB?(UTB4!i=JUp*Xdl+#d2=m^5AmP%Vn(8I zMb`bVNE9Vm-ztg1o2Qy2h&Wbo;?QNKh+6HEq@$7E{@%=vne)8u@K)t{Ih1w(BC-=V zVuc^-kC%p~f$BdgQBcBVA(t51dHop@g+f+;vLrPiG(26UzBnSqS4oXI_xGP#PyXD7ou5zwnReU>Q76!1rfLUOC>IZ zyk=XT#9rn-$J`B;BPl#jvo@!NBofu~tbdq9LM#jM5wSF9q$OUeU-L?76dbcP@FG;`inK1TD=pEGD(1|DOhabWT9P`7Vm%*J7Cc8D zuiYW>fU-rRP@rqy$Q6{6r4+i_WfFw~P&<%t^H9Ro4oeh@TWxQ~#lz08T`Q5`=h~Gy z7Z;Y(+CNGZIO?d<&r2DlG!fFa+uAo%m~p(c)Fs1*I5#c3pgQ7OBDZnnZ~tLE9*nC znu}!`C(a^S7V$K1m1$yKtxgw=q4f^gTDLUiBH^dNb=OD~DzdssMO{>O6zjShBpwQN z-O~~e#h~t65`|K?u2zzw(ABl%+@n)W>Iyr(O5Vd#jn%y%DWW8(n-_J7@w#1ilcWh^ zD~N}?IyCWRSlx}19DG;zjf|TMi)me9fnq_l*Sa5v+*~N&x<*MCVpI2=#Dy@_btm0| zD66_QiNt}K`@b}?Nt+oiv)QL+9D2F4ahW!G%9ycqC`%JGZ?gzQ59J*Y&(JJ%HnA%L z+YoUT*>F)}-j_F2aA6I6K;}Y07uE{FMNw`j95Fb8qU|)Cm?G(-`A$LKYf1xC2AKQ6 zSTGqsA2<6W5(zav5S2)%-mR8ILiK((B$F`LJ(7M=sRtxJQK_l4TUJ!+gR(4F>Q_yP z59wj<$VxP>%H2dN&WkVO&215h#$EQ_M1huHY9EqF@cX7rfhoV#nh%>2O?3AAB~dJ^ zomn><7SShD1qzJ_%3~4*%W5v?=E1W1jKqUw^`8Lb~3+*9Ej924FB@!Z0`xnEl9EDo@eAG>1pFbs$c*pbiq?-$Up!Tbh zdg0$+NRqr0t|39r^g=<-xMt#YV$<}2q?MP@sI6jmHn-nP6NqA9U1F*EzC?>5r+*!G zvth$){$A#yq^WrUTqwbUVcaR4q5hnZbYN}1-j+!DwRxe3ELzQP^WG8K(J-DiC3)2C zi)o33PVq2!uDRZH^a~Vlj89ed4q+e9oFC{*)mi|ssC#w68GL5VIby*e( zWd2;{LlT=m4!dpP3mfy9kVNAy@VG3?YxrN}F~mB2R*{%rnm!pR=%YHN+18CMY9k6( z=4{H%gO&LeiGp?b_Ywtb^*s@{6h)x!K8b>r`H6&^2P^YmB|UI|T|{ESI((i)!ScN~ z<5I*epzgJ(o5aiM&qHn!Vo~=yiHo-;Uzc?8(p)JC@}m2FiNtIAMG}ddz^C)N#22h+ z&a>Bn3l$?sg#(1Tqw~D+=jySwRFNL7?w5&wJN_vbz zq~hrLYu00OGFhnnoJCZ03ZW7m`Y@S<7JW=s;zF-KO11@U`-@45iwo<&8Ht8k{&!d+ zQEZoeCPk#9=w+&{o&4)T%86MQLULq1eZQ8TR%mXERnn6Ov8D>7%exUr1APj)8At6k z|4D2*63K`L0Fe!o)?3`~X81X&l~TlM; z)Si-+_;_JC&XQ=nj2t1$@(OXZEX&K=2w4^hdEI22^x+s%jLXSl{zDc;z9Cm7Fupy3-}?XaugrbQ;Poi3hD=NTQ%M zT#+b@M^b1F>vL`jw}$PK7+S;e5(TZ{(vVAxn|B>=Z}amN*CU&gZZ2*OU&**h+y|mj zH;H@7mnAOVqK70B`~35kTaX*b)})(+bgCOAk$BgBi$vm}*1QrXNhxW04mE9-myV6s z{QnVEW=DwUKaus3DO$4@VL(Yamj0UeQa&=dd*0uO>fxqP&5Ig7GIfgiH4z_~Dt68S zqI#52;O5j?UNT>D&B^9`ba-#h`9AHu5Y(Jx`uGvd`L|m>IwCsv+Ki8mLacc@?4u)) zwX>rVor+~nJS36OZxGG7Q<6S9Z|~;W9{RNDRnwgwRqt~TgQ7!UD+^KpXQxw=F79^B zT>oBibSfznQ0?VWH?!C~pPO~luqUX!)Rsu-=aUSHgpz$YL?o1z(`QAGt^005CX11$ zSt)ck!iVsJM^sFCc20p7Lw8+P3!^&zmU7@?=<@RxA^4Fk z%agAzkH{oB1Xmh56d_0_)hMa`WXOU1rf>+pXz6i;U|5ff5ZoX3DaeOlw5f*j)x&2P z2tm;{5hBjIvoZ?lVhGN#v@k;OYTAK|D@r~DnD^GFva&e2>QjDKxf$9dTOMW?MJEZ*Z5%H1`XWOyu2O&L< zqT;J>&HNCyZBK@M3i6(%Y}@v1P65-Vz$vV4&lBwNU$L-m%O9J&yqizBC;W!z$EAFj zH#ZBtPDI=AQ#bm}5nNvtRtzXyf1j;}gzN9m$E@f{r{-v$^S;OCrxAn$=1ex#C`IE7X(dEg3N@cn+gZU*t@&I^Ey%u^ zRS{946%{dhP0pM@sYPiXb6?IVZ7bycki+q5JG2 z#M^zSp->dB-3Ut$ce0I=bHPDF=0)E9+LCFwVKlnQDZDvTLrTGmU{p>9P#;%N04?U3%(!mD5IGDCG4R&F(dZxMrDY6y5K<%jodZs47XZ( zW^ry=WEMTf#J7PK97sw^_&Q_3LzYa$CUwE1woJq+;exb>6Q_v_9`JDD@Nm|qluNu| z1-W9@*@g-!!~L##&*x-pa%4&k+c;}VR7U1RFe`H7tUg;t#C#(>-&tD?C5XXhttY6E zJ$VyZY~D+r(O?Hwk69Bv(_%CDQhDxQJ?h3+jGM@_ntyv%9%$0s$u%r9f1BY)FsZq3 z+cLn)*tTgaAT!F@c~s^LdA8nS-kzlw2mhlnKd1E*suDjO)QR2$VK-hi+}P> zlNt9CAy*!g1Tsb zF05n0RQM)F=M1&CNW9ZjLv)R@<|IR>c|!S=$>J4jYfcMfit#TA8*QZ`@^a@qwS(wR zPv=6lX4)@z&QdF+m87#pEycg+6~`RNGs)9b5}ni3VpLR}ZE6qk%sSPE@NXoq%#KjS zj>@ZZSyT;C`dX((lvu=hWj{&t^Si#J60ZX2oNTDX`I@KmdNqc7%AJdpv*g>2oprWS zC+?4R&Qwl?@6&eHs4?97>bzP#9+s%i%hcmxrRuCsDs90c*qKo46hDz{*C@qGxqZAv zT1Qd0p|^_j3bx}#P|$zyo^d>NL$T=`s~!NQ-nk^o0-od&_ZoN2O}MlbTNwm*U7t~5 z7;n3?2`d=Erft6>LIRGlVC#yBp$pF+(Eu&{{_yUc>F9QzOaek1OL{lTpVJD2^>;1E z`Jr+FC2b!hx-z$-ZTXoLM}z06h`PQ(a_r6`gySmq>Tg1RjE(I2i4viy32T0uQV9|a zwHutn5Vu!qD`R3J8_o!8M9J3QNBkIUZQ)ljb-rf%;Ywl9Z!>;KNHX62$FK_Lv$-`N zw^SGp*>%WPVd6zpYmQV7S|LV!Gp&*=W3YYDkU<0s&G2!P=t!_)u*jinE>HN#*r_6k zyP85i3}OFL#7Cyq-o6E7d2z=RC@94>%WR(r_4PGI#z&^X5ooKan}DS?Ndn2ysI0Q$-_smJ?rW>6aZy?gm(*F9kw6lokdqX zMTq2o;hM!cA03Y^blps34?p+VM`4+)2f?zOOEgz}*`oD-3K73F6Sk-GLrbP3Pq25m zGa~CpXya)w@3hI|r%%N*AnkW&z1U11xbuA&>KYiyfR$j)r_)|w+N5h{($6SJ+hWs) zVI*F9)_l^Zkp;zkwj@Z>6nb8BGHzAT(l<+6<~L0#7>v~I02}n6z@GErH1Jk5Js&t9gU)3#Vj{@ zh^Vg4WLV}z{&eUZB5U$YK ze=R+kLk#VE(rOK(3(3;+v#gpWc5SSR9&~E&grY5%+Fma1%DqQNz4UzPa-2`q!W`!_ zG!vw=wtSp>M}>4Fp!$0{Of5`l+V>SgRWYIwI7=>wXz@apgtU|3ESZwjsz8=Jp|?O} z$%R^Z&XR8#I`vMLWI`GScK~}X(Q|RC^za@-(N{)0$+l&#BQFlENo)5>@m?fGXymdc~NoLdnBUBgqqSogLe)2O@NwAhwul$1C9%|oMRaMR;a znUC7w+PPtwM)`izUeCCcV(U_t%t!9;=uLTOw37Buw;kdN@uep+ruS=Uq9N`MjTT?r zrKUDp&cDRKrs<|rl8&HoHm_T2H_4`OLs)l{Epy`fdd(>znTSV1yWb4UL|jp?`&UjT zA~kM0CnFQFM6dm^XLKylUGIAaLiE?3H4jzL!PKatbI4(O*wzUkbU`|Mfiz~Su z^Gz)SD>%&{T;U~g4blG+^ebR-^||BptQzL)jNZu+HR_}z#_xY9bgrD4^6Fc62Ebs>&U$N`!h` z|AT%9kv3`V{w02ZYp2E}0ax)M z%cDec)39Ie03R~MeN~B!>n{t-+-MS47%~mnKE*?W>;zlpqX_hm&l1g*i{$?PDof@= zPq{QH(KuWCuY&Ex>2Q$xXLyKM7W=O=Wln^re`-!9A~yY3dWZ->-xopj`GfMUzdR%B zz%tlBHsY|09w&k|8bp_|2vpx~rbCn+jbQcl5X1s9#u4s2S7{M>sxJ{HF`upE>%Lo3 zDurSt>f2x{LAE3wCd{Xj!raFv0zQO-*w>g)$Z**DPF2~?ThQK@u4& zscQjDbkQhZR{tU=(gnTAY6Fg8C!G}jC`rmI(nWg_-i-G@hIBGPpAe$VJ=CmmOqGA9zT zYk@}`*}VDrl!p^NeaoM~DmwwC^>06!e7m)9=u&hUWz^QAlRhP={dY4yG6k(?cgRPE z-?1a@Nop_}rH3<0HkIXQsGd7Da%6PRJ`INT=c}gL7Uah@mW(;&+*epIi%#I1YdyPC zvOqqe;QpTN8W1_ub6Y|!i4gY;X(V}J*h_+*_;C_*aQ|g{L0;3g{L1puQL%j$89$j5 zf9puoi*TpidQ{d&=R=!c5m~m1^=x@J?4xt1ecSZWxucd5*{ug5K!=>2_S4Z(D|0@& zxY^uukzYde)UkdM{;6}%Ego-RZ0c7%(^CF1cpZ&~{Q&B|XPxZ_P|=(J6CwaD&B-K8 z;RDcISx%=*)2^95%Q<`cZ$gb?yW2AombuiCr#AmRD${5No8R~FQODiWUjOjVgTeTeeK23f=sgDbuJj zdyW*t6nukqZrvlYFlY1Te~_?iWZu+l{7ENkex*~t1u}82_gO)|kJNMm{W3qk#4R%#007oNvY1K-z_%}^zRg+!( znI_erbj=+ZZOyKZ2Jp-R^TsW8}>XG${_E>yzEK%Fu));F^)VDM= z!lTogEkZx$psVP0LDUiPq~EGq*J!AgWyLggwBrfNW#JIXyT`;+nDL`i9x5KfT>4AH zL&d|EtCnYoD%`+Hv8pXuB%sWfUP*tCIEG!%y^w@jTtXb4rMaRIygT*FAtD@JoEW~Q zEgn#r#2vylXNHh>)0!hB*0**D?rctkh}y5;{X072GK{#gHY(EK1*cJ;M^}4 zMNIb*o!6(b_~~EsUphGoep-i8xE96>$w~J<`bTC%-MMgpgW4|`z z?li$iD4NoOGT!Zsqt3AKrjSktDtzJ786AwSu`rd?!D#dg2MryJU2*JuEsS+mUjm-?d*TUV!pD7Q)aHVj@QL56PcOlQ)ndl1M6f0_ z@+%*PO`Gv?#_RbyGvCmtUGj%%7nEU(y)YUfHZK;dq)J~q5w@J*#J|wtVykNFRy4*c zoDT$dm4^bj#^gUEY-fn;FpaLU;uFzu<)Xz2mp$(0E3Q+fb9W7h2mfRs86_nFOl4RA zryod{;6g;M*$vBsbRi_u9xla&m|WdumCyz2uL);MaA8TFG1@G_MNEsPMu;gNUD=zc zzpKp@;ZbdglZJM1s5PJl7x8MW#!-Z^i{KbFjs}q&P7;?O%-$i>?=l`lS=NlcA`@h$y`^VYspcBdV|K z%5Z5${`h%kv@|1Xuxm1AQetS7Vb`l>X+~6Ha%X}V^$|sxB$pb2JSfIwbubUw^|rNU zpdKt)$#v;K9+YMBwP2f365A$OfqJ-n9}WfZjKS%C=RIL!(K%c!5a*)xZ+$DsrZLtHIN6_9@|z}L27V~y5_hjv6OHRs&w^f z&{cvF#nm+kCzW92;+p*tG@`gV-@r^uXhd;!{`VtjXxO64R_qUQg*#MR*QKbumdALf) zgah?(l_pYwJiL~+nSnf9rNJep~_lYktP;h7KxaPS|82?=@M({%TT6I4XmZnKs8uP*B%!pEhS7s zm3B=sOEaQMJC3kQGong6J`T5+7#daDaluE>$W?ka=37D|S1BKTm0;wJY71=CIk|#Y z$tTSKmP%274@U!exc-jH2J&$IttS?(IpQ&cEtBm)9WWg#q zI#3H&ao0Y$r^Li~FZ-HNni2K4c2&AGBkHgF46`&N>aUB>*-O}n`dd3PQd%SGZ|x1C z(u}CTjo-G3u~2`tbR(y=wy7~juc5%j&FVQhf^~~%nX`y?t%4FAS9yM^eZwNI!ccS< zI*uC!&e^gS&Wf0ehVhMT z&~Rd@{adg}SZe1-g4AHCT|EO@N|=OFU&{x4B^XiatG{QK*2o*;1~{yQMwI&MJ;Yav zk(b(EW=k{jQv04+nvs{<`V2AZih^GmwDol>fTdj2-%sp79$sos2n-hA9oyEI4b;Q+ zHxh2unJj)$kUTpXz*ELc?eDVzEaGP)+vX5Uz))O&mxls)%6O?wBm!BexYjAV1ktY@i*eiL8|bJa098IqwxwD}oK67kqF&`Q z$`Y*(NS^4Z!9<-H-ch^NRaBnns1xFK+Tk6QpE0qcoX5{FM1Bs}X@_RP#a~D3#PDAD zXuJ-L$~*kJIw3q-7w=8gX@+ z`FlEUNDvph{-*~R?P}j}8nyaSfYecMxQTcj1xWqxhKJ-w9~c zH)COnBQH0elYrW8$tmgzTGyqHUK(}c8&8WI9Y~${wr`^V8nm(&u__PrC1Gcs7~Ww{ zs2f8o)W{23opz`LFDX{*#88J>To|tt!*2$Clc*Czopb)aNIE8?NwCVD&mRCVT$7>3 zF`W6I$82+B;X41-fUO$+Z2o&8TQ&OF{FhW)HTqZ0)p4keI8LWFG%sJClAZsQVrPU8 zsPn(J*V9qe`F{oN^78{f3!HI#J%*bF?d>Z;FJfEJB4VE(bM%64_IivU3w$yA>|p;} z)K1M0UC)0%X{)Dbo&UKldp_RIf5qNDMe_VTDO>y0W9IL$rO$g^jT_nPY-2hbH2>3t zZ7F$lIk&)PUk&O^H6G_tilHMsHQkd(LD4u|qot05qCvRk8lqfR@Y29q(>Zh$6peE= zh3ZjIc{Iw^Tp2wIjMgbNSH+HkqJg}|ryT{wPrlUn14n~#YhLAOFkVmI73%UCRkLDO z6vpKF3wa2M9#)wDZUh?3u}r+4u%>GmfJ^1!q1+J#Hl9@MZP1uIKdRXSXuw_2HDL=N zeR*@JbNOR5Enl9p($Hy0N8Cz-@wWMx%|brrHWj5p=OvdJgoq0Gg#sTPt-SDELaxRqH?y&r(IJ13^SfTRH1MaHeM_ z>p;*Fp!BR%9SBnn9SDl0($nL0AgJj}{}HVN z!98|LxDEtw7iXXj1oy|u!8#DU^-t33K#~#_u@W(ctbrK2?Ve9vH_Z>Ok<|as=%W zdAvs_ReJ<)(?-!c0KA_xj@5zSHX26iK=6LTLiUI}?yv^oIuN{7{;Z=adE}11e+BBW z!AHRVX>}l|S^EB=)PbPJ@5>*WvT0g=K~w%K@yLns0=mfTyFX@cpIp`V*OWaVk0bUc z>OjCm#ZwW>^66T=?|w3$GOk|qr!V+|9_x*fVauF!m0oqNwT@3)gA}WLRN)G~?Vjrl zx}vVABr>@=ew4po$WljFKNVipN|#StF%|sMG{f5d2^ zn5DgL^4O`PxEd?pvF{UE$~=115#Y37ShD{zR9@H!!8iOh) z-1B(FUnE<(Iv#&rQkFUj=?cE3nwyWe!FLhMeAEWzrzWg)e81u$>ujXkdJkoj1L~OJ zW2f@RiC=2zgjhZ)tBZ%ggEd7_DCD!LBPUM^RgIWbJ$3S=33xqL{}j(8(&9u5-Y?f9 z326fzrJr-bYg_3BnR)y?_AT#FzAOY@A>m*fuM`^oFf>f{4den%Q@E^Ib|?r0vndP~ zyrH@*AO=?a=(Myz#@9wIRs3bjcQ;lnlnyrR_#ots^_UXjMt&@&Vq~c4V*HQ~9?@=_ zgodRDp?Gb?q+Y~5Ly-qd)1TDR#QdjK@vcn1_gnKr(n1Am#rgd__}MvJoK0h48;HBW z3ka$`jW4HUxv~JV;_XBb>>EsLuh>}ebpeEvnU-%?635W}!)XnpHfDH9{2F;0`OWY` zI-8FDsZO{ep4M8kfpk`hFj~2WuQaUc#H-Dp*PnJ~oo4v%_cXrZwX(z6@cS3jpH5oQ zq57V#SZUzdyuMTyij$9{HW1!~{3!6WmUWup2mfdG3|iTNw`LBGT4~VmGfOQsXz!VP z>n^9-aO_NP(8?NWGBapt4KX-wd;od)n9T?4QPp@?2Qv6$7N)pMXAaP;R5X6h>}{Eg zrp%e$kSQm0cstJ2EOXHaIkUpDEE?m-e?n=@ zJOv?n)WQ^>y6)3tE`4G%%R*aKuM60!(O}gZ6RC}+J@vEdf7#oowa@rZ zQ?~i33s)aVWa*7XA)>qbKTbO>^_>5P?X=W!zKqyusZ+jXtEG_tcfc+$2GZ)Cwye+} z+_#hEmIF$#NUA9g;_t@8CQdNlrh{FnRUt^z<3rY2bXkg_f#a1rF%-ok=0)qoP{-@H zS*;Vp``xbzVA5m6Z}t(Bh?^&2*lns)G4lOLUtM$ z?^O{?uBHMEj_e(%GNyZW_xYT^Imo!U{^Zt zWN$g`)YONDU8q2vK3WkuaN4TbWh2U=Gf1ub(!rkIN))AQyG*I-piZgE?=Ynbe-=!^ zJG_pIa=rPwn%iy6Th3om!c?U zoQr54KWxI-G1a7^-+$vY9qf)>&>+i1TrAb}puf3QvR5?`Z~vJ%Z0d+>sY89@lWQ+ZWGFyM^im-k>iaT4;6u9+}FMD@5z0n3z= z%f=pvz(9kv91b?AZ;~4|(OACJ6a^t{oKflONhpNc2bWzZlbtMIVd!1@PCQqRL3dS~ zppXk6I@mJ}$R#kKJC!193a%k>rGx(=k(Xc91tad#jcTqzjMK}`vLFEbiV1*c6N9T( zNSCBHE@ENXZ(1%M<8jUV2`=X?NzSjjcG3hrFjrJVl~o2`#V)T(<|?SGF8x!>RnQ2q zbWq3@MQ>K_FeV2KX78;uER*ujuX5^tcZW)VP zt|iP5)X ziV*$tszMXTR^fr7s*xcF+x$F)T#A>B;S|Xf{DAr9XA-822Kv!sM^D1CVlf#wd4R`5 zz2CirQY{A*72`L5X-sOluYbS7(kfR;u?zn7og0Gs1b|_$n4nZLm4Q7nh(1zH5g#~5 zR8K`uK0T_7#cz!PxGya)-qgln&wmmzbAz;lJ%3QzLq;yy{2?H`P)P8)IXd^Sy(+59 z^bI?D_1m;z6R19$`Sw4N)WOgEL({?Z+||?>A^hmo^PfpJVz4Q!uzjMEQB3;`{*#i~%1r)aLz#MMnMSbf!(!G-8c4PyDn+|xaiVp|cC?hGlE$Xk zdr@7<=g_BPGAS-z9PGt6rKF^vr)nxqsUxsKIT;Q6_zMA15g90D4sS zy$S}gf&Bvb^DF%6Xx`6@KOcK^~UFn1t_2yMqrwCp;GQFd=r4e9NH!=;4J^(H+M-u5#Q%0eebmu$x3;Usn zWrsAb5I`E_R%ujdwow{zR=E@l4Gmwb+^U6!hObrKp~$gDgW;+x!xkDEidJ2fw9wG{ zd)1XuXqskL7-QF&dTlfOz&%tHAXCz5yxfvVx`^&juIfc*4HVsyT-76#F5;E!8BQ1R z+VP7cBO`ZGX(}DX?_RDNpk&B+R-c+KGh6f$hd#KnK*b(>#5?9WmTV2kE^7A8OE{h zyOGT?B!Gxtt+7$x!;=agrMjZDu9D(BARqp=%ioJs%Ivs`#QEyi-bfkWp zoG{Qf;OpNDh{_WqNTIuQ#bT>?G~kZLNOZ=Mal`Xc)deHoU9*BZunYZI-lQ9%dXoQi z-Uu^Ay{@(o86vA)`O95v2RQXKtpRJx6`4Y(tX&tADGZ()o=wUWhRfQLuuQ>fC10eX zFLy<@yEaQzCt^^mMRa5*tR`#oWU3>T*G)n@;?&rNxd|Pq0=eN4r=FrSnHwTWJw;Ph zrK0L7G(l~9siL!%wQZxissm_lIF!;;`BeD}<9dn?snxbZg1aJ*@||xK2V$OfF`?^1 zy!L5&qAR7u$Y(#PRf@u2kfj0+_NMfv)GNOb9dl&2-7Xmo|i@*8DsI>MFX_|6t$sY^%R zKEqOaK5B#Vnuwk%Kx$s=kkmo73V)Noy3l(K%U_Yee9CrbQrFQL)Vp>_WImNp{!&aT z;j!}lahU?O!9QtwN=0*(kB{o94upI}l_}C~LV_1h=NvufrSuf3Dg!bBuT=ajRVtWO z?2QOrXX;0{k5lv%?;P(srH+yn2?Gjd6^jD8k`gNPaBQ@fNITBic zRPBba4yC5qD8syS0gBjgSkra+a8>ObNrsLy*M66hI^?^3TR^6GfiYPi{p4z`FDRAp zK<`^LJ%!)cMk`jUQi%dL$7KTb9b73>9fYrH=S6ij9r>-@6qYIK7uUyR3S9fDda4r= zl`}#@)rsCke$#~sq!8YGh}jU=b)D&i>D(hJ-AEpB+i;IlCJ_#m<5k@Zd1J|G2|a}x zR-6{n6W~=jG9?q}p|>|k>M3sW_SR96G=HLW@x{8Oj-1iD`@=e-Gu*tsqb5_tXz?Vc zp6sf-UTUP^t;P2lKq~Llu#Ti&wRm+*PtkzAcy>^xP!}v_oH9yHM5bs$|O-mnnF4UU5>U;E!@| zK&DX6b-F5#79`{T9fS%?l{{J+g{MeeS5RH~mtJ5VEvXjD;e?iDD}Q$)8`?gPE({lT zOCW{LML*_H{#!&>b%JW}VrfIAHDMnfdZ>L~9;#e%c~FOT=nSKMFAwQSdQ@k{ONySN zskCxh04d6dM8$HW2(;7PXK4}$BX_q~WeQ}jn?okCjLPeX(>T!f3ra2jLClKj0i?++ znyuU~vv%kL?81BvI;s^4S=ceIClEC^6@-zX+S4j-!vUvE(Mmu3jiCgcb#09lxx04- zl}9$|=P-)-fKTi?@E#vd#-JrO9l*Wz&L~p6l+FO()J&C0a1H-Vg>pk6G_PeuPf_D6 z%u^&1D%rpCXtj1@G9ZCq)AwWvq{VgbVXB^uoUynlDpTOn_mZScfl9^mNN{FqM<@q> z8Iwus?d7G4OcL*@%cWTrMGk#A3}qu!5gwlNN)!pI1Rtj+zn4UkDokVAhMjSl>I~+C zzeh?aX;nQXKWQYPd`pq3PAGElyKy6liVglGWh4;B<1k}$mCF%t~KwPV7D78Ez! zmy}6bdDos|n4;BRxL?%JqHcQ>BLz$AjJQ-gg2lSqB8C<$YHtl2Nw|B%f~b*14c0w~ zl*|1!0*l)1hLSE3 zYPW=>mM=tKQ;j5fd0j*%sZ&;tNy;QG1t!N0E!C%AKq~1P@1X;RC0bt&-e)GM0&f~% z>Ln{aj?28%K5r^AMWfB&15qOhN3EL|F_OqyyVXom6LfVNT56zk!!k*e+d9*46o38| zP&%3-8nH|8LJWU@K}YtqUUAx7z{WzAuYYe(S#h7tns_<`7SyfrTUM}n;zj$>pO{MSPjl!Hxo3q-BRL+><-UA32C17!&u(!)yf}IEL zxG)3_x^ye<9q3=y4g0Xz=B2xG`Y8r&CuQ#K^X3Ke-Gk6)FG!IAKix#a5kCCGYeGWo z_!XB?YFk*O#Qq;zru%k9NQ%plx^;09sRsV4&iD@wytP{pX_!PBsclF|Exg@}IYk-^ zB^Y-v3L$y2zqhxFObtpRg{NoI>LK_omIg>+`I5+)A@*#p4X6&b?Mfpf0<=x>b^Arz(P0W+N$Eun1}v+E<#dIG5UP>=QRE| zEFkd);EgW!^h7wG>Ev=x{|@Nx-D$XL_@;+8+n-Bv1@*hbglX%>D1yYH5RE7V-Wn!^ z8wl+<{N=dLUDC(XlkZ3N_F?ep{yds-6MQOt;gGIm(N3>|=D)>OwUGrQhkw{>23OgBz<)C0b^2*OIwOHB)tK zBUZ$rZe*}Q9Y+o~)wgP-HY=sOVA_OXxMMary`f%v(9<9MX>niy=1dfHf)uT5NCs%BWW zfy);fukM-Y02{b`OZn8n6bfwdj z44Y}5ru)Zfrv*2jl@v(BoMkT<_;3I)#GL_?rRoi)wSnHj@;k`hH@12zP3gQR}vpqE{9c^E2mHbKm?ugz%tcN`<5cSdy-77ac&Zj`}0^ZexB zK+EB0#z(QgftKCw`UZc8x9k_ocLibF@I>}`h#O`k{zlQV8?3rgO*fW(3v=8F8mT+U zXrxFKzpRI8b!7m$MqMzwb>sXy>TP4(6X(&o`lKM4=qOEOYG-~-Mo@rg)^z(l+9fhF zj;NW8iE3y8x7wq%3T2}L84t4cNOON=2Dn z5^+xxX4SM&Bc@K8JXG(My)F%qId%H5uIex>iUv{14f15o^v)s<(@h;aag_KGF`VSs z&M9_KrT5U`=@Bma%1Mi_O7Z)RWeODmsLmKhM^Bkpg<*-F-Qu&Y4(;hq5fr3F%`JwJ z=RV*JLEl{OP`yyCq4_HJ@+xmQvq0k(=^f(|&`|YEDm3Ed*3W-mglw2dEDfeqk0c=6 zQ1wsghgCy3&hUfRSdJsq#GL@cnKmX?t6FAJdW#BD;s+&VamBtAu#K!uO3*)-2f4ul z-HYHiW2Rm!-t2`dl%|TFL3hhhbCg`HA&1GM4$8N_6RD5svyfN zkS%0{ciMZGCGVOpPJkN#talXgOPmv}-ZfNnMnpF(utpWFSoG?q5Llf)yg)6$NO4vW z$p-3lb(o5a!|@l#kZh<RAFlN*o2oVk`3Fo@hWZGc-pmX<5pbl+)5kQC6}}_MQun1 z5w3z0rH{{?Ev5oDDBk zvRQjDXvle$be6+^4y4Itol3@#2h!>!_)UK)YKq}-@`BE0#0$YRl{?p|_dl7Qmjh(p z0S%S3ywo&$W9U|7%ffMk9?pPDz9YmQgKQa{(*wJ8U!c9AgWOlcJGspJGP@#KADc$ID`Q_`%F%H4bq@6RrDt+4X7WGu^lLF(L(r zQ^{QACPKwOP>z#zu~CKThXQG65pt*l6@znIkua1^%{+SP%ULKrQyU|^O8AY&CKS`6 zW*9#R3LlvvWDtHcjn?$?} z(Q%QzYXG7XjNXNP!l^Mxm>?n=DRvWqq)4~*DlvhKsz9xeXDi+yQBz?{ph`3iqDI1B zC~YsiIQI4JopiTi;+OR zXr$rYm23gE>~%s(W0EKoD@)Q9G?wY{8>@6?=g@7HbyJ}^mk)mwyJE~!GI~{+D+J7G z0z*Mg!3L}OIx~v=R2{`bdfq1xCF{Z&Vje+y zcj@_IOzNb`495NWWYUaGN=h`w@Zx3-RAgSR6Ok!ATRbHQUE}P0#@N&^PTp#vd%~UL zi6wyy(;{-yhK2s$rX&C!$>kviaZKs$n@)z=A{e_j7X68g9Hrev0+Z?Blrqf+UI781GP>6PHI}wJQ~_iI1FeL z&zAF*26YUS#v86?uVRA{lnnQdd4gz}<&$qv#*D&9j#zgM9I5+e`k%>65XlK8Mtm@> z)&OXtx35t-V_js@%c45fvZxlSpa83rjnR+v)*Oi$-=kXBXcv~0FD0 z0LpH?ygBsgUP-frb&WZ{pwxlGo#|M8PUbDD&*o+86(EeZGV3J%akpfMSLnwpSAigh_jx{PFt2tnF80N$ZT+~UlX3b{eBeI%p1Rb7< z+D`2wh6K(G%}3*s&Qgz00E@o~nl=z6y5!op( zX5p8drcO7lQy{hfe`#5blJ&KkUi!cn!!RLgF5G6+L-!#sY1&0mz%of@)K>P_8O@Ub z3Xh3v8NTckQDD?riz`4O5XAD(Sm$UZQOM8c?N8>ZUB#7<5o+QhNcRE(Y?s&C11T62 zEyTGJ6TJ%>5x@(P3S$-7e?RdMUO`rUVwaiZtl+8&1C?G^NycbovjWs0W4?+b!*P1` zaxe6Z+5$VPnBptLI9dYrZbRdC&$ohUT1ijoY+oB62=`b^y4**Vzywrb?lCC#8m$_=4@l!^1 z(h*d3*tq#4vXLHTdKu7_b;zi2M)^DejGtc>88wj@{9lHf=m>&3G1FbtlW&#r1*S3Z z5TTmigCJxyRMvn*?$MjW`y#P3T3Z5n9m&Tv8#F6JhWC0YAuB!av@$C6!eDLs8>$UD zqoTx3@9V<9y-q>4tm^RYC58vx8LRikb5Ob&eS8pI(ikqlXvQg8P9A|WMkT$C%g%6S zAVnjXG)4IGArRyJuW^87CnpE!97~*$3@c)|)z=rxKaJTa$vAZsoy)R!G)o`~0TTZr zr1odp;vIu`Hcmx^0FlBr=KC;~7QLA@%h-g%Y--jRSxW~5k<|=bBWoIyS-69q-gqWP zUxD*?7(|V_4A`gU6k~QT)1$mS zOU?i`lRK3tYtP3;YP*7*DiUEnv2m;@9r1A>27Bh{#_J+GXhzSXygbI__!R4FONB3U zi5IANzYGC)j-08|8mQmXsk-YTDDySmh?k@3SQ)A`W1x&ht#h@MS?@2dQ-}f@TrR&6 zk6#B&dwfjNmqio|MkA+<#%0b}S_T@d%?xJiQwBk4#?*urK5fHf*|lj1vt=@dy_sry zsWf!*QpL)GSACo-nN|+<|1^l+1kuLfbc}?E_RNUt653nFd+DH{a@ zU5^+`CZ2QhX_ONq1^g@G71+{+DMKiGl&dDF=NC25#~-|0-U5mVtB9`zkEFadOpl}eQE_VPbcPMU(joDb( zy7hP}f@hHzMZD(Y*5}HNN)VLALC-??r@HRDj6FUg&ZB# zBu(Oy482o`un3Uryo$t+Ny@Agqnq2)q2mHUX7YPN@4-mA#>gwohk6ig;T_&%^$@tZl?FMFZ+%)vx5y)`q$1)1GX)lxixntD zd~tv1Mzo<}$a&zVv4v7pkJkj*r>7{pw3|8T2wqs(844aeL}}cW&K!m5 z)Lt!AXt8@FsBlNG-5E^JVUA>Eu6RaND#h4ubncSsq@j_!9>TRHD->v&%0h&2`V$cd zc?iKoTJh7LCA3gb$D&f*bOe_|&=`qPLi!6o2EXdbHnFJi%7X4LKS&q^Ira=wCxR zK})wY@yCNPIyj6!wuR}%9r)vg6y2Uo?7VOn@-o(at;X(1=r$p6v=Ar!*xla+q?F-I zADu;HcOH&s$W+MgPDv3mi<6G*&M$&eNV4Y@%G=DDF54Q;l|7ftl|36J*^NMp57~Pz z0@>Z4Br{|h$$m7-Xh2*7B;&UdIF!rq7rT1`EQn77BxCJT4kp}(gS{h1j(Qim2CVyQ zA-1tVl}P4jBu;O#O?(jMa-#D_0ydtwJY@pKkxRDe`B1uS7gB7~*D)!hqVFFRlSSj4 zaI5`or$LKWdMn9R%@0VVS@gQcBBoF;dfiLGblGks*!nKfbQv#tL$i#cV^^z=2B>v$ zjK!`Ai0b3)a-)_bzCu>i_1$o0^&89T^Bo;-o~ln9ux!&$K`y{Qb-U!h892^sGc#no zH7*ZJm*6=0r!&vG1x8W!`%xP@-x}32=^W0GS+x*%)22ui#`%Zxayk$0bxIl0dHg1Y zy9s>@5B0-Mz2bCJ?vgE&uLGwZAZ;q{qD86S@Wz&~W0j>oc13#5p<=}-u=wDLFy z!fifIlR%OkxcMDO7UY;RPY z>9!9e#WtQ3NSAF@A$#bvP`ZqBsGV=fsQPqTU+=Rxn7_Nr?R$~qc-H+#)f_JYqY>^1 znS3cRdj0LVP+Jd_# zZe#8h5mvcPW$t@m9l4=$-y7U;sL$^ZMVR~95Oc2;Z9uBEvF>wcKg!$>#93ffin;nm zWiD4)v}Wf6Uo-c*7^}Pm(8m<1a<7kldi~P15Rq78u4~L(uIL-VFC)wy1C95b%>ATh z_$e&D5z%)KqdD!)Lk z9Zm-tZ3lomLQH!jDS_JC$r&Si!p18o`PCS6ziz19Z-i>6^|+V0cZFEsnFw=vjFMfx zzz64C@c?rtR93kfx%LF5LHAyD;~j7R!rbqn)ID+L-e;;laK_(gdj)g9pJGEtgqUl9 zX>f4hz=Y!lF!z4&-56l*Pr`=5&z$>WV;VE}r%s5Y%>AWisJ=|qoVs8sbAOS*H^}(A zbeQ{F0KWk+WtN;uKIPcFlDQA3@C}P6keU04hQE;YH-};Iw{VkdE_;Q!{~N(q5l_c5 z_n!d%kzk%iAqn&}RtC-e`ZL8LLy6$z#(VX{~dVMCY1nM(~wpesLekGpk-$y|fff)BP_3lWJ?xuK@2+_|^tn-7?02vkF$s?uaG%^Mlt;G+f1 zQ<-4B9#NRqFajQ);Tr3EEjq|njZ!0H_-agRqflyUkWF|KV};^O_`aql~UdF~7{&x#b&UR9+^+ogUvZsqOFvog#oKSZYp z8M$0jl_gDHXv;kJD$H|FjJXaaWiHp}&d`x@h0Jq*7+=uX9g!++PvWUgEm|w;@5Y78mpWQgY$!i!J89JUpnV_=6N!SZ-{B1nhd{)?CsLy4CZ;p z!7A5);nSLt>$%Xl7n-+Zo~==QRi=HJk}B=nup{g`j(N7BUQeQ|+hS7XiX?yjcKpAX zX9sfaK(6mqsnY(Vwz~Z!xOXRV?MJ=F8suFQomVvbbT{+79%P=^QcU~7P-#CUzg&6x zROWdz%qn-ItZe~l(Dh>Y#>yFMm}j@bJc$_7eu+qx_FLq=)v5l>vj@4BMwn|)T&i6E zPJQzEB82w)$n|gJ`a_c{?Jw<*U=%by)L3N-4Bre%l`D~480`BS^XyOJ8)B}L zt0{7wXXd(4`|#8E*E4SmjaB{(h8G#RT$iL4E_>g{yeDA{ZvYzY0!CTwqcdhZe`elO zf~;~ulDSebLvo;JJk zROW33hONMGjp>bt98+)Eb2IZQaeM>J^+?jlwIOhz#g~^c?*$I#JwL)+e;M_1w~YN+ zI{r-Ny%=R(gtCepMy{S(dG9e7Fz=;tR{39*xpoE()oYROTV8pDc~ymZuZS`J+70H- zhm8)HBV7>*bbFKE&k3(&-cAAL?U)qraAMxBSb24IF!wyeqI+Sy$C@FVnHS@v_nN49 z&k6Iok`z3we z)Ewq5#WL*im;)c?xSVON#e)5G3xI7NEiN=OZ8mCh>IEA?G(f8-du+@Xn+JA{)jm zY&zDcKQClknk8wGCXw!tfjloL{dw*i*L35d&H<7J}t!eu-RzvV7 z-#+6=Ens1@Rg;JNAY3j*jcCJ1*zB%ZAkP`O{-<`#{WhZG(zrxkqgo)(PV}brWK5yO zszhF`SRl{pv;U3eSTQ?j87`4mIW3T9DyOYDpSkZy(fV2^tlC(gccGC+_Jcp4fL_?57c1~Cz|MBXz3*KQ~ ztU)o3No1$E1@hE?kGpXRhEMdk7MetMj9DO0adq*ZgW(yaH;PJRLDT}-vR9Wuo0$g} znHbC^GCyL0Jh|PF!{eCeu#+YWiR=)zKsx(e^~+0`z7QdY!xEVnvOu16`}eW^SY?K3 zvXe+PXn{OYxqkTy<|$3mnp7gM2v{IbaNIHP66X0JLF-V7Y_C}$Tig;)9K=EZHTqDK z$jem=Wb=aQAKZ_H29SHg5_y?ofo!(=+p-82G67naNMt*w1@idNOM_=(p#rwj3uz^%8kd9WNAGWh6cRJ`QKq9@c&Iadc zbg@A)ntAv|#1@M9xvC*kpc`^mgf2Ctj9-=veFV7Snv{tPvSA6jC=)UmEKE8Yipwai zhXa4~2%9^2IO8?<)vLb;_C%)nplHB(h`iWrP zX9Ic)(52lO?Er!V(_6~ou31LCe!YA*buxdC=D6}EvLG_*-5ZfD(!KC_nA$+CMO0TNKHDm z-8$gUV%mLnO)MsMGHMXiFAJoM_u{OMW8cI@D&?aOC-_j@XyQke>?VF7)oIx~UjTYG z)7AxTJZMF*C%S<6m`$8H^oSI?u;G3xqmaj&Slz;P>#PuSex}D+j|w1iw$~dn`HdPx4w2JIm!5qZ+(p|Wi)Wh z^*PBnFYL(c=L4`KZ}1v+M%52MDA=*L<8^0{Y|y8Ec!?_vvd4MhR-NSacGBQgva&ZF z+i1hIH^D7y5ElNrS0NkpsTW@QH4@q5yl}%o^4gOyc=bEyuIGR_4>u$dHoWc+lMVXR z3ordDkL+8}z9kUT4I)G5o`M;bxBHb;yjbT`LFl1mZ%bCGFy?*057Qyq?fd zApdY)pPKRYMZ{>wyRN$LAHZCM+evou^<+|5sV{$t-43$JdEthX^w+m$eC_U0n*ics zrhOH*iLb3uvO%Bv@z=IEH->*WFWmByy#8ax*WK~yg+R2$Eit?J+7Xp@>WA0PxU|Q4 z{b0t|PiA~=+tPFoV3#lrH;$~wuh)apPW|u_duC*l^TM^V^w)1@d_C~(&lLBU;*PbvphJQG(KQx2aUz!p3A3poQ4M4QRjYGTmdS8)t>W9~dnzTo}=w7_; zFWieac=ZU}KN7IZn2V`4?YKWF?bHu1a}mdRH8$c4CkqT-7w`SZi()Tlt|oTzbx6{x zr*`a_k_I_1+;o-xI>C&u!z1%<1*|=8%G$-(XJKile*7hNzsV-&h1$ z=D1CK;qE!vpijN<((eM1JES3kVOojBsg{e^=CvOl(u8gbv@w=;JD(Gdp^?BdJ3 zVy+)vrv!-;eK;@Gjkb%ge2qBK zr(Sra-Gb!22AJ_R*o?1M1NKtfUxTy9Ht~fctDIE5@iOnPab81>jYC(ZLyvp;qy^q? z(R)oTT^My|uA#YsChpUk2FY>!NedjsN+*3N1gGjZhe=L2zA2<1>X9gh605U0h{W%dNkOI1!V6qS3! zIKi7uuqN2;uG3$IT{m<6GdBjg2XQDay9nK@g8R2uWHDW|0;I9}Y?!CwQY7gLA^U z1jlTh`8e=ioKm)lL7do^28oR5Bvvt)>1q2WHg~%?!TCYMgEuE~3BH#cKuui8Tnil5 z9<++Vtd4VRF=%dkae}wS41$qlF2UKq-a8cpeav-xz?xvz9YtFY>L+5z+vI6`51RW- zoZvDu2JcMe5Wl!)K;>JI96ErWpIl)y4!-MxZvI*7%FM8ph z9bmMGxmJg)30n1H{nQ$szGpbFqdF;x9>`T?}>Bw3F{`d8fLQMlJ{a9WtIgKXtCqX=HB5|DH zp148q-xdRP+a~P>03Tqk_kw?)Af6VGg_uoj9`mT1;QNZq%L#sH4%7!M#=%Ft!|wyW zFLQllGf-RhV)J%^K@d+M%7W`AXm0&+f``m;@N;K&6W0VityBMn-BRZI#AY1KiZ|Yi zv*u?$%>3P%1W%30ig1Eo#f&EY&Vpd;t&e^Pd_U&;I$+(zRs{9q%v6x!!M{r|ZIhA{ z#3MHP;a1m?K(+_RILAMFtbG`)%OBw8-P&o6rB9Y6;%H$7mH zb>Kl<7k``4JH=wbYM9v;r$XsdCqX<2$3ggq6KoYV2r7wef;GX?aShG`bP#j5)@%r7 zUJQv|oVjv2wqAT^Ccz6r2En#Dy?BhX>LT?Q5FE_h7dov8S~W4Akwzx|A#VwSJL5uf zG!Oo$NF4WIyOcrj3MI>f_(6t4E#Djl{1E28EMi0OLroeqVlZu=%p{n(i@=v#`qNaD zpKxMDZQgU>1oKS~cFJyIyhEt9dqV=*hcb78jR&&^Wzod@L+PZs2ebBJh+z81Bv=@c za!#Z`Wlo~!Q6NV(6SeMPYI@z5xw}*c)E;xFsnK3J!tNXae~E8!-FN^Y=XVwo|oFw zerhFiV^p#BsY5h8DiCf*gwCMeSqXHU=^_&LFV8H4?@V-W9QYMLDX25eR_cVC+( z)*oJz1q*_?N7Vl|!L*R!1P7V~hgc9CqC9aSYzLWpkPX4K9c06US;sJ`iPJxXv-hC6 zoyQ4Qnw?~r1;Mu_+|wPlhcS1R4Z*ZqI|f0Eb-@LCIk548U764)Nj z+@tLXWA`1{ z|NjKdS%?#yk}wF)3}z9;IC${Y;%z8vBy&#{b^kyE@RO8kiWV4$qCL@ z4T3jCatZ!-+*Ddok7DlGiT@kHtd7G6>Z}eXraE&a#0ky|8Uz={a|vGh^MN}+a5Qtz zcUTk5S{R5J%sko62kNYj!wIIv8+la_H17s*f{Q}@d2pOxcHbUpzy5|G=!{|R+p@X@ z-grdW(?2}>;*^nnN$TL{+vv3Hwagt+Gizg$ee*}6{f@))=7U0T&$%Jyzef>%+Wb+V zcEAqW-yO@mc&mtft&qD_Kt96mxRNb5d+B-q+wemrC#{3jT^QZz4#k%aizqm`_bg(!RXNKkvHo zH;71#X8$M8RuEwR$)Neagao<{Mfa98oyB}zV51AbSEZ!NcXhJK>a$LPD2y-K>MIz6 z+h{hOndt00=?3Q0qWG%J=Z#5~uP``o|B`DV(wNVaU@pHRRoZUn_BCZanXgD;K7Wk) zN(_U(l4SdbM{Z}no^gBwcsYV*<;3Crak;e3|(wllX?1 zZ@5|3h~%~Jx4#M^lr(^q9hYt5f)fneTqH ztou{*FYAvWilVG2%35RA>p|x~KRw)-`PRnp1;dBq(xC5=(7)#IoDETc`PLPxneVv}z7FQw7M3bsT>WzOfB_IWneT-t^FC?T z>uKjZfmS1#@5L0pVdi_qEbEojnID87V!oXyYbVNj#$>oP9)8E&n)zOj;R}Xu#f`Gw z4uvKUg`?gIFyEU==6%7;wLSFlxBr^SeDA2tw>!>!do?51-qcS|fBrc0y_di@$hg)w!Xe=bhLYB8;-FlFWaeW~k0ryAS#6D&}vK zU_}EJ=D)~PU6krKZRu|2zc7LFVDM6hk?Ydfb>XW{Vg5@Z_#)TkNki2>^7Tc<$c16w z-zLcXc~L{vAuxD)BL5sDt^h z)(q9vsg8jQPGJ7463nm0ncw9wRIbTL4~=%9|#+{`l?N?IWij}CyY9nzf3b! zWvMxTT-lrX`z4s)k6eQshH6l3_s;za^AC*Ri~kQz8mjU{@!XTohbYASm|Xl-rYacu zxP0~R5JA;1$owOsMy`>8wMWW6hDc@p;c@046E;-Ws{2k{d^SW*7*(okI&S$m=z z#rVt_54G#PgX2i%fC(&o}sjZp+ zCgixu$^7$7)qJh@iy!?5B8BRg@t(AL4MRwEeY(de)t#W zk7&$~7pM4_IStjac%=6O=qXDfUaB(x3Wo#v?^N&?%-==b(vMd^`R~T61%Es6fa+u7 z3=k$(cb+0&TyxTb)AsFQTfRz=iv*Op=O*|mrcC6Cn}>{?!nS+?^W!iEkWuEUva)%? zw6_NLW?MdsP&?{K?YXdp%@)rD`h3i`d=jEKk;vXj3uN;zuO0Y5w&h@ef=wd7vnsvW zoRPEUvn~5m6wEr(?Q>e#JbwEHi#xF`A0?PT&^Roz*e z6}Pu#TXscgl$J6%5zAZ!)n-47RGcyH{OKUy_|W*pP{VKyBSCKP=by*-+5!RuLtP`|Fz ziy#?Yn7P`BRwR?gvA0t~Cn)=A-)%f|UlYo-EniQ9%O$cwA9O>p@1|Et$_|B~!=)1k z={Vb6BV0yF9Os2=GMa_J3vb1qHfZNRp`F0A`C29&`BrRPcgYXY;g6o`UMD%p-c;~^ zy>+CFHVWy=kJ9v^qUCj?D7yW_mD{11$h6@Bo1!tL>z`vUS~M%*c@<#)WZqfeVd;mSacSq+IrNFjyqv?lu)*O#(D1`eXSA3I*ktCtAz;IyUs&2X zb`ArBGB0tUOKTaE{Y^gv&ik-EU{jd)M%9MHP-%w8nthkFipk2P%uF2U(p=|&OLO4| zye>5WS2hm!)sbfSOXvO*DXPV*KK;-z+Y#Nyy*a7)1Z%Lwwb|e zW<=VlA6~O#(jMo94Gh_icj)~ZygqJn)$f2^hYb$9cAOKCcIt=Md{x@xys$+gd98>W z?dWV$_!MB%nRgj{n%>t9f8CsrcIt=MZJGYUCXD2@DrWHd?BVGRQS1zC(!^|dEs4}G zujL_WkNfK$Grpo`d<~EP@ETwXfv{d0`_-`s+b6z6QLt?@YjE zVWY_|zM}Q)ueGrZUJse^^@tf?&vcu29bkAt?K->odN?5M)Q`W`tI{6#*JEaU)rO4t z>iogK9|P)-ZL%FVneo+WPRsLA>}+hu1#RN~sYw0udM+gG zaerY$Px5-&jIXDznMU)_jo9$Bi?3}?X{Ubt^|{(3!@!3&#`lGk=Kz7_^w^aFMiHZASq>#cyaQ$PNCN0s)tzjm1MwNo+TzUk!m z{{^ zh^AeQ&FazSdT8h3=2$Y5j&UjQnNwybH`y=!#I-VE3CEXMX%~#VXxtv75K6y5_3lwT z^H*#{u6ek97R}^P#}1jmpUddbGb`0!RrS_mS^zFy1*8^%qw5c>thRl z9Spzw=%x`cw}5%~=MDvemw6dz@-i=pOkU3UY@6!OL9Qn!L|!tKI0=jsq{e>irwL_%bgA zOkT5N>3$IHXf8EPUgmIQ@-kzS^TO>B3xBOYXHG{HaSQYQVAqc364K;lF5gHdc$rH9 zlb6};O((m zDLK3f8)(O^-$$1gi*REqZp|xgydm3ym)QTKwlsKUZKm08WSL_h@hWU2hV=CDtMGXB z>IT=SuznjWY#g%YrSCyX4xCq3|EG3L|44sj%S7D&5|(n#t9foag4egLkKopj<91fq z!loUq{Pln0^{0}->x_`23C8WSRD!i%{OXffgU0S0mY3At}SYu=rU)+@8#kAwh>4Oc|}lh?5YK6UmOvj~BwK_?Ujo9AEAIX!^|b<0TPk z&+sD-ab(Dlpd%Wl41UD@RT+<6qdDF>{Wv_oL}E(~kuyvPB=$kLLCamqACzPdJF<;K$;G;m6|CG5PTyAKcaq zR_uQS)c_I@;dX8LhpL~=3xXm0bEetaqohkiX1ze5W5 z(R@8qzm!g!uj?8gFW%Gl6BN0e72;TM#`bERejFN-Jc+yTW9FLi*!(E&`)B$wbG>Qr zN7HAfA4jN?pXo<)`^WU-&P+ev;xznti+W7)xNzt1vr*&y<&nk1NC3eq4G|c<;3IafUO_b$2KR_4KQ^VD}2x<9<%Br*5T&$iQ&gAoP9iI zw?VugDh#}JR1dz;7P$E>*hzmJcm z&rCl?G}AwFo-vOu7=FY-M-zurLW_(8N_ZfqpnbzaXjiks&$Ud~=ufny59>5dW&U3G zuP-RHb8!zV{3^?{GJD!?0cGF3FU6l1!UHjd-BM`9b3?4?hk$6#%^x^_nZMyl7`&JH z?+@w^#Hg&Ob5fq(Eb8j$wepKMA<|gyubr&uDp4D(;)6knXvTcc76XMl^wA!PeHDK*5w z9%&q4g=ia{W8urr*Vp{qg%wqRdU>1`4O675XjtOO%D2B}MZp-pn*NMV(Ma)(P9e1b zY^PY!>sXFHq{(cB&BLF0$H5_!6joFn(;wd{nhe{M1FYz}gaj5%4?9-eGZ3O6E5b7% zg`1O7<$ofu>zqw9S{bkxZ8T%e@MqIRt4mN33fR&;wrs)`nCr_R26 z4MYknx(x+9ZP_dFufK8` zD_RSq4@8*Xe8|DSJ5)0K(`r_4VQ7Y{huZs@E`7d4<9SRtwed4%wT<2R^(ZM9XAy)LcYN$R>zB*y= zR95sEivA1*eibuRUq?rHD^7+;V?|#kSkZS0L-l?5>T5Rkf+)y}zD?;zw~JCRp8|D| z$B&kQ9{!+T$pb+lWQDaF-(x>rWrf3x1Kf?ix~lKs*FuMnqo#_bH2ZqBPisjCY|eJHSP3?~sfSKwDl)(jcVcv^EOxbc7hglr9rP-xmDt zB!)j-CI-hXub|w4It~UKh2=w~7=y*!U>x%GzL@y*nh%yE|9#BAEdUHzIF3^_^CuRH|1f~E=)29I-H1;mf#RlD(;rOoXD8@jLXG2q{e;?j%feItNU1CWnXb?F+;M z%pcQiI9wMb8}vDL4zo0#5&sYenlvScEqWxu4{b{(KMKTJ=VDGO%ud1Ac{}jMT;4okc1PV z_-a(-bciOvnw6@LqK(Pdzdv^OWuLnM+ra!EIx{&KlW*pfzz+cEs|vDD<%y{ybBYmD zhgi)>oR~UHck*UA7|iAMnfkxUH;hBJT3mf)`NrTSR!`El;3d{xQYLt1&dq{XW}O5t zlRxM6g$UUUe|-~<@7;Y8%x`4=FSA0{;AJ*B`9| zmsr)2ob$pWKc^jkaMm`v@k1bDxCjW?w4>Q2O-FJqrFc*W047%_v__Ysz7KZ939-0c=q9#IS5Efc@*j_Vi87 zAB5#KG$^A?Tk{K6Sk+*@*^l|x7PfH~8kZzwHbx>9w;{%4w?~q3n*}B-Q#QzCsqL{* zHL<6Zx3@9GWHF%QKgMsP$YlG~gb4jSu>gh>$`lzgY}v$+A=^~H@HeQb5Fg--IR5Lx zcGcm7o5R#v8q^?=+6VMFmZ^7?`A_Y0+Ct(*#W8h%yRbMKF*D-$vuMPJ$I+PLYOw`? zE%X>TWZUT%S_ZYVT|gYav#snAUJO$uG^ll%ed%B0m^!9hd>m89*b9rJ5i=u>zsg2@ zcpQx>vJuC*f`Kc$Abb4aC8MD&r9qv_bTPi7n$&gJu#mbSyQRU=2#UW&gSy00d#GOA z*jrigwb?OCH8dU;WCuPUif?2Oh{ZR=YV6bus_d}=Y+$W6?`Z`aIK-{ptyZGEryyA( zy%oKe9ZJ^GpuhN{?K#RGBfxy_OENhhk|`IbGl})7+}DE9FJAu>YeylH$u89 zYz@wD&;}xba&hL9TOx3k*e~?Q=oK{}4pZiHP_Kta{KXp7`XFT&1z2vALcYtT?q*k%KnM{UJiRABrsZlZu40McoIcU!s4l*4m>UH zd1XU2h^&#6ppMDOJ zm7JF-0BaVTphy#moXut3Vhdq{{o&uq*(`<>1X#1!5*Ay+Vkf<^ot>@0@x?ZBwziV9 z6)ZNB8OLr&T|?yTZgA`&Jmc>D07L-a7y($b*i$8E&j2~ai0-8i-R&wSl(Evo+UPVRz0BlH;JlT!*4aGC9~3 ziJS|7njcGCe{e2}Uw_CRr83=?5WV@WO4(;LXa&W#EAm@9)d@3_!RKQl4 z9P_o@ZW}pwMG}jR&M$)6Qn%fLA348Kkr0&8QI^{mpyCD+WslT!M}j1^N6jniLCNkc ztshytkeq*7DQ{eua#WeuHRqtk(PGwca-N2_Qz%tE#8ugyEtyulaKm{vK(5zql!NOc zaCRP)&s)e|JH~5hCott3*{WL0qCkCldE!!?Ddg}xBkE#>bXd37rCli z$@vG~(N!zRfVHgTs;Q8@k#2F|CiR=4<7<(tE`ZqE9i1g^Bikdrmg(6}uKG6ePYjX0 znXVeR&GJ!?{?C!Ckwh*+HNTd5shn>kO9nK#hFncim!@FfGR#$(ovn-CD_BOZ=3#PG z1C1-JT-EPnXuFAGbp@|b_lk4gb zxf-fuzg9PxT`#)mM5Bkvb!`y-M6%m-gM;b^zW#V8V$u<*B|26{lpAzZ39fi{L1%Jx ziXcg3@1m;){vFwS>i7n7^-$qYAV)QuUaE$A>B7sZldHFtT)jZPyRI5Up)c+7wdBfz z!E3GLs1?#n)fL;#{sNI75Jm#SUb<@Fn#hc)l@5?A#{!l%a@33Ir5Y%ijj!EGE+?Yl z43a%l_tkHKwRlCHd~y|lVUGYg8cKSpCgSbh)4!5yXcS2%`v8%v`p*ounO^G(a(RMa zDUqY8;j6il8yYr=T>b!(h3s}+HE^BqQq6B#k!yrNE=PzQmsz+$hhW(#b)7=4(Gs~v zsbqKQGzOlG40^qTM52)lChkA81d|e+2t$gzcxgLxn$z;D-R}I`Q_Lp|OORh() zoI{`b1)K*(sCgi%@~87bd=6x;BH~xE6Y!j>q!YTMY2x_vto;pdrBqy zEn4mwNS0vdo1_=Z?yRVd^Qz#zdr@3@fsYq-`mSZxr9V}El3YrRMs*Y^CsW()mxsx< zT*Rm)G5fD8Bs~QCcOerWE$mYyZ@Z(D$yFL4uTv)1dc)#|n6D~zf+$ArS`o6}Ym}O1 zd4EyUq2$7K!(tm;L_}`TwJqB1HER|`Q7RgR2u}}lmHmO(xWx~=My_`;ly+0e^}fJW zt`B0JHqE&YA{bMGWS^;Um3@|S$AH6Q$%WU`_^$|&Yp3Ds)9AxPhc1OE3YIAKh@_XA zBfa&C(t}*P0^~1-(a#NEUxe$6hnheHaYQEj;}*SC$g=;H7qGK@Es@_DAlJ8sukWHy zTiTw62)^DI$v)4h*TV3ftM5j=RQO_h;17ncgW-=(4Bi0|eC>p%JsQ_}!g(DpXnDC3A!J4dK)4A}2^{3SUFRBsDX9wFtC1`t~h2!Un(+zBU=9w#wIh zINd?g06QrD*R5+7bgKKL6J6Me6uC!2IFPZESg*(e07Ca=*Y)Vo)1aX*Z-*)|qw62;vZ{`qq|O3Kj!5EVt5SC?r;LNQ z9c<^8ZUU2=K>0I)<$43zD@^uJtSr}8B1y#bs4&2CSt_}&Qb_8ru-pLX`m1FB+@`y8 zTVFU=2~o(#M1OgVvON|C%(0eZOQF3HRJEsF) zV=#{1zi8fW1^;m>Ic|`d{pkWp(=hUSG-NPLo-sCZ+@#feW`v}f5|{)Tau&*sz%ivy zkh{zF#FkW^R!Gt#5h@;PA;&gQL|b{q z+*O%qs|DCK9zealDl@VuOfUaWAcr$z07LiZ^r%hJ(;|(e2xS^Gsm#6BGdst6NP1Q# z&j{EUr4e}{N^T#B`tN$hdzRlJr`Lq-SE}C=~Tl zMX{?!9=w92RY4>xWmOJvRaO;YOVyk_l2!{S3BHJ9qGArewBoU!KO?CW21^C%SD^dq zSD=3Q?Bk!1v;j4JGfWQIqL&&T9)I{ecAG6Wk~X83AF5oH`ElTx#&r*ov`ryteTW<* zWv+6Jv1Y8T(uAb#@U>l_%o35SGD}spZl3^2JCL^7$T2p;RgPQ3xsxxsl%!8!@Dsc) zZ=>GHGB-xWQ-|&&=`*~)wnQPv1jARr8VbyPnIw#~(w->J?=9S5=2H3Uck68-=_{P} zZwQcMlHu#lzz1uuTu9P)0!iP7N%~%EW)*x@NDf3`MEU^~f3T3_L#_FKl1TbdCC5FQ z?yySIVd#IcFyt>`B#|6zWR^P?LK4U^Ey{Ao1(IUW|E?i_XInrLtwen zGD)XmT*^_joC`cWjAa)xTiMk3`kj8cv_%ydnyl-ER|yh~#&*9=?D zQ1&tn*;2q3cGA&7rW2wxvYkqKZ8T(?Ahxg)(Za@bSHZ(oGUZ*(YLwR=x%S9)2(a9B z0=B}GXA863^+6;n<#pC zB9yaI(>WuQ=aeXCwZL?F66HxM<*d`34;Cn|AWS)1ZA^z_QQlCIa<*%_B9ZcjMJeZF zP3MVHo=2vfe?koD42FmDEE-&VWdoXBVyY7*q|GYYm{Wx=XzkG>}haA~VFhN$j&vM{d%0i7W-g4xn z@yl$fJTo@j-na>6z6hJF_r<+8F+K9UKt=JQj|o*>sr zmCcE{_uirjvc{;@Eu5I8TiBeNBYW9QkkyOt?ED0|u+ob;JxBHwOpw(gvsSdgSq5w# z(rw;kH9=P08hEolxxRu;Rkzv0VuGx)z}dA8&XQpBYu)CJF%x9v@i*4Rs?tXSJE!72 zZ%|E;m6}A_{tst)u=!DlBfCXSkQr@8*XW0{NZ5Q=x7jsdf}|gI^})$)DQs@mZFZGR zke0n)sJBqbqar(}<=%(NI&z?-_s>^u-1-2OjzbS=t|75+W)CrJ8pyhrojO%SrDM@U z8fxAdar=uL1tC}FIZT$O7crTLK=`Kg+L`{%YpI_?ArqV)@olbM4BVvNAQu}1BFR65h zz)sgW(jGQJR`xA!Q$nQ$7PcI~k=cp~vQnoR51gk`Nny(k962atg3RdfcjLiS>I|~w z42~RVGeOd}h1H`}nj^F25*=wZL0bOYbjv4HYRAc}q9Z#?=qaTWu=4w-m6c{AWoP`S z(ur7vJ{w5Lun5d#lTgPDAtA$hFO%H?&((QZEbTJcUEp0;mu*7Rlg$y?%4R}_RZAv&TxRQ*2^rQTne2%m zTb1OplMyDHr?550gbeG6Ot#R%RumI5tQIoaB7v{6T)hx2UKHCRvO;+>~Go~dmtn;GX0?-@-+m&e$}OpY8IF+pOOnO>EOdP(fsCPx;>&mJYRvM&TkdO^o| zLy-9nS=i|?$7yHI#d$$?_RM4~W?pEibeYP|uQ_hC4KnEw%yQ;I8^?KKOmC z^Q)crm%bQd=l)E_?BV>@LSs4s7u4)AnYPMMx&q}#1Ub$PE%`D~ma$6Mvq4t+GV0+D z=r|cNPaf>$sZ7TFdhnmzSoFdY3X?G(ZY%y5yG6Fh!ek7mt@jpl>-9TtR48Wdp*QVhrZj*LN8 z(lpH0uh@^_MoO&gu_#+K=CaP%qSBREPV!tHqpdq7CD$I5zGS!bb*T|eTu zk=US8EI>Pt1euKCv}NaxkgyRKChnE-81ns&%l2!s_%Vga zG5}$>3Y5KfE&Cp2#>)F{0Ad4WPZrYfir1IhKB(D_k1|{q=M|3?moZ++Kg2M8PaW=7 z;Bt-EePMpzfA;-WoHeBcE+}QJ?)@_G8!3A#p29E<+)pYu$edg}!6Op4rxBcC5S(Q~ zu%P;~Jm5D`_RMqyk8yjfCshE!#s7f7X3CzOj^Ig^Gprbbr>xwb z7Qv7~aG_MbiC4AzTKyjgY^7|B9j1K{ zH?(ku6%s+*1;g!W5nO5zTxLRW!Xv9!0w1C5=hG3a5#a_ahG3o42)<+xeAR^DUzUz7 z;C~xsuSiGGs#Yw)hN%%;X%GyX5ZpNR*Uj+%Hf6t_j$o5W#S&~5Y5NtfK~m?*Z&1%ErYk^W*F|y~FD9GUJ8247ops(|F*N-P;O!IKxfm-)CtlT1 z3Y{-tjNJ-}LfVf}{1^y+9>j!9_KCn`@$)4v`zXL3pN|vKpPr@imcbTpjEz>Ae1#pOX#P zr(~}jNE0T*j=?K_TFLA)S$baaQ&NtL^NKITF`34zdPwU{8ZV2^Yv7)f3xJ5?mdbz>l_df8#;-Jp!zR{KP0r`yVb!=&PokwSg|0*P2i3Le!QHvMm z59|~bys$v2^Ex0klz`ZU8&*T+yl_V=v%!89!>hVAZY{y9ksdEBlIpx377lI)Y&Y2( zr->JCpyhTdhF5%Hmf6(e)lAakwasY9dUCbzf!KpPaMQ&rzQn@pR1B~9;xMzP@oE|7 zys%iT$Lpuo`@RC=bKK(_OVf@`E4Y6(4>L~er}4VN%6VbITIba#W7I=He1RK`C39X$ z!(YY3t7TLp#d%#7;JmPCuJc+r{=i8fzQireVRK%%$C=xy7+zP0xIHai*Xr@Y{n0wF zfm{4+y#EUKPp6Al>XG)M$FIc20>(|_WfOS3aPdIrRb&23HXy#n&D&|>Rc2Oj@x0;} zEOcI7qMR2lVCcNsFPyj+kiBH@YE9FQog&Nz`&CRk#xI&Mds@7@+c+;=MA3N-dFAN> zAilv3=z%o6dRv(d_Ny3PSrN?`{%E{;Z>k=ds@5(h&*1npr!LVGhoe5 z!1m!b{dDmf8s&B>hFAO|8ndbKvd1_tTvXF}J>DXVt@(UUc84uZJNg3^%WH(d?Pkg4|Vn2=7Fg;$lz^U_UvFnp3fH*+*Vd>&E*`^u6pNffB{Guwesqym4JYKlS zs`I*S^0l3T_<`)+bn%*|FdOVwF}xm7HDmar@w(Zn^V)9k`h4P$#XuayBOg+lc6>0( z?Nkh}_{C*rQ{y!%p!3=-=<%vmv*9Ele#A2+;WWG+6POM5yI@|4OL@Gkc0QE2z|CYD zuUo?Ww6X8@=*AY$Pl4hmJh@V)`S^>IT#Hk7!?dSjrmml1UbUa*dP1`6zeZ+!3)LY! zLX*aI;^HDJ&mwVQt_|9n>q&v6TK}V1PQ&P7JfTyj5%gLc%rD$^{2D8xt+~Dn|Iz+9 zp~5pedPjJrcDt@X{7m+{LTNfe{4Nt_NAC!(&o>Abc*^4UQke!+7| zWx5OAhZ3KVM9S~b7scz{fJTb*nyKjRI7`uajo5SdyFeVplTYQB@#4JRPieD@k5~NO zJjP9n*CYA?!#>C8Ukyr&*@6F8JX@8nf9mOHGZ}B3bWW{A~$XXMAPw zVRq>~h9}UJG~>h%6&$ZasquQo=wC~X{^ei3{VMP}j%VD`^{*o#0JLAlj9`J=+b-aS(^+#&FFhuk5{$-wLw=D{-&3&TExbkJp<<|56(7`wWmj z@T{R^-tQB4-!eND60gMF<~px6h#SJhj@zY1|9a-zr|tvfPdv?-rhg^wAFeoF@%!a< zUT+!gxWVXO`&T|a9gx4s{#Kg)mAC`C;&?Sp?O&S=UR#a+wfN3QH^Aj7Jdv5Ee5Sjz-1bp z9r{HroDS^0_7`?>`wX5;4W&khPmB{cGcpqw?)oM@ikj$W*jGo1Vuj%&p z-U4&WetIPEBA>YA|A&s*^)x(N%gbtXJ`<;tm%;M2{-t)9$;LC3hQ z?q8#0O2??4M(1-Ir-O!htn?^5ojr$#eFLd<^oALr*XCbcC+;%kwBvmu+s5+jr@8(r z#$Ai=h5vrAYb_|wll|*7dracySXNw*1YTOhf9dG3;ho=n%CHO0_V0qJk3>-4>29|JYNc7Gji~os3;u>6ybJ07%pvKn0gb~s8|UC4BMygRZ(R=# zmGQ=-KpGAM^^X3pZDAINr0W)p1A7BaVtRl#(CBfv`h%HR2c|0IsFW@a#{Eba%mMGX z;W5-WR5RjGL)AGrSJt{49IE1dH|gSlixG+6g>x{jM`#>sh4eVo6?G1m<}z^TU0yf>je(A$Z=V^I8?oeI2hLoG!Cu8I)^s0&Y^U2K4v#m8}BfRnYTsm z`HP6dgc$Ej8i%%4okP2b&SB=xtPbE%2X91@(r`F+5pgiC0cafB2Xqb{j5zfEcKQ%- zsEhY2h0}02ei3n)h7KS9X&gElak$=y!;~8vVtI<{;cZRn;&4Rbb}oF3GS>Dr4xRNw zHf9iCPQQH|T;q)jokOYB=Q9Yrs4v-d{1UZsv*EweNj&|+$}^lcp-DVV!(v0-2d(zkjE*B@{;`(ZQ=$xJ;vSQy__s@6XcLfo*_S zuaxN=JUWTzt(XbsI!?#9RqkKum`-wLMpEeX3357^+hlL*e+Ygq!P{OUsg0%2ZDyrJ z=fcj-9!YhbmF#-LzB^{Ybwj*ACY|d!dhvg)wdr(!ZXl)B1I46T?`#+z}(Gyyl5U%2Z9VNP3f?TjS3esN{P>)^Tx zIb4=BuH%mrG86ym+Vnj9X)(ohzM_wfLqdA>-^*Cg5XPJ0T|H_V4$sQm&cAbbA)1Vf zpV_R4ahX>ALQ&^X6w^7_cDCyS4wvH1Kj~WkwGg*+;T%>4d0CAEo}=W)Yky+-4f~z< zl^e1CMa}RYp>%OrEpR&*&Y@K2uW^tuFrxZw&M-W&qy-KSO}zvzs5#zBB&KN#wzL%g zT{wp=HeOcaFjCezjIru%G5gONZ1-q^cN{5cIBZk6oeSr%Jv9zvBRYp$jW`T-?$`qk zmyzQZOBxP40{?dopXmIxI7~3&5HRBKu(Fd4hAr{_rF3!l%=&-l@VUs#Y8)mRakw*} zx5am{;>*F|a&p|BE)HLXxt$Adi|+(pR^z}<>vh*+Y}!oZ@xG?XVwxsU^``t+*Jek+ z#8a=#z2^F!2zQO?itoTub_8rij(f}0T0bEFkkb0v0a^M<;9ESnYILSW zljwA@y{v#vYjRAtrba@i^Gix2#OWOSZ_zQOb6iNFGebzCb8zRfdZ2R!UZf?bq4T>5 zoj)&v&S^P?&O>Svo#t&C= z9%iB|$uT=EbWZStg-M$GF~$ViGTD%f(r{Zyq$15uEI%&LC zNacCG7HG1oMt|^XPmUF_|K5&e+U$aONyb{U#tWxTY$B7~j(DW1N}FXLg4Z?Vz-tuB z-b|Z5UKi1hcr9T{yw;fX`wg)MA5}Wgs{=W<2uNtp?Chd4YKDXzX z0$!c)uFrJsSU<|`82vu=F;Q)QEE7X*Tur)=qxbuyL%qi9pi-`X_1hyX&A;?-@ajyC zA1|OC&BoO-eM#e$*GNpRQ+&J+>Gjlj{ZhUi!Rxs;XODqb7rapT0@@KTI8FAKcKj;C zRpTWYOK}=6+)7k-ym$N&UE87)4g}Pd94DkS`=d!amT4cxEBVLTjKwSYr?+F${6yn* zN-oC>8!rX2P*|>TG zInFC-cr{a!IcVd3UP~cart!iD43cGWUK8}F>G4W>D3I~Wsb0R{=hRU9KGp!%=tjz^ z8c4%Sh$j1s^J)`JmTA23I^1Mg+ICDDcQjtL1Lfmo4b7TgB!gFX%Bf?$0AB4=IHcpn zKBSS%RqOY7VKA3zye<*>Ybfxh?=lmfoTirM{pPF#!5(-ec)Ee?I)NKxjiLpv%*&TF zg8y|O!;8kbJ&jpbhT060q}i|WSrK~^19fP zW%0lnomAu1N+{Q$nD88GKlTIg>Pb1RB54}*1}WKgyrcC9CCjvUl^H}dUS=mClB$>0 zcwH4N#|!cLplW?~{@9Ch+6n)Ucn#3&sqyL%);rqui7Qz8S4DF=DQkvpD+IyblyhCW zkt4O&Yk?~hBrR}dg2V!s{L@E{yf#5D*9dmCl?z->cWG+v`)&oVK9tinY92UzX@uL+ zJKBFdV)AnAzroAs3>vSS)bhMUvFYrGzDFUva=K^GoL8CK-{KvuSCsqYI{?pf4r$|+ zbnBePE3HAk)=m52&GPBum8~TEi}T8{CCfBk zdAKx`_%RM~UU^coEIz;*vqz1W*L2|Tm*3z@@aj)FJ}FJS1`Ely;0?D+XppXWnOfm@k+e0 zl$VY3GWvzaYpkLVuoKE1$tS8S);>B3o(EFSt)hA0%8=0lSB8v6unZYSF!`r+WbdUKscfM9=Spp=`>T5-{h5uRA1j(Bg&fKP1aEUU?%^WNG7tPtNhO8n5ZL^1Nn* z)?45HSf;cGF9*JX z5HaVKw1lhkN?K)RvUocl7s~R&cRJK$S$qdD;;Qk&)$FpTaNsrY-9KIfuN=yGEKR>p zyuzQ^*LfvA6~JY2Ua8l`v>h|?nF5ZB^D_FT#%rNkj#thi;Z5fmcHc}c<;)M8#|vMX zV|Lk3=Y?;`#U*iByd6_di_*8FsF&4vEe@CG)m?ha(!m4cPRe;&`hUdBm~Cskp0}3c z<#;VHdDf@w-dGpD_mL)E_ySLIY~uT4X((A1Z^zHYWLdl&jcbN1*70^U4saT;m*jH1 z`W+6e>2a(Zc;V|JE7HUZ-}p)P7w5IbmMn|&+MdES&g&B;85iee9Aq_KE6c|VynZ@z z)duj&!#7aU#p|^ce{o)^_X5Ou!A|1GViV`JO-Yu;c^L*MHp~jmd__YhBcgS9gDttHG;)a@GgZ@LCZ|<`CzV+O~0C zseLXUuhc#lkC!n~(RgjOmE(ne|IdbLZ-Uoge4!?qhF5A|iu2l@(zbD4seLKV%dFoU zlO>JU_VV$<#Pw=t|E1tHgmT_X7q8U56z7%Nm*Tuq`%;`&>h=G4yo?E(#_Qwq@j}0s zdPJFaD8Aa0E?&>d<=ZiJUx@Qc?Q?NnseLZa%a}B3ymp1kwPVf~;ZN&!c?Y}-DQCAW zO*`I{(q>w`@)Y$b3X_Uqny2% zj{PO`vc@~LlWsTE4@gpP!2re&;5^U%|0_X!rIuqgf~rCAprt&)E}>F8EEZAD{&WNj zRc_EA*jGxHT~Gw^wP0RWBY3EMk3-9bz8%gkj<_l3uwWjz#7p~`EuB}=t)xtLLA;XQ z(5CVFwfqbNr{Y8J{7QjC59J&Sn)AXpb9wy?UIW6(vJ2vcuYB>c8n2ULxjmtO4O`*9 zYHUyNQVzc2W7^TmET3q5LYZZq3*wcu@U8Lsr~Dp@c) zAYMslHjEeh0*OvAyX#LQK&3Pq0wZ&quw_}-`doPTavAm%1su?TKtF~?5 zxWh$oSWLOqBj&u4mOyk~W%?ZB#lFJK`whdfkv93qWbu)BCmDv~4^1}Dxcx;S#8EfELyjqkWzjB4ZcT2L_%>%>n!M`*|%rdJ0TD;1v7HGW6 zEOEr+^^gg#t8|&h>*|1|N_@QUe<|)%?6Xav{?AI-cqvSMwuG^UdQ0^6FhLGCSXLA6m+}*kh7LHBGm6O@Z%QnOybG{cy4&`P6vN9{pn}U!fEpRX& z8&zfxX96`Ll5)#_K7)s^Os~7>@RjKqTKEzl*I`xB!&hd{OdmcYR7UvjQH`)9!soQ7 z%9t-F&OZ`At@mYHFC={En8JxBKG+#Wxmlsq9hrx(%wTfS;VUy}#>1D|!{fa#RhB+{ zMyQPNP1Sp(-us+ARU=dgDKg~5>2Bhug>P8s0>hWvKE?`;rrZK+n((C_4=z4@sVgZL zA3h^gM)>YG313Uq2o(=slZYY1&O7!(dtZ)VpN#O0q1@r=dSB`y(Zz?)AK;W(buT`A zMyQPN%@Vi|qxV_W6d5w)tPwF}2p<-2FW}IDo%e+UL3TbpmU7FkH|slZ>II&Q4`1r~ z+{K5_2$d1OM{%%-|BUcus3|gJDEBNEX*a0nJ}g~e_$-l5TVdxG%6*{BWI+#K>hkl& zhi{s~Iaf^YGeTvA?=hMC(8HH|QcaN|L%Fz1De=?BzC{<>`wko|>;hlvY2bQqyB!uLej2utF~n`?YWN((6f?90Ih4i{q|)1Oz&oExeo z_jnhWTParwrydq4G5t@X&7zW>tq{_=P=o18@V^d5|ZA0BQvpKza|=2S;)GLB7sS8wu zE8@uI+OQR&tYY1B?l$4`lPB=~!UB|k6+nN40gFRO0u39hvfS`6l1PpLQI;E}(5O}+ zyyOP$NJV1R+gI-L6Ru{RV!zi|G&tJ!Ou0N zqB|8N8|6Qx8422`=>7=hzZunmYlFAVeSaVoJt$Jq%qZo*9?(@`VeOrpHd4`S*qDuy z=n)nw3V}#SOnmgOXc0cXhkFrAQFdIABR)C6jM_6tTw)Wuv*EW{>8oI9``Cef;RmN6~ihj^) zdN52y2SqA6tnvC;p`xE{RKx};{DtlqbSLyk7X2<2w|&Y1kxagKBr5ttWWYaBa%ZDRGPx^Rk#kpyBFTxbB)YMixUW)Z=%N_Y)v%Ep>i}Xaf#qu3 zX#5J?E_{i?a_y}2s#T@@1)7y>0_461m&z{>FkJ`O>>!i7lZNcfwqoS&rt*lnZ%~#V z+j2QXDAol%Y6M`KG|pM=1&D0qzAr-g@5i{o{12612B>e5J7^{M{ixT>2v@lu48*$hng)?X z?iniOe=KoT{->7UbbL_2{V)v9ijaGb%vJ6=YV9^NKzeozDMiBY- zdxb#x`>jm(Y8VMObB&3y+)9xK-Gm5%4ER}$JV6xwGNfDlN}SZN#&L)QdJ}OS+Q}f?O}gvo*YA`28h_cgSgC351k=g9qI5Xnjfb%MN9 zfz?+0ZQn`c{v}H8!(jNEu5!l$yKWy(5LwB6OrfmG0bVMrittY8vpjPDZX@Y6f!u%S z~^ZY1|V7IL2rk;f9|Di0}3 zBGD-jiL~}gfePALxT>IiY?n9hUGh|x$x{hYt)_eSR9AX?H~tSIc)lt|LkH@rp^nh| zE0%O1Pc4+H3Dq@*s-x7dN2RC8Q#Xi&*j%gVzB)?18b9qKPyGlInz~^KJUop=Y@sUG z8>Bji>pZe1gFH!cQ4Pae@mYuiW2lO^k_{PrfzF|RgCLT~ldDp{0zClz z3e;;BPWqKR`RGM&hRHKn_vINHIe+5!9^@GkM6y!mMu{8D+!(FhtR332D2VF9msjK} zk1zUXG}{JI6bWH_&caohOXY1-E4%Sc=@4aZ2w>mT4SGhZXFGM750Of1Z3f75y&$IqgGRyISVRu8wTiti6YC*gl-0`KCH6bBOxS#L?Os>kJ-pG zFG8NV5pL4+L}2o__wv&i#O4BzWU7)OM8DaU2v=$4ItB+sib`UWbuGQ?G$*Ts8nqZ>d3gZ~Rt zPHn+rArFcUTC9*~t?t=VBGnXTIUqtc)(CvioGm#GkEK5FIHJ!XQRPt^HjUrh$=#4n1Uw$ch>!4H}8x58X z)riR1cVB&uy!8e0TEVbkl$Y{03SK+qObv)+3i$&xq>)ZzNYlXKVLw+PFOCvk93_Ud z&}j_0JQ8T96p$B332!ry9~0&V2j6PV&3U3Kd0T=;OVF5TsBV|qZ@B{XX$^y|!ZcV3 z>7`zb_;$YcDtWJj!8S11Rnb*9Mn8GaIf1H$l=PMBZLDrt765 z1;{IEy1tNz(Dl=D{gLa3+&~RE5XgZ68hl*QIiFNsYJU_#a72(K^14K>@=CVXSKjy=-x-s?VyMDl$o zlGm@X8g9cD#==!W4jl5P`oo@qd&oP=O5TwQd2fNQgpFImzntoXCL9|g?}st+-l{Mj z<0WjVG{oq`Ln?`*j~(7h-rE95fZQI_ZQP-J6I(C_q9A#Hw2}9&5VJ8^#8#Asltejj z$a>okAH17Q-g{*7PJy#&R<80+i%cC~aDu#374qXa=)FJ8Yy<(kKSo2U2^=`2rZn=| z9qY(DGl*m*@58_)>hf^xz^NxZ+}O~{ z8{Q!A<58No1itFpxN1m!`H3zs{!HF^C^ZkIo-|558M{g9QcT{382irK$orHE9^Sp7|3luTQ8<*zyG*C(eKELbSeqLll4;%wlv)wuJiIT9 zc1zX>hy*x9saI{x{HrQbfV?XumU|s(CESONVsBb+UfluB9u}zhYMH!iG*&q2@U9VQ z$Q25=KcsDRPPg2ZD?fL>Rgnvim29OVt-UcB(8ugj6p~zDp!#z9G^j zA@ViWoHc>2F?5$|x@OQ_3LWk;z*Y+tDM0<_X}ZfJ6s@>W$lQEBUUEAq8n@?SQTl zTR=Vx)4ncI?96&8Uw36?=#d*B3Zi$xMb9uV*GX3L4Kh@N)cQ5gzem1-P~92Du_dOL%294! zS!E>jS0~@l z2*zT8d>%vPQTJw!gUF4QKFm~n{+MpCSlQHj(q4#yN5OCsXxtnn-(6u{HCgVtb9!@#MDmRak#CCDsqTU2DahRyVx40uboXID@F*-7 z#QbTD4R40jkTYfSPXuz7mU|e;S#a=ZfFUuE`yLIG?=emHI3$k&`9zfILO~=e`R0dN zZh?%g82O$IvD_jNTT${Yk#w5RSX%b{zB~Dz#xR!+;IlCXd`?9QkWUd{}jf-!4C&d@qKnxLJ^VuL!!uSCrpAxT!Nnf-w1RLdpLbF2Cf~a@z1X|4ox`o8u%L{_J__)ADl7JW2uUCxmcKRBxQS58?kkf+Uge&lofRmx5%Y!V@}=!oNjJxaN8Coe{|Q zcbI(tXq7n!;5h)#%G}v7Qa)VN>wfZQVDge-BY#zitNc}?k2X8Jhx}Dk>^TzotB2ss zUqi%Jl>D^Oxmv%QcX(6(fH`76X4H30o@pn@B9z6sbvw{LO4E z*9`Jz0rFp_=~_Z^8FYfC6QC0U_-<2}6SF@MYq4%k7Wvy)sJI)x0l3^yy&S%&ZS5K4 zZ>vyo_Xt9(@>2fx(PNVz7a!BAZn@}25f2ciHP1gh&5y;LXZsdX=AKx8A| zZy?oG)>Q+ft)oBrhWuS(RD5NK3J*suD4Q*ie^8hT56CR%h#>{>6(v2a_{!4RwU6&0 zzf&cDaTp&cv2u&{H>G`IWET1Jg5)0_!Z(g!B0fR1hb&FU%4ny-5=tT*m=JMQsb#O7 zO37ac&v{mS=||R8Pb=MQ_jDqE5h(Ul@DU9|wN=jDIWaPk`m_6|fb?{uE`oY2e&I zBJmp==Pd5C%{iB`m;Cq3K%dZJ;=WV>W{#%x1s8*+#Su`K>p_tw$H6pSWEX+SWoN` zTSer5K86H_-|H&zfcoCiN4ApxMH~62$Ea@=UDda`+9J~ON%F4@JrHTvgaw zsCCjch5TzQv*sF%pUUIa^2`D%k< zhsYlJ{nyFADTrjHzBYql=TLO-?5D`TRRPNY^&M)ciX`{i8o!YLZIpULqQZ`PGzz<@ zy@K~)KYhQcEsYw2vQ4)_C29MhY*51B|{JUiG?*sYnhQXe(DS;86poN3vKV+lAEWK3W zAlt!fU8~6dRRl>Q@$D%0CBA3-+j%8Mv~N@l?Ew-G>juT6!PQ3=yb3=sSQ4Yckw%rr zS_8+n>?8kvbT$=DSx@8!`!*1N5Gpl6oucGl7p1;k4b_ceu=bZ7z)nGk!SL2FFI9M( zb?m0W6Ul!hfMg-@vk+H_d!kGCc1M+e4U<0^s|%BPvou)pvmO$>giQApdDFd^Svl({)wh z1L1!@_*fwSSui{chF=7EDe>#zrL+2dj*$ioe-){3Ys1%-;=Iy%e^GHI3;dy#A5nR! z!pCi|EW7ImDz1v*s|s5AtX@hyFYaHy41;tH8FfP|53=x5eI4SGgA1RaVw^7dPa}p; z7z`Im-4@r{L&f!iNLI=T2T`oJL4*eN!C2{4S+0?VUjCmzg-2rCV&QMGf{B-Iq~a!F zByedN;;Q1yt#yy=z84~ayz2v0+*;OER|v7gcfX8th8X!)MX9)LKv%VmU3K`0cOXKY zZ4oMNFY2oHkvDr*{S_ziIFFoaqv8%xU3FdX(fpHJA(F}GjN(g{pqn__O_8p+{oGh8 zz8*B3GCmR~>8hnt(bU+_RNTde!y1lT_Zp?9S=#k3>_^2nNK|YKll}RyUg|~5=IVX7 zQ*jSa=p4aU`V7^=@Uu;wAl=JK{sNWkZ|POGZwT++)Nd1xk1_HO4O4NJQLlcMcbApi zjD-c%YnDL81FhUw@xaKTmBo)@5{%i_iwLhhs;eBq>{!bI5Xo3_vQn|rP`RXAz0dg} zLNr#ZIMUfrvEsZK6&K*>*+*;H0_28T$R1IcZfJmthY9$mkA@r;qTJ;%${r&yog26K zJS0;wJ8g`|wAdHB(Q@w~D)vS(WeL)-XKh?H?D=Tmt9FQ~96}PPcw~^PibvUwA6vW) zA}dbStW-SKP~Bo#xAMhnA+loO09?jPda3cz=U4STPsO*YNCEOs(0vu(W_jw!JFTfW z06({dsrXJqb!SW*eS8cR-w{EQsCbG&eoAC*&9N_0@!e4*8ET`{J<6b!lNwU-R2aN3 zO#Z!LoyN52YvT^0)$fPF`z0E<$YQZj@l2!{A#CCf@V6*mh(9mX#724hVnkxo2s9nH z?wQ_}N*-qY4^s{XrToj|Pcoz;ue&<<;1nu($imKHII^XnJ&Tcw?9h2u{p+Y?hRQ<5 zk(b4vzDY%1d(blNZYl|a_DCJs!eV0cnvQq6{8Ta>{pl~2+iVtpawgSg`(|H^xr<7s zitLn|BQI4=Y+k)%#hf>&1XC`Y0di#1s0p%N$iMMPDw%@17cig}r6v&*J-X6WMt_M_v*%L0)mV;Y~SIG6C&=jmnV?0w&1TT^ifAQOT_qwobv3^<@)etCcbi zs3l_+w&uc-R>=e@G@3QO8vSENDailF@qszT3`c$Wqkun>PIkK+J1lcmSD%62W zhNJFlB#x{jm>@5cy6p*52?hY1!*gV9s|m72o#pqxMkT%oTL|FDS{4&z^EP$c-Ag4N zg$;)sStDkGY<8&SuO(E%7ImIhIkLKHg1mHXySt}R$zYt3y{PxkYEctp(^u_ZEuoTp zD;sgS&8iU-WRu7v)oW9U3j-4NUHsVoUL|aTZ2asx$9yWu4PgWia%5%21lj1^hAYlc zi6ekRsmhU+LMF(DO)`G^luEKCw)V@B89@`|CB*|Amr}_<8$0rFBn3>64Vr{%y+S4Z zqHOhzBQ3HCvi{YJJ2a+}%rLwBkU-|0mrRh>yZ6oNLnUH}a5o=Eo)b-w^~Sdx`#6>K z4zT4;j{L`Ff~?zk{HDQF(i83ePJ|=R3MR-py?VB(PbJ-BY;m3=aq3up@2Gv#xtkqS zazm7@igV=O787KxoY_}gMkQTQcaO-Cr(!0^nl6h4{j+n3UCZFezf==sjU`J?!Ta^7 z`-i-L=KUEpL013T>A8YRI$GJq7;f{AhzYWqW$0w=@7KoIg;S0^88$&yT~T_zK8@Us zrO5LNNB*vuAge6N*u0(wvGC@}UQ9bP-sbiE~fZ)jeq6xB6^ON=7}y7wexmEYUV zJw;=##F*Tc0ofRmTck%R30ZmOPkl;gOzRL^SK`QfgC^c9t!}*QFpaq!Bdz9KvpLsl zg3J)d<-AE_TEy5g7Pr|+HbK%yoi1HZW13mm>LW+uv@)?DCGoVpyyv6YSS>@{aXO73 zYLwki;mGW+As)|qPeh!vNoo>d3rZZB6*58A{qWhVx07U5*|eG?GxfSB*{oB$UwA4> zHDI$);K;rK6PvZKur_K!QijTw&Nx!k>z-t@*6T;xRU+3J*c`!tT8;WhCN^shoblD2 zbEtW-Gy8~q3&IDWKY2aS?$w7Z(l+# z)xy^9xXqiaCdjHQqi;_l*H^IF%gT{GEGEb*cs=Mka_xf6!4gN_7&Adu&S+byHMu?# z*eWGQ-k_QwD^*f5L*#lFHf4b$yG2cq8LnMBcav)~Y_?%QE%IFhCP?xQ`s-zKl_H)u z=sdehCP>Q??@`Pu9~Ie|V!~$5Qr){<4(t7MK&O$XspK7%EoUc?j+GH^GYMI@Q8a%t zm23;Li)RUB_69SXbs9~+QKgctGP|(Eks+ODlFiy<9(!j5m24K-#iRr>N6_P$gsdeW zc*9C18wGY+oIu*|37YV%`OFtp)>FxP?8T!QP#YPhn$@Vr8)D5Em6R&%!X8IHV}`8$ z#L?-GP|4aLyO@_iW*@Scc(3+J<(^xpWVOsLOmgI>W>Kmto@$92g++FwMgnPX9W$|6 zWy0hvfl5~4h!7SM$lROFkd<3?nuHn4|03*qJ4faROl($qC_JeOmAtC36^I1V@u69b zGWs0e|2LJq9AtMmaGTf3CN^p8%Dn@qwzY*a`QQ|z4ke9VsJ9ao-0*u^M6I9e?VDoPunF>#p6w^zPFa{UVR4cpyC^2e2G>tt{uO2Y8D)~ zT^?pv!8r2DhzYXtj6KWWp{(Vwc|OdMZNetVN?Vk*1(f-|z*gfq@(RTSnUTGuS`lR} zv9c>%9NAhlLDHHpoWT+)t&IMRwahM>+#0HY?9+ zTjPEz%@Ek55FB}q~m{QL0y$Cp9^DYle3Qf#8~<59JY%5NMZPmz^y|2{`v zrh899R$aDh9ByOp82~!Sku5|Mn^i20uY8#@KSJGmsvOze_W$vAKJYSB|Nq~&8%olW zB#KS(L19THe=;QbZ{2@;|G4+g-n+Y3lGdLjq!l8fO)5!Rk$;JmKl$JKn<7?8s8~{| zq)q-M>GwWo_M9^_J9B?`b06P%JT~3;obx`L>+K=mb&E~=lZSb8}39@evv6PSVV^^h2nGvgp zuWB~OlxaL=e}3$$l;!r_hO?!!>&7rOPuVZbI8`a(?!`Zz#aDA3fG_p3(($??e#NIs zY3b;gwFP5tBQNr@l*Klzuh}=_C_9Ih6MyKCgSM;yNrz`R<*|gL3yvZ(eQ}%e;KK1g zw57%3Hs#TVW1@pR-XJz?tMP|bef;EsRooVToK-zQ9%;pG$^?Mi`(-i-fZ6aK5cUwG zB4i2y*$B%)^bEOu!Z_W)AGaw}5FCAcWEw);rcBW3;dt1>~HypPq6C%4D!h1g{GO>|<=os;rR}SxqvzGVFBk7t z;cIwtTm03&J$A_1!1MkR!ZLb>@mN;Vyd=J!DB2vKqHVbp&#Sz5*lUvwa+XjVrc>Tw zbVP#WJ;r!CeCrYO_Bw#&z7-}mOxLk6O8ngwfSfmpiRxE@*mB--Ku%tm*%}dBm+fJ| zmv@=%Cj38e9F)jA!f~5)hmGW&f&MDn9&AIbZzAMmYXvXSG+#eX5E0^R|AogG{J zz4X`pfbXW0bf~lP=DMRrh`hb7*zkt(op$yy#MA~7KBeg92fiEue%-Qaxh`p#dm!{V z6d*6|lYC?oA480H9*Qx(?nS&wGuv?j9q$mBZ5N`l2F+~8sI8}+{7trUm?RbN@}ww_ z3sdijBmzR_I}c;BCn2@5)dW!Kvxq}fL0OpNssIZD#248pV6zAZyw|P+Ol=wXXnzU@ z2=MJ%yUzr$Fr>Is1A2mLo1&l+N@6DmqA2*dCak;ZizqW;2iMpsV zfK$M3F<#?(=>b!F2W~i@(**&CLTY!j$i8V+t8gNQ$?>xfYMY{<_CU-dJIBxRQoufu zgvh35$_E_#uf>9%g4EQoiE!E)u5f7?6fSKoRJgRYP>Jk&vWClPm$40cCyy) zw-Im{q~@4K)~M<&lvp96>Me_^Z3^H9huWq9?&0aEaQS@gg4Cj*9`KmIQU4oiA>h-H z>XJ+pENj61J_bzzJz=ik;}$*Oe%q?fzkH5>!y&bwYyzlNK?(68u7XfddmxH}QJKMz zbc%u}Ld-@1pAaD)Y|{fCj(nQl>j4CO22!6itApBt6~L80jOda8` zd~xPr1RMdW!=fe%mNnpMt%4&(_va7k0C63GCmgNjBH&0!9c|V~&dBVDNthG`wP9CU zvFLY6Iwi!~s-T4Uyq)=@As%Pp3Qo`ircR8F@h+Q#fTJLFT+BqlziiB*093Alh@IFJ zKy8;o0M#2wM*;DBFw9N?CkHv;%LyS4_`h;bdkjl?7E)g_YsFd^ML}(!X9j3Eriu?1 z2_yx4)k^0h;h7hgg)pJJNl@Iy$6Ez}(6UYWT}8YkOtXL%{kTOoS( z>KcO7*|O3AI&6;|uHpY0x%~JQBVDz+CSG^(%RJ0a$qdS{pQI(}s3h5#~Io)1nS#7ECvktRUnp`GR(7a9To^3A~hy$K!)e>Lr=Qu z!Wp`8KgsJLPMnlsk*FlV*DZ^?z zXIK;E4E<8S8G{Tj;CGvRCJfop(&>;FR;N?CiXt9I%CIiL88!+X4!61;FS%g?eg!IG z!r%?4eVexnBZmGymX|VoEOgi`blA~r?o?!$h~Jbl)8UB_^HXvxj2H%Se#)>_=&(cL zv3NZ4<)g^(B7ULDOoyS7|IRQx#`02zPhvb4p9ML?LzY8#Aj2d`-Dx+8#V9-Tle`Xo zE6Yn6b~`x3UJGZq{+Ex7kzq1^Ju6_sFxJ8RB+oE`^HYXMh%gDfv)_)6$-Q0UMn;$MRdQ}D}PW;(nQWqy*^;k7W!OBgzQA*oK|YbuQ$ zg~q9ndMKhj{PJw5r@h#tql#>C!B#Ska)*r+Ptqw}g>om3*r+7mIT#L}z3u*KHcb06 zeuKuQ=LcB+ zx^sA5>vlt5=e~dv~lzh}iMGI_NG(Ncz zg1717eg%C_htxlHgI6xNAWyz%<=!>HrbS08XMRd%_|&0J zr!edZG86yw@G3IQ#IFv9O&EUgvtucl!KhCD8ew@UL)9o}s4jFkb7ktU$nX}V zRkN8e{BC7_N@g&slgblZlAkiv5IWo{bl7pc(_Unl1!*?vr^eT3I>6uY-5of(&yZ z?Y^K%ENaWlPst1h{X=}-2FXtu9t?Aawm#0Vrg~9FWS9qOtt}=DEqGs-JcBZGg)_8^ zaE1;-hsQp=h?N!IgS3Za6Nc6{;)86-GqjD;jQAg_Lr0;*BSME&JsO`x=kp=$VKW^> zy(*c(sDF^`8iq%0T!*d^9*Zjz7oR|e1(5crnGT{}CC{MD^dcNohwgsP(96yloTm@A zK!%0*oz<{OEFO)qV=0-zsBL!V=R3;KJIWbS1DxT=sC?2c7D1ZBX2Q_RQ91^rcA?CX z;yR>DoWUt{*tlaKxtd%IY3Y6wh7A9IXUOJxsSa5}hg_kqp+eqCbE% zSJ)&3#X*+6#G>QVd`Mc7jt-}B(FB&47VVLFHRxyMhu?N*^?8_SDSl@+Xu>dn`zetj zKFvnMfM4p>FgzCK3BQ7SQh|2y6iP~BqZ1NTm7M|x`Gm2wc@9M+0r*~E ze3b#)(fbBSo1?oq&H+W_Ng;GXLhcTG0w8`5U2QW5+|Bb+K%8F_pX8bLeozO9lVW=G z-TXBIZiKXjQ4(noOy5ydsJ zXI75shPgk6w3UfZT$E}i+Kv!O)Ma8*MAD57V+2rJ^%OwSmr%gEa0{ClCZ8L2LxHb?=M*%+-fS*gcc7TAHa^H^; za0{e;W(N4XjX4y6XJoZa0X!E}+spySI#@ai7!iQ`{W`$3u)WQ&w^tzGR!G}t251!G zi&0jHsDqbnYMT<`e}ifpt%JDY5wlUigEk)GZwvr$X*GEy0&at}gJF{p8vyoAky#-e z5El|q+bCfCLm0$P(kXziL|HltcvJwM2`4Hz({cGt%)K4bjwSZ33&nw}yV`QMU&IehTT8&Bg;_M9dX5e5YbylmRh7_Qnyhb3j}|f~BK? zH~G1OH9|TC(`#Du*7YK-_%leaZZocf@mIxIAvDBBfVf%(E0_bSrY8!(HY^#v3`wsQ zWy8+&+vAM{#{*NU9sd-$c0qb=zm|)Q2l@&>#5Wxes1Bakl<|Oez(uQ>D%w<}x;`#a zJ)d5rJ0t6*Ls-pWH>Bh1x0*39ZwIA~q83JJ2koLv5iSz0al>pButAUmHn!>k@Al0L zw#BO$_#D#zCm93w#oHb6jTE9pQ438QsxGn$;3F)nsAj6+DFtjQalqyY3bu&syQWSC z0`9>jW}+s5k49N39I$Wqu-c{oF4Lq_0DJLXgDa>y(NMtqgo3RidIekCH=eD27yh57@yMnE&Z-=rMw;{sc`F zEVQc&Q9`^YsmDS-U~Y8wT_wS<_B0(P=-z(@7tf%Fc(i^G1p4gvS!+CyOz zzyS{CkcT+?L`ZE@0H5@!Z3^IEzuKk%ih&IU>@EO%=})%^*kH-MrxEZATq?>8aG0bn z#9YB=WOX_Pa8yujQvk()n*yfFT)_+jz;PSeoj|}ZAwA7vqM%<>umWhL;8?#JMge@m zAjD$yPXV(9U|$2kkNefFf`I!WJ;wwPSAJC&Y_8xW4U7UfC8Ex&G!iiyqkysiEJ^_M zSXz&Ia}WZ41?esmKwXQafVhl~x?rUaPS?N?K=mfNnW{Esr+~#`!1B1hqwbMnlcPh6 z5%2({_cIx=;8H!zA#WtOV2|2H0kgF&TTxK?whc)u0P6%nF;A|{Pw zQB+-s65yzrMZiP2s9VqkaD^tE=B;>j zL=B@TxHhV`Dc#H&nb|1dH~}~z0kE}wkL9as2zVHmmNNk?b%-}=U=+a3K?WlfJjZPm zaI(Y|oa##q@uapdl7}Ozzd@08Rw^APXS0_sfU^<+Tidt)^4tUj z{0^5Rj2SCf>Ja}dt6>zt-)w4|0{Cl?*(hKzzyaqbbkrSuJzI`FihxHTeU9B2uU0zkm+oUW3b;U=NfsvnF0oCQzxxIOk3#xFvop!x z5_3ojR_frt8XE<~6}Q#tC}3WSthQ0Wob7gIqkv0eT)_`*`Z~D8)^qnu2N5s|>B|Bp z3K{_7ie0P_t{^Vct+ts1l1nUQqkyYLH?vmXiqn_aww)L{9089(`f8JIrmqoTWr-Cc z0L7aMJj6x{;_|*MF9qBv8cEons9<rb0PdoCevoiRpjvMfQn1Xtn(u&37Bnr68&+Fix zs1C44i(tQ{r^rRq2}s{WWPgN+bSbIx`Hj=zsYz(MGz`R;4nR4^s3jz3*0pP8{ zPqA*p50L()8K6yO9!bHbfVw9jB*f~C9pNv-2pX4uk@9{xhWirW1}wQTQR{ zDNz&$Pi#sQwRd8aD2j)Il;Mvc7wK$J*B0@4!Ht>UEiqE-y|)uQ9#w*847q&;(&ka+hY1Z(xl+VLzw$lTuRskPyPp*-0DDVn zn*unNr=x&X>>RMFtOxu@+TVZQW&}KqYt=?f6x6DvC}=RMi?29AfCOM>nEXvPVp9Oc zr8@<@nb$#F9$F9B+J67cdaW?`@3@Y%SsgqPQi@OtF$c^EtJ5iUFyF7XDS$O?%tirk z<71MHy5U5?{270b#*%ThU;Na!@tx+QD6WDjVB-+4gG~~;nb!74>U6yU0nb3j-HxjS9OO>~ zd`eQ=6u{#FW}|@3!yK?>Os8PR{q}dJey|4t|AdSdvN2%ZGt3_i6Rm@|7AZ3lwUlng zZ&TZpIyhEV+bCe(1c})wV5=wxY_D%5-Ookt`*Cek1Uw5F5BiM(4Id^Xjt5wwN{E+gL)2%poVlzG6;)3cL zhA!e{(cP+#Vh_g?6&{<5Fy|qoi&-_(3Zg`D3M+#OLZYbNN){>LXKATOy+T~1)HooD z)UjUBgIpINqjyjnJT8*(BSd;tX1=*dd1Ey;rK&%|lF@-%Mw+PVnfl%=Bg;1T$LX&i z%tgpZw`gJ52@99JWgbbvR3u&PqE%ftC6-oo)$~e|mjdR_jxv8#q%2X@^CevryPmPm z*|wq`0{#UVeXYiT*@w784wxO#oFgcp>Z>CpozfQH)Z|qZ6t{9Ipi2OH{5rr4Z+KAK zd)x?k2{H;z+G1%R&+1;(C4lOUD~M|gGdl%*%*Q*60TCTwkDl_N8l!e1;NOtZ-%LU6 z*p`M^2cz_6+8)llHw#8IfIc5@2SeftVmlZWTRIH6EPbRuU=-O6;IW!HP&59BP|V&6Y*g zHY!r~(4g9;R5S5lk^+tpQGCv)1H^XVZ&lfbfL9>nS)E#}p=Oscpz8i31soIL3cjGP zW(YWB`jLGI_%CFPvzsV*H4SyHra>zT`emjn1;htd?BZccRHtCZ%eIQME8#UNenT{4 zvcnj#@1s%XP=r_;PNf|z(7>2B)V{+c=8pmf931d9eH}yvuh@HRLO_5F{1m_O$nt6w ze4oRRgHsd~H{>bc8=?-*GN^-Tj<-KXz;ci=)2t3&4d5~Zzz>*!%GqqT2=Uy2F2tzd zrI%jBiwC?9kYRSu`l?PAD>SWLQBXWErwT3*Azo}y2QyC(I)#AOK*mB71xsBAKe91t zNI1>wV4iH3cb1z+EJGp@H5T9DS*$& z%pV2ZAOOR9K)lQ2&Up?`Yvn3J#zvosf_o(9P$;-x1Fb0dwI-dSpjK%G5Ies3Mgg}9 zz)$pm89RL!s#mCkfY(CCHZ#D(LFSMHs$Q5PHVPR36AK{BCGRKz+Bxuieo+wcp{hxm@2Kq2Rr2|L&kBF zelY$ycv6r693E2_tN?NouY>H z8r{&onp*&>$(a=J_aImB&x8=44er=7veQlV65TsBv<|A?WF#<3Bl$DTU^t-aZAk){Sw6-T%)HK~ ztAkyK$hGV2`wIc9LS_XM1@kTh)rC;N?5M1^DS+BxnF9E?O`VP^sCw2w0W0%XoN1GF zfSGrMd$zuL2?ADw%o}851$BL%axT6SR2NJE^UG;$6fnL}97)R+%)3t_f0K;@-WA{q zHnivg8%eqLc?~h;O^{jNZVZ@zokYFie@G!ph{xL0HVT;Yr(JC`t%Er~_*ps%c(({K z`w9tvZkE|dnlNMJGz6>;nfI84IG_d@fKIGg#f(Yk*Hw5 z+lu~&DQ||%d(8lEl$gVk0h{rHO9agMhPhKh{Gb4AX8?Gl#_p#Pum)tdHUq3CGmj+# z#+Q&GX-fuN%l*+1O9HTy0pRgjU)_U%w?JkGGr*g9$66v_d?ld&FJSJYyoaQK_-FjO zIJ0{oF~na?zqthmry$;rUt6o*Oa!b2nNE|zg6@W? z(ot*gJ`+IoR$?8@#}RydqYCEv*&Vh_IUZuX!?tqL^|{DZ8#42CTtj@8}mm2AG2`40s1Ir4wSyzKldL5yd5&l?vv`SB9$l_+yaa*uu2MNQDlqQGMh4B z5fh0i;2pqHC}h$O3`X_wm4jKqNmaAH|4Jku*(l)CQ4ToL z0B~^rLcA4Tt}bK__a_0^gx7qcR#Jef$#N8Mv;chG0Pvw1Q${184Kklg2C%tZ(Lf1j za)4s890eRN0ADl!9MN|&zHeCW4#<2V8Nim<1e7g#1+6xgmjb>Vqdf_J0Yh}buQ=v5 z{_17qst1`pgy)4w<6$OkolI$xvSJyLE75_L^$=vg;UKT zqJVQ^yv@F+uW{JxP5Gr+D+H_$neUo)D%x94rjd;=RZXA>j1t*Ceg??_`)-$+jRG!` zIN%alryv5l1HOp}*Z?vYTZ}_o>TWsR!3q|DPMg}MbjypP%tis1iV&}eBm!RJexLyY z{tq&j%U21Q&4Vfc^CSkt6;xgJQNUF}uHbr0BH;Lg9ll4vhLE|&qz;x^LD{B;Q9@kA zOf>9@f`9OI6mXO1^|n~`fLk4HAA0C40ycuokIi})?SxcX2Mw>pwQD_@Hj-TNPC7LFmDPIi`Feg!6!8~3K(BwlI#$TWR%1bQowI5Jj6%s zx)5hZ13#?Z8%4k-koj%UIK)PP@r5i&L8M>>aFCz;O*Udv0P*p2eB*%mc-bR36n;WKf=Zwl0vA0s%1_%pus7aYQuab#^?ZT`e4rnybKsRTeD5%~zV0;NuvX27(Ax?GY9C`)M2Y#4# z=3Pu_hs-kpW5D>j&BP%GjIWK&Y&68GkLQte=78gPYo~yJiB^0$ng}>%>BNl)*c>wd zikbjUbPy1-3BbuVYKYsC1DwLOC4f$gpIt{fQvwPgUPtbFz6n+So zavdoSp4jMhWbQK>R@#%OZoyH8@?0e6wNj!;EBv>Tr$hIGv%Fsm!yW*}*S{vB2$80f zKj}tn$%*uamF1;?*GE}9aNcMD*mX;X{s`C-oR!T0XBtHDZ5t~jdBAtHQLGl_QM@_E zqu6EA(sbmy51cpYxOfzWAHtwSQ5-z6nMYCFr=%j)@Nto9Cv+C)1Iy3!_#I*H2WL&$ zB#LwGYC&jk7GKex*pnA&QJDFofVbN?U_D!6HTz-21G^CL0dUq0n*c6}GKU040bIrl zNgi+ob4PnK=UozyVnY$dsbAc&7P(r1vq4nL#iJm) zqDaFw{n;B~9t7vzW>H)%v!fyfD;s!sg%DeEfIFBwC5jJV@yLarE_AjLQM|9hn)$e+4LBbRYPon6g&)G8 zL{S_(v6)9v-0`L&wG~m6^igznwEWzn7CG&-1*iTS*j%JfZS1IoNV}Oy)fTngyZL!> zuf#G_z=!R;Eq1Z%qKJSKUkKv(sa!j7K4LO>%>P1W4h7&>ezi@BVrc;nhFM+;*v-MC z*xRB2;(2lK7Zwzu+(Y2(5i^OR@FSuq4qim@N#27Kn=--{lN>06BS0C{cV?XFj@Nr- zk$Z0K!Rav3#%SECyM;=%(T&9DxK%e2qg*-j0~&2IqlsL((w_rwxr|&AIGrXfuhi3G zwX-`-x$;tE4Od{k4}3a* z`Wyu82u_cQaQWXv*@2SEqV%ap{AycrUDh!N%S!y` z7%P~6BElRBz@LI@TXKNE_*h;FI5@;x<5The3|r%Y%D0b3u1??_qT}Lys_;X&ls;7) zJh7SgsW)pydRp|UBSMKHZQeBD3c@@B&Zk2rBK;O(2PH&``PH`MMEWzp@=}pTJ9rew z7y$Mku;4)i>orGxxuUFkQeoDPkhhzll*SNa2ML7)7K~o_Go> zNGvlI>17ec=?+~r!!zTlso#*vhF!t=icT%on-yFqGlx8i1>&JZ@_;w`nLi5nx{tTT zw?q^#%(&w<<0Q3Bsc3$?+GYxI^#oA85rIts3vT8~Dd53Kf^gmEhBuwwlZ{;I;5_6@g3GAq(6SOP!#N(Ro||$V zmlL=;?YDiC*{CmaWq>nkH|EmaCszVGG{_EG^k~`!tZ-=?uyRwgI}$4!=jv+HaQz}G+V3%4kJj~KxZcc%#v`B;oWGe>G_6RAa9WX+ z7OE9V5zcRCFhpP~T){0NW~0KL5$Ee{#X#zqL31`!tPgK^?A4 zi7g@TZ=Q|!nX0M!;-vn$0pc0@Q_FQE*w5DZ>Dv;0|8RxPq#= zv;;6KCCmX6W*KKylI@$8;-I)(4rEn`nJ8$`m*h;)gpL9hG+=!6jt~j4dJ}+Ro)-nY z!Oj7#e!YT~?huzgHzGgok3 zh^3=|jRas5dm`Xp*A6Ck*$N=5u}Md5)QSr_Mpz*NuyastGX+#V%%yW zRuSV6>-s#U759=E5L1x-P5vzz&=X~8DPTK4SFodB2bjJy;=MS$83GnUmSi&qGzziq zS$a|m=3NPD06PUaVArS)5C?tVS?m9VfJKn?sPumWsG6)o73^W*fPE}FK%Af2dE%O4 z1aw1IFOx=M6ymE+=?~WU4BbNuVGRz`taE8;}`c5jc1%0$_BUcEQ zuWuVz_0lE|BG-*x$jUVl&gjB6AD@ZDx1`&KYQj7f&K2U3_2>aHvX_GOTOeRDWEGkK z=DrqG!ziPyS(4h8l!B@W^AxaO)F`rJDpa3^T>T*HF~4z>C`jXxAR;N9N~Z5Wb7dEX zSYFEYT$BqpR?;;Ita_)1F5ixTk3p8-3@|Ie9CE;`iY(qDiEk9}1pzoo4~SK7%gPyJ z5wJgGO)vp8ywufRoh237f*h@aQv~2EdO)mtbq-8<5&<8Ftf|QWYM&V;1*rOtBvo*F zfQNX79x&@o|JF#G8xU{+WW8nvsJ*_Wbx<|=i#1-z^V?YHh|ToOoA;{B(ow)!b`JPX zL{|qhjs%~YS%KXBc>=O#nVfkI-?e=$%nIRv1$`rG8v!(Y*S0_ouyhn~uIOFnM|FT% z3vKzk2hK&nfsi%NVcd!h>!4Ou5@Im8-v}xgav<~~Ggll41zhCd3NFV6V?f=fqRdVp zpgYl+1Kts3>Qlf?0S>rT-$=5yTl?<+0+W{;3|X7)#()KGD|09m)Q+jCf~rv+NvDK( zp`^}BL#+D#I0f7xall;(-OP6D=4VPIzHbxhurcS23av(Z&Z?wx@r1XucNl#Pfkannb7g+h|niqA9H$HH8%VMa#AH> z!)8%|x9jW*4xNtK6;12oBeNVSwv^_m&rb@#0V&u;)9jlLU=6SL7&G1lma{XuEoAh2YUVrMTcY1=aDe<=^PZh z!c`8p91KOrB=9t{f-3_FumsHIa>mkMe_|07{Sv{S3`J+7Y**2_z})JezlSCt{w&bF z7wQK^7aib+FcgmAB^Qp19gKc0LD7{E{v_z?^twb|-e`R%a_<0eSBv4#5AK>_w#$8M=))0> zTcODT?pvbZdWu82p0Pi?rs^4R-{!-g1?1j7mP<~RuWhjXdvMpqvg%6Ut{-K)+zkQ) zDqY_dO_-}b#^!l!kKk_Pfb4!a-Tn&w>mD1p@5Zdnh#qb`{-0ff%$eLt=3ACes~_G3 zZu|s;`(7KkYTB89S8e;{=;-Ug{h$Nft)k#=hc1*zxO>JbH+bO^xZ7f`wl+v_%YoC| z2KpTQ>>qGDuq=lJuABTUm#c<<{|R{&xHEBArUdRBneB4tTK^r`Xe79^WBBufvlAzD zK4RIE|L%Bj=SA=*gZy`d<&v*NF4z{d2X|2be|V(D65HkOXMbkfqQ}AQ#avz)GHQp} zu8i8zr>v*`2k!n@R)0UZuH$97Do58$tbQEaz7YP9aH!03xu3Guo%VPNxQAe_A$~}I zm}8_r9BW^zs0X;8#yE{qEqNfpl-6(^)oFmU~vD-nTOy;C>6uZ%L35 zl-RC}puB&_A8o+>P5^&4aNQPVyIggnZTIgw3GVkW=e#Jm7g^XY_oCR05vyl{dm-jp zXv0@RtZbLlVt*?2hL^y-1j|~2ZR$LCC||O@yQRsu;Qr8uKMS~5+gL95>PWqTTQb1C z3UjT(`Jj{dF6Sg^#u3+x;9iSmtwlnMpXG9;`0EF6{tDb5JHWjO3AajYmwRh$;qG1$ zaBm?$6zaDK+a<>$SN8wy1ow^*{v>ekqD^YI58S(Py2~9l3!;4)fC2OE(6KN|{`xxr z6F@#D`5MRaKANs+-*E(TrQF|w~b_KNM$a;={g?zzs_ zq576-XtKhB6*0*CJxmf-wt{CS{%+#+!*f%#+m_`;;HegdC1dU2sS)EoZV65sdPgHP z`JtdMx~~=DyK2irCN;@KlLVfMLGaY^@m+Q0-9ul03{6;GH3xX=iCuTvKAAtK4VrLQ z4a8_*=eZh0C(OHM6nN@~!EJzHHg`N4C005T7S$e}cmFfq;|DGZRm znuWlDE0PwyX=B-nX8G6GI6e$K_}Od^K8Gl36XLttTbnG-I|QElg7~vT(O^IEfnAoT zl@BuON#w7N_}9;V$a)~mvSmFGwWd01fv0N#e^^)p;jyvR)^t$|@btu^>Va+ZXn^NB z8e0A?P8Ih!9N_64g`&DXwyWq)>&F#xo&--CI!wdro#|)0oSDJt!HwU5ClhmK%1~5O zuq!7~&c4#jiCDvptv zXB&OlI}$wDVS2E`EJ_h&RfyE@@p5nQjKs1=1i?Aj!OC(Di>;pi2<94%4oBOd@GlG7 zRrqhDmA~JE;2DDs$6#;2fjdn7HZr-}g^A!9j}FJ78k_AbSJ5_k%fh;+z%$VSo(WM% z-5+7QGM3s_xocu5Cu3QYvG@2fz;?NQj-3nMw+}o4EGvNU;~mK1nU3yX4MOGxEBR}t zAG{rdkUr4CvZWV9)?6I520U}@;0cDoHBfjQ6zj5b#1in#^Wo0|>Gi`r*QnsrITKHV zXF&{qesBe?Y?o`U@7n#>7K7(~bhtPS>92&?uC$0hu&31n;8}_ems-G8EXwL1yQ9Y2 zcY|kn2!9e}t>L*cUE%#3vVQ{4N-S$7mNg^79J*%t+_TfxfoBamTw{UMPkd}w=6HX& z@I@4HJvv-3f$J5K>oxoLm4;me4_>KzHu*soZBK4v+1s!7WbkaUgJ*LXT$4nusrIAx zoE6~Nj%97PfZR63%97iMZmK@#FnD&N!<|TY)WLSSj>ksK-Z>mRyV2pUFvuNbwoC3L zuj}HIz_S+}?zMnxtSD=O{YsZ14}<565dI{PJ6n0KuGUi*J6{3MS6J3pSk@?!%Wwbf zv^xzvhtT06B<#lHCHD;PJ#~I7c)mr4-%3z8BETFLJ{udbPDTxnqQj$p@EoVT)c1D$ zzc9Y5VL89e7>P`H;nEd0Xf9K7&0@LUSw&kk~)$R+zu4Q`FS_CHwGKUkK> z!5kL$3)QW@{xEn!2CpRsvMa)N$sSwgwO2ZW_nIL7>`<65a=Ah^UV7{{@K%iC&j+$s zR?$NI7Zjaba)36KEpBOQIVb<-|Gk7`sfh9 z3|HuMFo%UXp&zQXybNBv((pF)fjrvJcFAMH6;HJt2i|*Z;KlK}OAfGIuAGn5X-?9k2P78Q51K|2hpx!w!CTkisj)E~?0k7aGJvR$r^ zL*LpLR|W4tboc}kE|A$Sd9i%&^tD~UI|v;PvV!Y3iS2U5VzYgVUkC3{bU4%j@)GV) zUS?f2wAW(r4!3~!=>WJ^@m;PpzNcp|I|<&ASk?$ED->o9<&~D3eIINC?`U*58VQ$* zT+4mkMm&M-;dyj8CIIrP5YM$Hbn~e8!@)Zq9gd4Y;dK$VtMCTkE&KeCx;gz2Kb{!XNgr zTYaoBd53RNCF{rFeJ6%LKNL2xvR#FC-||Z3E`fKh9lY;`LEdR&yX0N+4I{Q<)t-+I z=VKq+QsjETxA>lAv%$Lv9WL^N{5jtxN382=zK{pr576O~Fcfa)y9&4YvX}n06uc{f z;0;+o-Y1T0f4Kepi*>=fI*LE+1Lj2-M&UyHj85ljgLj<_-nB815BS(F`H-*k&Kti6 z??!aE5&MAmMXqJ`_pa&N8oXh281{jDnD3I0*f!4p{W43cF@R+R; zo~^q5y6H#X2k-B=`*Z*bUltx;wU6`{bpr1hKmM#xc#EIss%`%~XMF?ko(tp80flX> zeAh$1+(Hjlj=#|1MRfSOC~KxY)bU;HNH0s^{W}7MZ;M@X>`y(PfoHdWv8;cwEQfx6j z6;}@9&jG0;E#$A8{LtBJhkTqm7Vp9G8%MGRyzl}P*TOq$C-B+mDZVT3H+kOv3;9rd zyNo$3kl%#w%5NIj(lIj~ifwkxfw^Kn<}mLMTl=gkSn8c52mYD|*{=K+jy2y->J7#9 zBarud016uLTm=nc{Z=1&5{er}A>ST`{QG2{tFz;|!Gn81F`mB)>SL}viR~)Li*2fP z=?oM%^+SG3KXlC{{YY_h2jmvpp?iC(z`b@T_WPi4ot0%P-01r%FnK5x-xtCkw)9^e zeAnsF>YDaHp}18Hf7o>l3GiLRVt-Wg=0Y)^=!)Bfp{Qek6xQB{KMNF|v6H_#OHlks z1d2TLubvJl?h%Ee0XDMFEkkh;o-&aU|9Tv~VELQs_NCx78>qGB9b z7VWi>zn%``&jCd{sn3yE-bl1Q>md6^lm81q(Fgd4a!Sg$KlG)|`x+i|6qZbqp=gCn z5{`}H&u766EcK!L{-~uKroY7wJ*(INB)p$!u~b|i+&2+*mWZJEx22o~dcLE)2BA$^ z;mu7JF9r))?hdh(zL0^>@^4NJR>p|SB(yALwq%gPR zR`V|?t{WzmjHR4vHAq?R=d655v;rvA@^8V%HMnjDN`H`zkd!^ zUJQ{NHSv_rbbjnA&T@xt>(B;Po_CPDJ@J%TUZa%I_3)B*u=1>(+%jT5bE5{OTNXsh zkHi{hC3m6XDZ3V99$1xvHT#%EY^X8b+(%ncGy-^gKW@XyPHcz$q`Jp#O0!>85o^c2 z_P8zHF|c#-M++ykIW&5hC61j9|wt5mdwrU}A^C)gpZcnYMZX>s-;x^?5)~cJ)UlYth z`IP%}t8TH7dvtM|a&vE0P4rium8;w+blepnHw)wGlv{~8Gtl-WvnluXd%bQW_xG7U zuOG|m;znCJd)%fx_sIJRwZ0fnhxZfy3A4xlY2gs|ChB+JGPgRO-PU0$Ms6e&d=e&4 zY=|$ifrY&(5)Y(ejL-0h2b|fCV>a?+hWt%743haQBYaGwq#_jtqdA(-@Nhm9f1Jc$ zTX$~pmp^_>I;I>21)s)D0F|R<1)qo!m@6Sx2!T-mm0HTu?IP*o>M`3fYP&wd@>0M$ zGE*?~->^IytM8NBM zUE2f!M?=9LM-qUy`B))bL3|LUwwWtfpXa53-2xVJB2!nr3~zYal{5Hwpxkp%5W$<$ zhE>lXvhg-RI0V-{Ha!>noBT`CDUr2ve!`W0$i^a@wJoX#oMHc?T)FNDh;U!vsKy8o zpPaFRNFlW9;UhD(&9v(2+KU3%mir@sSyTKR@Lx+JVC}vq-$cMMP_RF00_fmJEC4e# zw&Vb_W9;AvU`8~?0MpOudzXwE_Qpf^tHS3cvJHNi6ORpQTsUr z90vv8_)Gw`Qw@|l_-x?61CFt>yaX__SA;3({KKFQp4-y26#|Zjg71PRfaCZP3k4^I zSRu(PIEDM8A%2XXK(f;9i3)CA_{c8^_yQChjhFzw5>dk_3eK>pZOMiBEq-tm(8XJE z+ERl?QgzL&?Fcvl3XWS$0N)9yVHCjk9BNx~fD5@l8sbL-EW{bP1|gnsSMD?foCpOc z>?VLq_z{Z`f9U(~fOx+uz7fFmUA&`qI;6x9cP-cS90I-w1wYCrfNS{?3&4*ZtdQjE z;Fbu>O98*&fN6CiI>5Bv4p)^OpCI5QC^#7~0o=ilm;>t05H!TP0Si3^>qcYBnPj(5 z4M-kClWR{r1$WpU@jdU2Aw$vp@Amt|?G(TGvZ3N#Yki%(pp1WviN1VGunGmBeDD z10rO=xeuHnr^k@9$S@TOVj<(O#4pqS8-r-0l%Z3UGdvP+^jI|xSL@yz8D55hGrF3} zbxAAxaX8b|D5fLSwExCwLM$)kI?A=lIvP^qgIrrDZnh)Wn;@4rJGb?;GCxF{tLMT8 z67h|2kx54^W|=|Gb?vy8Hz3zckSpryF4rrKA79yUWk%FoWLi_43#T=4u7)A>R$(U|7$W~pS!0XpQetc!a zHHaT4(Thwki^mbCmvOGUcl_BEx!wV}TG&Ldq5SyDhD&=sBNNzIdmI)&r(*qjdul-l zxq=|qH>>v}%UG|`{5XkTWQtsz3#Z6&ywAlQr6qX?t-7oVLfcseftc9%PscGCq0J zj0brg4;W}OogW{mU*=ph{c3*YoHNJHY_yK!FpF`G3UaO{oojxHT=PI~;xplz=VN|E z9lsi`1u^E2a=j8_TsZZRb47Nv{s_6=1G!nygzJ5Nd}YJ6lpiPIB9j~Adg0_o&UJg? zr_UqTe2|+*Ot_YZ*zuJO*Gi3EWcnoI8ZY$P+wEC0#$5n%OS3*<4L`oJ;abm+ljubz zXvVp4f+pANdTH8M$h8pUR%TqA`0?0H|;>KML0z?W)jEk zWvtguew>u6gUt2nBy+AE$Gr!TYcWnjHLLf#`SF#FUVHg*Qm)Qc&ehe*xh{Eok}=@> zIL*~zqSqH8rhD0NeWle4C(5$=)l;;`Cr|Ahj9g1V?rv7U4)NnF8?JBpaZ}sxmJTb!mNJP zlbN5g;i?~G{wNntvS;U&(Xi8PO$SlUUZ{%MCsgz0$(WPuXxenL9dvio71d^ujME@OxN; zf0&M38$iZ+W5#jJk(i^h;mQj$e^js8SUlq3vmJZ^gL8EcUP!?m8}S7V$%M96nLo;fFOe|47R#Kg ze7y_bBG#a`NEtST zIm6~KuSETs$ziq4oNJmUopR23)5r2suB|@KwZq4`#$9tu zC*<0WuPud4>e8$b^CRlg)o{HNWBw@DP8;XiCHjQ@q0d_)*A9?($|hWM?aWWvaLwn( zNx423eL_U^37^y$9zm{8@YO4`K4B3*zOv!^fFCF2+85?}?HBbcqt>M5$h8yXFU;!K zieTC5wK~fDQLY1`ejO6^>-)&V2a)Sje0|KUeqp1HZ)Ky`#vt=Yx$p%vcFs9sobt?g)ZZ!{%RUevF5{d?;p*DjEwRuisIWag)AxIT+A zf0XNlh~rP9evKJ=`VQpU4e}3W^=prf`6(N&eKPY$xqcR0r$qhQ(J-8jT%Y4>d}j4) ze~|ep8?LXT%pc|YO~f%4QR)|-b81<3k$Kg7Kt8RjU+kQNZxS*;ge$4O-Mu5k@=}H~ zepbivg%w`M*VxzDkYO)=4kv6V3qNwh zx!V8u$VB9dfPBGb!u30IqZ%h%4VRdwNxA+OF}o6v89uXY`B2x3$h8mT%Vup4g^q7! zqgPRY`6FE98$R*A3cumQ_4=;is`<$E1@1SCNQ#M>;+9>5JZ|7Xs+BsJ_NAGWt z>q~Hz_nE};FNRe{ah#;7_vFh~Os~pO&h<>?7c!7*Ke#HH)vwDE11lT7#AJD@SCs(g zsw(PNah;wUkn1b_fL6pruYdhz%k`|be%<8fTs1`fN}Jg9e&jlU-!e0)U-$+v+sZ~S z@p=Z;tEQ-5wMG5f@zTP1$n`aTw9TY`;p@j`%k?1d(ebG{xg=4)`bh8AMy{jaY8NokYe*S$HH@<3 zq+A^(&h?0>U*p5${m2ysS4Xq@HO9*Pl#O0@hnPRg)mhZ9uA+XOs#Zwq{V{MoYF59p z%UG|bD1@?6uI@puS1(b&+B7)rMy})F>TXuQ#QTe7qgQi>h6|^=vc5gd!R!5p+g7$f zuJ6I+Fsok+1I$m^aNTQX{-|DLNQF3fuN%Kpt8n2tXXu(+zsDUXz?C6s>peT?;KwbP zAHtPX->!N)h%#gc*-KpbJr;$5zv<}8i^A!h9TDaS{BTQR@P3f6W7oY}bRvc}{KSSD z@VJkp6Bk$ndKXC-f8(5_CAMS4rn-oufHHr}%vBT@Ne$Sg*3OX#_#?PniM8Gwu&q4- zQ1zs%WWZ)J%S!=^1z>+YVA^cS_ak7kazBBqp9vs-XM{N<1ycp_`;BUwqTpJMjVP$z zxPthhK4zzY0|nq91Hi!t^NJAgB)FbT1`r!nd?N)bfZ|;trXWj0{uO}pe9TS(pAvx2 z*mZRf6)ZPv?JWrSGq{E&11KhFazNFK0wn^vZ_@&fitt9_Hvl{(f7urSe*xFCK5dJ| zkr1teh9MTSSUDhm9G59mBA`diZlVf~6@U{A0R5jmHUbT6iPbVE}j~XXhdWJd0nOjF~hNqdHhM$_f#H zrR-+#8U=BjL zE3Ok&!z863ejS$OrGRIJf)@+`7q^_S8UgueY&6go33UEZ_%r*+v2X7Jyd_ z06T{kA3(s%;JTayAb#+g6(Rsj8DiD^L;^@YMjBrt8b3yA&`6rTydDAnfx;9MK=*E+ z8b%o`ys5D%AucW8ks$L&0k4a2z#9wzFR$4`PIXtHu#(TDk@R#hheRy}uxnUtOAb)X zwxNLdT~?-Gbpycsarf?}$R2ytoq!Qa`j(c7Wq6R-@BJtEkt$e6C|J)x!5`;&pGH7{!n(;QC?)|2 zz)}vkR1b$JV0{7D$d}kihK4?n5wIK-HZTD!HmZXTf1-k=4e_iH%S!>9Sb2!;27q_9 z9#R3Wxvq~cpWaBU;=0u;%|9ZAplEzUEDCLQ7~Tsx(om-*rJaiU?p7VA{oH-27sk) zBo(!Q9s$_T05I0F$36tS9+&S(25_Z8BPne+1F}ZJ0a!e?4Qv9qL`h;Jnfy>Eyhoi< z8P_sN25^A^U@6ZexI&5sa7cgyvTrh|2Mgc6Gyx|%rQ868L+!?m#HbFcUg+d?u(UUi z@LS~?z!3uQSpx+tHfU1~0joga$Rq%9=^<7K2gDWO)Hd_0x}#iu+KR^rz;OnEeXHN~ zDgxdJh0mJ+8g(RVWN312{jF2w2+D%o#t+O95YxaKM=cfU%m(9znor zQ22(=xRDrz7?;Fgg@`&>+PhnqC6<>0zAXUf7yz!g)>{t&Z-T zp>RnufP?(35CK?P1;bI6mjZq$09X4G8_5&nhL1wP8c?{x4A5vixRdu~$phYO(*Uls z@^bRf~{GBPnNR4hak$u&O?f&H+`A`iYIyLBl8Rs_6t&!Oa42 zn;sCai=_<>ob zqY!V=sHy;#wvkkkHGq2s;1>pf6%JPIgn+ehjkRO|m-<*CJjA%dt=eYV&EU#yEFD$w zfB-xcN^B&rE}e!?+*4}fB0pw;Mi=hmC02+4Eaj;VmkwfiDd2Yw-bkVbfEn|BZ4mG_ zT=6Jo+(-=T;BZ!k>cYLW7fqkYEHec>Apn0e0IXG|?_LDF9T!(h25@wk6(STY?Pcd4 z8_P=pe-(hI4FKQoG3-tRtb;4$Bmt;;Gmit7cDSXQ6hr~f*y-#i_2>Ny&pXBx4to>1 z>f)+95#z`jO*k?d2I4Y2Oc26KyFXlvM{T1Mj&NZgW}{r>b^$gCaw+m}MAtUDpNp*d zwlcX`vEgE(L1VyTUx+y*1=IdnH?f2CF6xZ|>TMJdmnda+0!WrVjRO`{FaTUVec?F- zyaS4^u_ghit!Bvqjrp;54=P_VWE;DLst`XS(5P;_fDfZA*G7yz_TYT_aI;cDEglxiAJKmd5|1nPpyJY z1YmOmz{}Eoa}e-1zQThRtA6#X5H>Uz=lwCUowE&AzyNUazqp2 zHUhA{0pO@nO@<<1BPeQ{450SzMsk4n1vP*j0|{riqRz3V)2(+SS7Ru8*lt|)jLvXI z^Neu$I947zf#3piKDA9b!->b?^aRp1$lFFwe14=|VW)@V6lEm=sJmWEs_Lm;tD%7T{=}+R80@`?tOcFY6p9LLrLTIpqB%Qw zA`;;us}r(~2}f2lRNIuQC&ogQ%ab_hD|#&OZjWn8K%3#>ijmTD83Yt`15{h)M);K8ip$YsZ69>b;QGHR;2JG}~*kzV4;lyVJDjAKR*$MQhqNyyb4 ziiTWW99g``zbuY=o6pon<)I={`9jDhgy7r$Gm+Hcd>NPEx5J$ZB z>V5p+4CJ~Wie5F*%ZTf0^iuV6lrS1ITxTzSeHeKZ`2ZBX88!*%KQR^n zQh}&&MHd}vTT;S#TF5Lf1q@n@ggbZgM0Mn9g)7tsjk$CuABF3f#C#{+0904slxx16 zz}2(7Z|<49$lcfnp=g1{n9F^SP0euidRhF;ALaTWQ7??+S*eJ`u{Ex~YoeDCm%$=~ ztSs_xi5*6KkyDb6a;-?ztLUTP!Zkzjy9Ft2plGF;Ueyxomq7yn-ASFEkaWkSoG8ae z2@}~U*Sf@xtZ0+muGWiNkgF{et&17Q(Xe4^V@v8iSg+1;`r9%NTQZYm-oDnf6cGv{awrt!5;-b@ zAcFqiB%PVIoA9}Rx8E1o%)EK;mp5-F$;>2CqkqiNj6eErmW@A#?T8qE^vV+O4_t*O zR~GRPJj>^<50zZ8tuGpF1Ibf@u=X0Mk6Vz6eu{q0JN2~vkJaroOmae6=Mbd+BJ!+A zg;L*@fz)3ExC!7sXh)Z%Ua~{#MHSqBeea42saG7}-Vvn5+&iVLwIj|$>U9ZHuSKB! zjQ|!)E9HQ+J2gnFjRg2F`O69Jdx(;>M+3N_fg>TZ*FeF|4k>R0$zDSlH++T4!vfiB zD!}x2Rp>KQA$u(}$axR*?v%)0ThxL#*=b!E%J7=Pvc*TcW0DBU>=2~&5GdvF3gIIu zAIyZb?m?JvDF|s!ndo?0z`ugfdxmbUj|d;(BM`$H>0FbYkh4gDuYlO@idZ zobjhX>-8V+hctX?SK8qSq&%%_JgPw2Q9DSuP8*9Iw}a;@f*jN9<}{|9!cV!M2$Q_C z0o(*gKCM#Q!#4?&J8kO$X&AZQzF|naK%XVx_JLb=QT9fv2n!yu+{*UMfY~sHd{_z`+am zfv1v&%FqMXd8xlb&q*hL2G4z%RYU;K0}R6>h##(R(-J(@ZKw==b4#HLT{f-v;yuN| z^N@&?5_let&@9g*%CN_~)dx>41(gNBRfH*Y6_@8Nz6Zcl7qjeP@YHv(QVlf6i6y^b zl7=Pi;3_H5ELSPv>2~sb@HE7%`|z-FM2{Xp&{Ea^$`h?rFu!=)`JY;TiV)IEYp z8kR)D3M|W2S)RUl#1G)_Mg|lGth=5k?xg5fU#w*?fPnw35Na&MkmM7iz>MIXD2p&xFxR9`6h-SGO zhwf~131h>LSs2+Y-31jq6Kvoa9{^YDFe}w2 zd^795E#R4?fF~0P@n$$0hwe$X*MD5nA3Rf0;Z!HM+68Eqt7B-y%`uoY6HARnTa$w{ z%RNo{=;+H&foB$$nuVo0^Wz$3ZA!7;oEj_4UuV< zYp5*Op86+v@Ra76WC!;wmgRm^+PvV|XThUlDHTf%7g(w1g(v5<7r?U+OU=YmZ`o;< z`)y5mrR^c`d?bQraR^+=A)4h%2{o=Uq5*i8YT$V@1nzgZt@*;@J|l*JX9X(!Bmk~7 zeq26nNwr2x!SksEo_7P_exE6HFHmZT&M#i= zp-!vUdv3J$Lp-n_i%XW;n*Pa}gBNNK4O!9ShgxgG}B9L6!+7G8G8&)D{E+Mwqo z6;dYZg8xY1`5QI99cCI!hWpGe-vGQtP{&^ZNSSU!jo#v@zZhzKFTeyJ7hdweQ69W^ z;K}rI0Qxz|Y1@031l|lhm=826=vpYhu+y;~ymmZ6+9h!9MmfA<#mR10C^$UhH4VJw zQT@GP7*;7nbW{r9CV*?Pzyz0sy4aT20&lf2c#a3awNGMM-`iWx3M=3h1n^czol6y# z^@(H6(bLz#OHO=eBH&sPW?7$xJ`xUg1aB?0Udsut0~*UZB-*XLZ}y1>Nqr^bQTA%XWXw6$Jj3O7c)hx;Q&BLz1*xHOezZFRQU zwKD^}PuRfQGytw`HkP#`vZr6M7r^_Z2;Sx)aDB~7?Q-s}Ircg5wnT+3?a;5A?lw^a z?^+RDM+BzhxOn#Y8ef37gB`r>RdAh%u&h(+j2R;igSRs}yP6E{5)PW>z9YP5_ZMJZ7E*j(`Tc7V4R`X*v$S(mkK&wsld zy#1WutrrB>6`5sSleEe&wg>M3v^4;2{mD!HrLA6={3OOT+Ukt=3#9_Il)JRjd)k^u z!7HJy=g`(IrqFE@q(AOC2HxRltEU2P2(ePdlzQ);`5C;=2XI4$zcG)xuiCB-d1o|u z-Dt~=?Wl%gvw_!x>hZ)lY^F^1GF0&X69M-*iD|rOYkjyGa*W1eqZRPJ$TWIi3XD8^ z&*PZnfYhJEkWxXUrBW)ZEfzMu2fPZZAE$x$)gaCCj+Z6}9=;2cMDXHiGNlX}Hrh%` zS+(C+m8*kyDwcXJ0NxqA)J$pe$-C!Z5|+vdK}rdhl~PI)##Da^yl>dRI}1zA(WpZ2 zTk^vPg0nEm3Et^giZt}7t)kLD<$rAn-goTaou`6#et>3q-xptLwW&TP3E+J*4DLT2 zG|PQcdOqjzli*zt#!UwAVu@yXKXTT3eq9;xE{cHnJ?uPxmT8vzw0ySAYtMprDP}EI zz`KHFc~=TwUo4MtgXbpiBFy@X+46oC^og6gfp?XLn-ky9Dj>agZ4i8TOYG&1&%419 zthurpc=JNw#q*n2;||y&TpLrdG;;SdqP zdm3$B6nON$U4ZJr4 z(6zE;vw_bhfp3Nb+(Uv?y?dxM?c8(wz*kho%>gNjoo1!HDle+qwK@1ohH=9VY&gqu zkJR3{c0eoeVH$6nN zQbx(48ZGC7uc8fn6$0Q+V+!3~Ei>-*?0Erv4J7c@M?H`8QjcrBPrg|Jd<|7B ziJsc(WTm#-u1slK7JN;xRAVgFoR@mi_ELxMJ_cViEY;8fzLq?zrDp4K?g02&U{*6! zxQk`EcWW=LJ=P3-A}VYh0XLpwY~X8)f^Bhf@=^W3Iz(_F27A)|tXQv5;H$-_kfXB% z6K;mU*PZm8zV5hn3qZN)OxjoZxNO_jOEifbUrid^`$#ep|Z}_e$U!rs9UNld9KD77ArK z!S{m3bc_wEI}h%~BupL?2H(pnG4_g#n+;O>>u-wINcGp^Et zE8alH&%(IL;QKtnO6AJ?DlWqI`8g{4+z!4xjb*JDzpmC}2qrnfw+>5g;#rzF=ET=2 zn1opyF>4*q+AK70d=;C_Ruwk~_||e;+X71#z!C86KwCS|)^xkg20pw(^X)`E3-!bN zHVnRRW$Q{UDl524gS6@1$rMDhqq9TCB| z$4>T+YPdPUw^x@uE`cu`!MzB{J1K(i=MeaQP|4mIlsX*--(ivLos+=#3rZeV$le7R zH~jyUO!gvn@Lf{DcQH)%E~C_C1$6IN?t?BKlO4qFz*KD-PB0A zTQY9=|39RhYzyEffLw&+$whI{xG4T#EJXJ1#Ps3{bev-&dv~e0IiTZQU9z+Sa%nrr zWr8H{o-l4QJo})7?3F_;<**F?ZA->SUG4gqekBJCdrt-sE29G2y@!`pJPWzg!=#77 zeKLI^J!>Xeu76tf8IU_wCEXO29A?ZD#Oo|ux6}0}Aa}Avx>V_byr*8(jU6F(Jlmqkn@%WRt6yAtH)NMtl6Dmg5=!X%!vbRXx; zcJh5`vP)y6{C{Us}2*LcW&Ch>p{rPLhEg{sN}FOLMD=T?66IWK&~IHw@|3g zt#%X1l2u=6eG+mr(0UV*O704pNS5d|u=i@nl?5`ING120d8v4lS8m*bTn}>AQlgSW zi$zRy7VA61UI%hh(Ry_vNc`C~WOhVF%br|*6LQ^Xy^8NDy)eb5-yp)5sqmriw z*ZvT4`>Et=ibxi*!SD&pOEHoqYCiwuO33Yl*IW@HD%t-_#u+17Ja>G#Qjm*hUc4Hl zlBt4;^S|cM`U|#?!jS@`x{!%(>;$~>a~{X96BY) z{MoD1p(~JkNhbFeQJuZNc2b=&k|pX@d=1;t1>{^5iAqXcBooQv`y<(lA@>|wpD$3! zC(I;^y%jnA1LU5?+MJ`u5~W za_>kbkC`1iT-rG8Uiji3h1{D)C3_vRnH-UAQOyJQ!GuR~((feY?BA5tX8#Q;^XKZd zUr&X+6tq5^N)B5UpgLnDOW1dMT0ovlCHM7|vr))IviOnNcjQ3c^T^qc>Kxk4%zCjo z2dlavZ-hj~C89cq4-rjt7QNBtL`%pUW+USs)cS*FI*U}X=hlL}=fY&Lhf3CEo{u>q zxUxW93VC?(iQ`OBN$E|qW48q+bvO@sgCb-ci|Tw&Wt^Y0^Jew2^ViRZyr2R&1Q?a< z$9u*8T=Ie4tMN)YZw2;XXQim*aLs9=^Zr)to?i%g%WY%`Gb-8NRA;rO&!?S+ypO|V zh%_qMuef5O^S%b%2BbmWM;aM|jYTwU-{6NkT)|-rf8_n)n?Z3DP3gBF36j%kSU<3WbZ9b6YFK_^y%6G@}^2;iYY2N zG|x=3^l$QWd5||*BvV*aa!bHO=iRyWs&9ZiyspD3vZ&D*35wV*QS0Kh9_Zd9O%hijqop zG?OgZ^hdEL*UPJu=xhy4*U(OIHO<90nDZ>&J3SgFp9VH3&XN9S#PAM!?PWD1w+ z>=QAOEY_{qb2TAvluD+Eqmuo0223Q2zFhULW004r08T+iC7+ocG?6T_-}z%}$V->V z6n9i|SZgy$7~Ce{f;^uHIE5aS96sM}qSLmvh`T-HrG?29J(a8};Pu@Xci91#eULP; z0j~BSX(e#frF)R3H5V`~Zx!BO%uu6g#u!6pE~Y(0lE_sRj$aTtM}&-EMAMAHj)`}M zz}F!{($I!6vXh5%UpTrGO*4kE^46d}n-onm1}5|H1{en?qiM!?a$YW;F*4L>nlXl- zw+^o}M2*T-3K5n(l}w3HIS2j)c^gpQT~ah{_~Qae+o+Jq81lp87+x|=(l&+2Ob$)6 z>1mtM(>F1ByF(?* zE=U^MHCAureH8>;!9mhU*-5Aw@^&g@tqe`WbG{AMMPx{ujJdj;u?{D90A3IG5u<6w zYM+UBqusWFXqvGeD6ejatO=rW4-2RxyDjR&D-`^Z6hJO*s$CbP)RBzbz=E&6nl7qOcW=fE&q5g{2G0EUuMl8@YgUe|DG)?D4oBl%7U4r3>E5;0lcH={H!tGL#p%bnsO9)SNiQ(>F1BMpE-T@(A z_wBiiCP>N?IfH9CYA}8}*G329+Dt+leS=)@R@}1zxjN$lw)|CPxO-(GbA1-iwI$5B zwuKqjK6M{U_f&ZxiA);j3%kz@Yv{`x|r`gDKo2r#al0mfy&`&YauF4`5B z=Y=e|HrT12!r{_Xs!!+I#p7#_$hazr7e7L-ZnzrIBEE3BAk|YiTsuUnPv;65T>**v z{XD*w`iHkiuI`Y$*J0tWoldH!aJY60RG-fEJ&&)0JifZ`d}Ic4^?>99R`IpBkmGB= z7RPm%$Jb9hzI-)n+=pB}acQMheBrqu`YRlN9g(O$-CxIqn69(v?r&U(ZoK^4FVJ)^T#OpFIBH(J;HHi1Dq>zYeNJN?%>|7$=(lVC(hM1TL-Mb-cm~!1 z8sm#Z4`I+dz=Z1y>)J4`_h?zYHlo+xBu(eKBr~qdGUGZtp{T^am*96AZH&S8?a`lDeD+!TG{S_VKEj%vc9*FttI_LT;#JEC! zyi2zg?FY$!+AaK5Nh3PQuW;(oxQiqDbbsAasK0R0FyoTXC-+6J{rI@et+zHn(Z^VhK}9Unlhf#AB^D!!VCR8Qe> zHD~&CE<2B}dlkmDG2_}3$TbKTcU#3*3#D+mjPV$vQ|GGSVD(s;$5)f>t~1Cr7?+RB z7IEKJD_pKlRGq<9mB-ipJiZ3L^y=%#^(?rmTE&+!=SP$kPCXi9VnmuL zkoENk$Tb99)ve;on6)fCu6_#9MSeQhLkg?MT0FiMEuY;Ext;^pLss!+%)1gjh2yUw zM3w&2xoY$Hdep(<{>?j{X^337>an&}d<_*1ErrE3lIhdA>IIm;96Y{yl>d4yat#Gn zeZeB`T}t6{rD;^3&ef2|S7RPu51%{J3b}@X>v602lBt?Psz+mVn<&+}n)3K+&f{y< zMO$a&8jcS;SjE?9k%ARYd>P~OjO!^LU#)n2Z5n$=7`aB^;}ll$^-3XgWov|q{B(b{ z4zu`b6J~K=vee8=$TbpNqE&oNFqGiGh2t+{j)ZZw<8j}S$Jdt`qpu;?^Y}RjnOzWhACHoDd>K&~`=yvQoPR;yG`;rQ!wjq206vUq&Gz~igIt{z8`%LA?~ ztN6;JY6{6;#+*4(s&kFy_4qQ6FQwi77m&*ft{1K1YqLth3ddi@tUu!#$GLJ8)*gSZ zQK36>`S2kutN1!77A{x(Koxn6i{7Wb#^bBr(uU-|L&nFvWQ%^{s9LyOC#X839w+no zn#SYnSg~HSkt-b^B(sXIQzBJVIQ4i|qWbjsn$G*L0FSTAS+A3U_6%^%u!^r=RjQ|O zxGri`pUyQ)iWz$A_2Ul_#L2`5;?(%+W21hL2551DV>!g-xh%%Y8ADvgbSO#JP0tZx zOwZdl;4GT<<0EznHAGG0GkCEoElo#fNr@~$G)x<_vm{M7{dPFUbn?;X+N0@F;F>3x zAGPNni4-Nj+a9%<>F8uJDXW`)FA!sT@6qQTLep8`!iD8=<9YO`@i{?Kl>7>A`VONY z{;Qk*Kx1uoVYJQS1l>cc`yE7v(coHOGiSgjkEx>DGDPRki7fLxKBGv>>I{no#;_#X z4v=B`m~~r`VGKT@Xi@w4KqJ+2dj?}(Kc1mln3mNUmMVaiagUd9Jc74vw&=iRBE+xx++E*dGctnLR*Wei)LjN#KX z8>x#|z=coKnf4E!S^t3n&(+bN&alnK81#4I7!&v-grk*^VH`e6D_Stb-;)%qE}j(Q z7{2Cy*v0+O@I>2(kwL*{cCGvnfB#W1hL%bk!*1?}P>|JyV`-NG$dHYXTU+=crmwqw zJct^#N92sQ$@@3GF7`>RF21)jhVLuCItdwa@NsR`ye?wec)=NX|E4n>&=|uZnK9Jp z|!;OBM{geHEOcgPqoo&VbK& z(`(&RDr4B=SlJU9CV=Z_hXn)Ib9)A}bC$81nsDe0_{2D6IA>!FzjV2b)38M+;uGZo z3x;kXdMpKJ=oz79b%tMqjNzih7)sR2`Vtvl!$;R677V?uSD^K5_^drr=ZmR({}mZqH!m2jlqy;tQSO7V`tXBY@S#w7E;tkYOsm zL%_-p606gKGYpTb3-U68XuEJ1Qy4?BT}x{q!!&Rgv76TgzSD))>FpWJ&fU)kXj$S1 zcL@h$xFgIMu8C{O7|?Xgm(3aQ9ToI3A~rMVxL?iw@<8D@aHRLFvX zA4|a*cs=S2_t;}@ZDu=v45I0o;J(LcZaQJvqva{vb6JdalYY94Sz4)^E*D{kUm;>t z0j{r`Kk-Yv@hgfCgWv0jXQ0ax@bwh2x(^6gpZJoB*fdM7=u%@MOI)XO#Vm8xxhkoQ ztE$Sl9=Rh^MXuMuT`6qAHM(%Qq7Nn!R-LPwlW|pdGOkYJJNH7aS>Ub~u;5bSeDk0E z6@9ddaS1`jRa0bKKTmm>+zz|}?gvE+uBnC0HA5s!RfeseAVai^?jT5cr9P_O>oz>im!L%@?RXo$E0k zUyt+nTD0IuDss&M_hVM^wIEFO6b{#-2-T-^HOBhHu)<|8?k8+4z8X#H-3__k0(WDp z_*%;J6b{!4ie z9$!6zEbcpAsrWN;y$kNHR`GQ>LiH35*U>Q5r*k9i(K=;-PbC<&KGh$Mua%7fjqvRMtpOyog-*4xb?j@x_L>Z&5Y3@2jGZe-UBA zulH5>3L{!rXBcj0u{2U+4CB)pl3T+M@O4Jc_*kM0zLH_8hv>HKFHIRrvG$=e;LDHb z`6Y$>q4n&UPGUyc;CU?>y%{~5{&w5-mM#{J;se&~PV-cHEyA--zK$`2K(n*XCN zs@Q2^ok4cS+zM1(UH=g@y%1mJ6fi&hn8$c-TLqrWA~nOqpjvzt_+#%vLS?jP(DWjF z-L}P1|J&Z*%yi5{X8KX%MLVtiu_}weJ7yJq3mF!JdyK>4@GpjA56e0NtH;UdtsrJ{ zMrU}*$r#3ojN#sei_Rm%NBD|Ziz-N1I9@OYJ}IFyDBKUPaz6z3)g+VrOTe9N;fI7p z;ss-vKwW5DQBB}}m}FyqxWC4PGsy5UzP{GV50x~k=(eF4eMptavQUhf5YYWFImqf_ zn#35k1Y3}+^QGXP95IiF=u1|p?t=M&PYCD?(>2Br&=|vWUzJ*j49mbh!)d`#OCk2j zui$>*69PKJESWL9$^FoK$)!`supHd8f))(*H67spVo()YR%dw2&KTb2ez-Ma+eKvf z1l(^~`JstO^%UF>AF;AJL-ZXh!0MuWuU$VN!wPV}W95ey%Ky)haHsKpi1}fG z!Wa&$yR{J+R)Sl#Thv8c?f++3t~2;jQioS{CFLB_C|`{7)fPsv^FT70Et#GE1eGB%>Cz<$7&V#YCS<$l=C{V=@oyyM95Ik>l4 z_#yi0xc`r#Nj$?2?uVTctBV6cg|1;p5K`Fn^5W@OorZ-emUPsgG@V%iHRS(MZ^T!)hAd3?3ee&OcX(e^Zv1r9IF=Iq6gj>++K4xizJSAhCYUp+;cSH2gzuOR z#>3z&3h6}wzeqzB5}1<_l6FLjO*?@m=?h0lx?TmkTnjB$PJf{UzPXyF>44`X26!>r zC&dEZF?UrH1k}K7^0cl282=gt1Mo9}8X+(R1LV^)I^b_A1H76K@DHi+>TlK|;AU`N z&Obh504|S%F#y{J@&m?u>l@<1m^mkMAchC|yp|65C;PA(zEeDZ6*u4B6`waPx&>c8 zo)B-WiuXEVVGO|iT5MXuJh&iC%Mw6r78A|aGl{Veg&X&J(k~JDUCw? z!=)D^*G@=rSozD0D@%)IFu3AbbuN6>d90kl#gdGAY^t&b&^(mTLQ|fyKiljpa((*^ zq&y*7IPMiW)`%WxF_$pJnFe4{HCB!Q#{PJP-eSqR<65fuDl{c2ywcw20&?wwlvWm8 zF)z&G6`CEQ${APmWojgyr0I@}zBrAh>5hw8>aTNk%wM5>pVzwAxc_P7`W8~!J1zV* z-Vw{7`zxWM41Y}u#>!dx3tyK{<#ettp?v=8*4%k~$ev}$wHs2p$`)Ml0c6cJmD!{I zqV~!EB+Upkele(XJ?+TH)%|WqkJGVv{z@4X$qv8z4swMc7v;EHF^xuP%4BQ|f#h2I{C;~J7bzVPd* zYl3;C!`KTc&slXCugg>q_t%?|*fhgm^TgORJ?`-%2eD~JJ@We2{gs^GU+vDyyVpHO zPDuM8Wu$5mU-JX8x($EDvl?9StOi#+tHH(Fht8Gi%okt1|FSKuX(R3NJNzz0#DXin zUoyD(3>uFwKBGnJk$es$_LvN=ERCk=Tk z;efB20FF4lk6eZyfE1-5fJ^>^2TO*j3w6MW`A<>!NqISRr3G^R04c8p%pGUiwKb5a z?gBe5=8-U+Yq~98lR&PIcO53zLI?4qZ;=9U@!_xnbHzL_rgOa!G~*Ii08R@OJp?JU zo#tFI!(oI$ZwU$GOQZ{l{Si6KQ)kR#Pn~OC{w^eCzI?Xwd#91>Fr>`0@K^Lb^u#Xb zI_abw1@>3WHKxvmpKKvhxUu&D_=WqOCEg-a6GtHB1I@x;m+Y|&M$b|0Kg9hqR#xX) z%p2x1djep}a_8Rtl?dRE_$}d}IUs&ODIcIoh5qZ8q~h0ns6HKVjnh^Fxo~bPWlgl_ zXkP_MTW=Pi{ku;5V^I)VZw%reo0RAuIG&AJtH*ryII{l)DZzyE?A_3M16m;;zoxcG z1+Nd0v{}KpG?Yk`TNlYMw?V+Pgdke4>(+imPSvW2YcgXkFcp46bY}1$@=^Hu!r5{i4EBYMz@hGHd35}ZiG5Wa&VvqYV z!M6^~OYpa~AHP*8yRJ{kU$#JgjPV)wudJ`*D+rANguTJw|mu zo{#Y@4$_v8sUK5I1QPt1dIv_RW&c=nVBa<9$K#L^Nx0r;e!MQl9d{^yJ6w-6|GHf# zwEzFyKjOE?V)=~zajQzxc)Pw5j7!5E@&z)UhJLK5*@`E)?>48G)Jp2As=Hr7_nm;$ zvSR$Hk-9JOa6|cBRUr4pEKFo!7<0PRLn(|l5-#SgPcQeNwDIF%xKpEP%zeIZ!*OZ2 zLq5yK)6itCP(JsiK0;2)re|l&>Q`bwRdnCakoutA+#j7a1$3M_+tgj8(f6G|)42QiZ3=6}K7MaS zu5wbFss(W$24+oHIu5cGJqf7|EiP^nZfy07n}owPqA%fat=yLw%?fc#{7JrGCuQy` z9(@N`RdV<7`(*CELw4?FbYBM{pZi$jO?^Pfe{J~ZlF4LD;S{8b7JXmBq5bD;!-Uzi z|J?VvTVFD4|M7Jl>B827Jb}R+Ebl*8heO)tmU*h40$l?BnVFj~ON5W7;f%-oDbS!lNci-g*O=HpLyBdf~!yWKl zk9Xfk_I&O`A6Z`a&2@ZPul`kZ->;DRfnx4Hvr|Xn2!kVk1QqDi5&e`UIXvA3VH15S zNsF&GzP&ZakmVNVAvIWlQ%Ce0 zpTsmlHm>{PuidQOXL9O@eqEI6D^T?LxU0DPZpFBT4r;v_;jW4fBH(AVc^bNJyQ6^a zJ6`K^GFN#4QZ=i-&*an*{p>0|+=98!hX$X;c=U^Fq ziG8V(ziffr7vn1KzM?W=BR}5v@pTuh@!|)#bs=5O25qLvbZKRq83AEwQA{s-2~v+G z^lK2EO^-Pg()QcTjIiRbNA}ijUjmCqAoYYT-m|PY?}|wA)kkc$VmM$?5{HSaM2tUD zN|TYa>N5D(9S_CO4K~iN^H;%*VN%w{C9lv5dWGs{* zX`#u5=yl7eVC;}a*meFqM|eTBLpW33G`M}{G30oSz_EjNOJ45hP=eu({R9Mwn!SB@Gem zX|A!4D(1A>1sVGk+)(59VWQ(e05<`|lL1OBo(c3GJHZDTheEhXknvN9W@Q{zZk1>& zW0C|P4-7$4KPSyf8sJ=&F`zbNoIt1(C~{V!SsB0BZ>43u4;g17xS{o51!DPERR1fg z|4n3qmmRM?usZ-5kq|5yWrL(9EGwy*c&VMMJ!D)(9aj}-vrM3++AI%FoS51fGH$52 zIUwU7mX+~O==7YA&>epVVM+S{Bz23>Qb|1{-cFxukXhUbnZ?48c^AvdygPip+4g@h zNr5G)=)~qME2%}~sS^KefXsWa#62?foJOi8^WFe%0%TUk9Sj<*h|J2tQR^y=gv?3- zSTX<)r7ibV2j>$@=lCJBnjJFlQz26buu=~y7rfWcVG=5R_n`3W0jefq!X`*@)D0X5#>EnBPpK#WI^aK9d^b zu{;sNO@ho88p~=a&zd#zJ4|vy=2Ky4Tbp^HZ5?&`>6z#p5fzF8B-LVBNp&JWW>hE! znQax^?2y@!+v*q@GUJ=iA+rP8>L5YVqfTlose$@(r&ARnvn!V9qC#d*e#||!Cv3xy zL1qt3?%{xxA0%=py~6NLS$vP}?;*0+4~z9xA+@A_n9n=m)tL&o&nP6%6@hVx=SsGd zy;QWFDnZ*p61ClSuzgymMO`4%D?z3w0!hWgG%M+j@O|o-9LP*}LZ%#sL3>4_!>{7z zfVQ~2FIv-Woq@DY{T4&!7|g<_NX45X%@Y3+$A~A;Aupok7bR#{5@C$^Xm_XLEw%Dj z$Q&m?<|{$S%u#4o=Bth|V+M`IBs*kgqtb~I%X-be>)!yZ+ zCND$g{0MI3+mwt@-0r9|Ci`p1B=#0)kd(`gD=ANYd+?gAkhvIbEw+QWH$ZKP`$LbE zTYU>MKbCQ`LDD8C%}Uy$G-&t6V8~pK7|WfI{0Wq@?og2fXcb0uP|L?3eZCQS~F zl3rK=nV%uXD#RGA(Nak-%KhXE2O)E<0GVroV0^tZ^9wtCv^4_mOL~BPgT-9fH{Gw& zVr_)b_qG4&2$@H0ka;)&;#UruC4S==dVR=j$ovU8enJkJl}hpp$M!w^BxD{JAu}8T zaaWj?+7o_9x_Uoko%zJcFJ(ps-Shl)2rcpCR*H5H}H$ zhH+1g3~sPHvmx^$5?(;UBb@N4V^N2ahaoe9gb^eh$4liX6aL=42{NxB;qORzjA4i; z!jl(PN7r9N!ap<+&qf%=d1ZV~5PN|e8g5QVnjWNCNrCYGXI6a$nSa|M^Og$YMF-6i ze{-}ef9xjs!3KU?0FvCyR+7g)pxYOI@E3D}A7`_~%PdR08XmB8yQE zEyA*jIU2lDdk6T-BO#s(l0IedNvow-|M++Y_$wh{#V{nz=C{y6WdU)&Rc)2^}^_x}ReRf$jc#%7DL-1pdb(kW_9d4Y}{4qXYjW~g)LEGgxk8}>~Yz%5Bwr3Y>f&XPFgCdQJ{S79|wZJtrPrh(7o@3 zSl0Wtn@ih#1pW>xZVpK5WMf%f#o~gp0Q{W;xCzjdc6OX^oO%ZQ-I20e5Yh(; zSj_LVgTJQ=DHkNN*Gq-Z=1Gt;ULkvZ?T|gr2`R@&AK>pV!T2V4s#+f)dxM>jlM(^{ zP)6(@W_$PaAB({+g<;lO8T=z0)V6=5Hgn^h%P>j9%?W;2gk`za#e?%QFiC-#ZLm}- zJ5Ikxy(!n+5B@X>UjI-9zmI37OY&D`0^pY=nAtrH{>%VVI7)bA*MS4z_X{vHTLJ%Q zC(9ZWzFO)h4B63Pn0XOPy=Z4yFR9A)ym6R>c3yOVf1G~I<23xs2^q!py(tp-C*xJx zT{7_io^Slq6iDA2B71mh_Rq9K%3^(QmL0~obb|j)2g#eQ;f7kOE7V*@jo|rrPtFAY zJS;ZP4*vNLn&qD#J~Drq8~pEKsrQ`FroT+H+6)X`%BVXF{CFbqFF=KjY()KH+!h5O z125+AuO$K81jrDbWN(=S{-ucAAxQQ$~Ig=hA1M_|fkSkj%>6T0+i;uq2$OrRIsN`~!N=`MCELP`%lB;3f zks!I!rIHiyCNQ7%qAjcLc?st2SIA*e$+>1ai)^jcd@8(N-AS(7sbsmZiB7oUY;*~x zZw-?h9x7Q(HIcM+s#@)S@UIJydlxEM4z)vWKqB=MO><+f9%Xm6woxA!FZE^1hq3tt zNuwZ=Mew5XTfs!K*q=+M;z`FBAuTH^>Ao{)B3bnM!qXkGA)}-$MI}=NyNP5Gx!Zm` zO}Ol&jYlP2heZ=fcs=;sChS^d(os;I*beg_k?rpTOE18>r!fAca8%NW$8rB)X-!YV z6Rr`l)4PPgzfmS~m}a!zyeEU;pJk7hHTt`8L5=izR8H>^UvFq9y&_E;ub_^+UTC8) zE35aaZ_RR$o;50GTv6o>R3P&kM>Ng2<|1EA$LlUCr(fvj^}!1Y>@D$!e8#OnUJ}On z^Ui3RaqFOr2$S0fl7=;C+2ega$5C(*JvmT*(GMW4cSO%gG( zaa4dkhLrq^Hhf_5tvEbz8RUm$GDM&&)Ai9u^l)H+Cq|cS;)p%{Y9wBlY6|zr$WFRB8r9=T!n>!N##cry$|Ks-%@OO|OQ7r&Bi@G-ahZxq^NaFZH zY?=XRv@?><0rx4fat7dm08Jx+?#T?$Jy}Tv9Hf*D^&f(OS3s^4vH(03q6#^n(UeI# zNizWPf;jr4fOMbyPtpv)Gw4U-M*vgyM5qT-Hc9ybe=I+FI|5z>xgHJ{nO59f_24M>5Y7$8V?50lHRUFTAMgIV~fx`mO=u9b$Ud1^2L5(oL z=*fY~eS^4Uydzdl_aKgX&@{b@L1BO^0{H=7AG_l$0$u~zA^k@{<4h9|Sj|phSQX{0rpf!hZz(m;E4)pv8Jn49FBvoNZ66;%@51)kk+A;7yR9a#{fLR>!K? zw4?sF9u(uM*gb^BV9FS0e!%MG#tlHgTOhYoECAao^oSWCjy}ew869c46 z`!|t#&|O!^54f$&ObG%12Dx?60`5%Dow&+%K_PM!=gDQ|{C!y5v5R1>lRUN*JJNr}A$B#|h*h$d3Rf z4PsTCG{lw=gIL8?jvlOsfW<%_BwGOTHpT(tVG7nZa^l*CJd^>-BTQoO_uUIWLBQf5 z4^=GyCq#_njj7`Q)Oe>js6M@lT^uk~&F{gVqzPRRums31E5PXzRaiJ4d|jpbbU>d- z8;P7@0+=>?)jbGU5@cW4!h^h@FC4(xfjGb{4mdfS--CzFc}XL=1LQ0#z_%2tuy6q1 z(WpM%gVP<%gMmaqY{f5@8TKLq-U;#y!NP;{^T#00s8AyWx?tVRVuhB~0deR$+EL4I znRGLs&y^lUz)~Q;DHQjLx|x+G z9^@D41qb|$d0z+oP+)+I6ECh1u+`=AClT;&kU!KcJjkzic?|Mf?SccY6=FR|rsT*q z0y!6NcSJq7J-A_UF~l!c8sw$?G3MWvh9>Q<{wUU|H*tA_Cl4cCtkaQxqm;4_c z;Gt>X$Rv%2EpZ%~HltkMaIS^z%C@7fH1@i;9Uu5&?poH`dydQz^VJiZ=?9RCBk z%7MJeVG&;!sTw_=|9jj=;^PaaRVmjA9$ydNXcj`QdqLhRT5w$vDOln7>sow#o#gR# zCcx@(U5zR`k*hq&JDe6=yhAJ$E?lETk5jM5vk~U6^E|$Odg-lKk*fm8-$)i*ixsM; zP`Gf0o9ffKF7o*LjmOvf<-3$au8JV<30iRdZKrw)g$w7<=}+gn%;W1SkFUy6%wH>P!!_i(59E^;{TD8mpo$8|U;GYS_ZKd;p#Cb&1J&TScwTn~U8kuBou5vrze&X2fAg(}p!Dyxj^J|1899}ItpT-8Co zqF8X%SE!ys@mI|Jp3ZeYuSbE$*8`V}evVuM$k#3UFI-Mjs9gR=64j@3)!p_rjhRywj%M_`eLh%hSnFwR{WCClspz={BqWt7YN(3zx3O zap5vm8utxU7WY}J-VY(yLy%tFX5lYBLRTpM!o{(1Tn^6Fh{xBD1N%6Tt0tu1X*cJ> zB~(;Tp>W~4E2>Yg$0j_!n(_F0qSuRFc``20FSR@xlIlrS3O9tYt?^s7pR`X;o`IM zge$$irmw-nIkI-+LuC&PUVu3DA-#cxn{ZudejGl%MG(z~y}reRplh8-c_OC|dqvko zku)8rAq%VYCJv(+BG>hSkF7wi29W-^!y??{2mAG5iRcibVzE`{pTxtC?>igK}afJw=Ap?st%0yc*99{B_9l!zJ<7D5;cboiJbfj%Ll94@e? zhDn-!E#e=i#ir>q!T2x&P16C#IT>J%5fP_m%T`PzN+yL1Z=) z{BHsA0g+fhvYeh=SYQXs9GCu_J-yo5_mQh9F1Odrx%^Wks)sm4cO0%Qk4-ZiH&cmC zGaNUIIf;}t9LIZZ-En;CDCvD$0w6lB{Mxk(5%38}A10Xt;^TI)h7G{kT5OsD$nUIg zU$gv*SO@&n!D@E3lpip6(&4WVuoAs*jAU@n0YuIQT@m@7N81Gd}Kzt60>eB&bl>z#N{D3_UUn-4&PeOW@ zU=H|is~8_drG{@?#rSv^l_P*{GD8%w&Dg{$Zqr>m{y>9S2>2AFzZ5VBj2VR>hIJ2` ztTLuX$^R5EG0kWsBQ%<>dk`H@t2o~TFgB8!CoW$>z!s36W6_EedJ+ThOoSRCFnSfo ztlXr4_=E|AA!!C+RfU$-0o^)M$F=8-&&b45G#hh_~ z`?&{yh@Nm+KZqWzvEZv05U>@b2khomj8E`Ug&dGC;Uj4VU<+oLq**qSn1N~CgVTeo zit{Z(K)}XR`jte$){s6&F$XlO;+U1f3=p5`i9HZYKztyO$`Qcyci9;({e2~&72`_x z1-&M(M?et;Bj$krwiU>lPm4{n^kB@GA^{{%r$$>bKAoE2L9F7w>LWK1unnXywdiK>Nv&AJMk|i* z^Nf!AUjpL8vGk{VFv2}}#hD-Q^H)Z#MZmU@{;6nQ#b$spBkjzC|F)x!88y=ZkA$cP zlaAT)16F=~88*^l?I8X0pgEw~RaQb=8Xn}+Vk`zt`$0aOO#sP*pV2DD2S4)zHZC){ zCjz#I^o>FR0OMC48-RR1@%Dg^&>GfnAj$KrGzP0^`2lA;JAH|O9U%Qnix@QRW=xh; z;zNMc^6dfn1PbwB`c8=grhl8*NU)n}eYx8~1ndau-`FfXxIG+e*f^7zd9aZbD`&Lg z_=cl*GcmWQI^f<=z6{aDT7BggpxdtQI-Qv~b+>Bl4s!17d|eiHcafGuUJP_J3M4~w>q^s{OLAcmfL z!~Y`!c7^m)VROKL+cpwTG)5K2_w7dL@pXkd;CTlF{5`SzL%^{M>oq{YZjgRHWC3V$ zr5`i1N8(bCL42VJ^$csg2~Ut1fPA=12mFKe&*?W3s~7=iRei4`0(OV=YgUbfyc1XZF}MgR5XXfW09@5G(-sicAg|-)|TZS5u`xM9vzJ-s1G)M@#v@h{ z*VY+p&u|={%_0m&&GMNf%0=Eu6bE63=wlK)3J-IEQ}ae%nT~y zN;pp#{^Hj;I#=_E8CTUZ>#HDFf5><;V9u3r=VjC*Uwy^7_zEM&m2l5ta4iYYy4ATv zry19-?b-t5dImB?3xDBDd8i&@&)|w5x-;Vbh88QQ_q6y+f#)69ET7Mjs2n zn7L)t8=}a|E${WKrp;T z9E4m$A>);RIak6vI*caqiIeIsu)kt%ZgsBl`Td2TK0DX!=1a&m3}01b;V*w9DV9O! zidlPCa4vp*uX9bwf0jV56PF*Vj$FebW2)2KU;g-UBZDjczPeyt$QqR%C*dORw~Kbd zNh5;^X9*nR?AE3Xxf~e*8Lx-T0TYI-jS5{7q(-RY$or>a(~Q%r$xH>lUXT8C$GsJz zfEn+I34nNu8tHd(4+4&ajJdJ}VEka00m%C)1{iaCB568c!T^DB8si5?0OMcGJ9c%c z5s33VWV|1U$BDjKmmVAWUoSp0LKo7sc|D?@?f4=VPlk*I_J39Ui%P2P4)jV%oC)6{ z7=NVqp?|z787ZSG2cmz}SE7G3v*RDtWth~?fq&q|aqdRpn-Y86Xx#<=uOi0Fdy6~< zX*~q`wykkB#Su^5I}pY_B*27AK^UDHKnIL#9KuZknG7JpxF=+ItDy|-Z|HgLF{NDq z#=VVMXa^sc8~3&{|HRb;Fm5g;e;~oQcY-YIJ>@{JdDCFryCNo|)&(NVTA)>#*7OZ5 z5dtMwg;A9;!eHDY73SW9Zwou4P{C34)hR#X=LW`o>d@b4Its5^Q83RAZ*>u1bTc~> ztP`G6qg)*rw+#O>Zvg9tcsfhMtO9S3%GXy_v-2-xQcvwAAEiDJ-UJ4Mg6^KqcZh9d}ZXg z8%`KK)j{w-|xogVH2rC3mZ3!8Fh1a&BP zD!AEU%v6o)7&AkDX}qg0D0gEP4kcs-LNqJujZj9Lv?HLD#jLWJHI0?Znkjd?asCfb zaIvOR0kb9vtkhKd=vEg_fKpWer3yOpFPT`zH=8LBpnDqI$=>5(+~nwsp_L|K{l(DA z1LQcBrvy;&JzJx?ILTf+e8XRp0F24esDUvPf{o=i9YE=%;pT)<^)w>bBM8dVb{JJ( zH}JFq$~X+L-YUuKgT{M@Vf3L0+3PRkW`j|02FTuE+y(_ec{WJ)hDi8V1eBpHl$Bx9 zhAwlLW0DAp6oTQ?1R^-n3GYe$HM%MJv`U~B3idx{7%s@Orvk;vYw5W!7>7d{G;y~!cmBzV!L zlD%mG+^|WE7RcUA4L5Yl$`IL`6~s*h0QZ*+sFyUVhm7Rot0VEFOC@x zc{~24f^vxqUW&9|eWWrbVfN1&D8GwL$M2f&;QA||Tt=&B0-*e% zd;bqC{|6eofeMYDUAdusbz@;?Q2s=rZ$(i4W`{Yvrtr^`6S4K(!cu$f(YJwTg8{(zgqs8% zs>?)hDw>@tK=zAHreAYBS!3NC$lmOPY%L5~E$lQat5vYplCMO_-iBFUhH=wqR`&K_ z%dE6#A^U3uH|+C8g=S^76+V3Clb(?MtpwS-BDjTUR`zbCjx((nWbZ|VA+*&lLbI|u zhMt~Vdn#mq?||(68g44h%0A${Ja@(n$UY=M_CY*KTpbyW&8%+X%Ok!o4cR}5ko{u_ zw;;{RJ}M0?@%Q79eH;~rHOT70kINZc(dt`Nc*+jhCso`SeD-O_@rieqgzR5zkbO1) zS-n_RR$t*lnNM>d`+OKT8L}^inXQZBwOQBD&Tk5C=#75-xCR7Ph`*G9?5h%FUx`5W zHG!4-L%D0nGtD6TPYpLGWDSyNR@M-)>CyJtkbO(V4Hf^xvaJ_yXseD)vvO)Vep>(bBarhb zDtr`erEy!b6RkIEiKD*nbHe{19?F+aafu3R$meENi0t()BBsAg4QKbyFedX;vzym)&vr zvmYSG8Np3~uBF0gEC+|U20!kEoc=m+{~-P)Le2m^Z(sm&1`6l-Tx4_#_wQ=tU$F0HaTaX zv(Lde`*sr9Bu+xxrCcg)Dld*SiBhCZRFoQuZqiP8yQ%M4YxY`eX7-Hl-LLcee&76l zbIxARde-y&=f9q{X3d(JHQr8v>lZ`$VEpn#4+*FDyhL%Bf}>?zZFv|?jYvWC1FWWJ zWe$0k-0*=OmqPhy1r~MCplEi6XU&zKKKOZ8C=cqexK03q*Ha*+Xf>38qIo)3d_n*H zrYR99A1lG)e<7-c37)kiv8DKoH=ulc02ZH%6u&Gyzbfm?f9M3|kpwKhAOl4y;rX>p ztCedPG5;pgU8>S5)iO;A2bgT;uxuxE-WPQ!&#??Q{K z1&%ipN2Rk?L-}+(maFkT*bNDyI8%WcZFDGlOQ2dOKY!MrGDU#9@{FQ;hlW1x^ zP6oY^fb#cfxsCX1BWiE*5Y0_kW|Iu%AL=Bv1@pG}pyUmeq_(DUSD@L7Pk^h;{`oLl-p`B5K~AHkAK z1H9C4>CMV}rBMER26q*TepOgj(NV>7QSGfzehjmYsZidQmkN85C!ODL0)#=s-48{- zd3mWny|>qRz7d2AFsr~1;d*J@6)5^kW2J%~k2JliJA@lxRs#k5 zBbjA|z3PLWhCLzNFp0YaLEy&~td)9UY_tl(jWMe+cG@5Lc&R4-k5;zUA>1^8y9a`` zIgDUEWlC(r_Ygiig}V&porEu+a{WvRjh(CF?uFn<{J4Urc;6oWX$J_m#H^NHE^@N^D7 zcxEcT?#^=|d^u)y$RLjrGZ^mZ{q?5!&k(*!f^bLdnVa&gV6(uzhyH#H!q;F{XAOB2 z$8}xi+2TcqA>73aVL1uGb9h$pTxoWB44Lolhj2F?dGvB$J-oljTh4-TPc+yAeYNng ztYFJbmkItZ5WW?&Zb4sXbMWEYGhYq-wjPA(you?t@vqli9`X z!}p}=;`V{hNzjM;OHlqS($T?BQV;ndJOD3Tmv~9)5!@e6KzNW&QiJi=U>^+Hq>2jQ|56dy{HlI6S)B10)s3y*vTIJ}HulYPkF9s?ZF|g<4^) zJ0lQ&B169x6YNVBC!ym>crDyfBE=?W;I;Ss5PX1|oT@;03i9w!n&eHxa??}@T}GkI zKnFAY5PmjE@@A4>Is_l{lhkYp!n3gFzK~i;;>dT6idX4gk~a^deL@n3ETX9e=r1AR zRESFQ7Ao-Ybut7?C6Zd4#$AEncxvV41cYDqL2wF9y&8b1R(e{R}4OrX}c2N1_`fC;x0kxeRO7A^@O&_`_{gN&2k%>-{yhf z^Z?5W&J0{PZ}>O}??~gWKxkQ#Wrg0=e_Z+ABM`>xzVJ>7f-^HLD>x_fR`RP_5Z;Z) z^F;zeOH`H>dR4u>chjj5))B9c2ll-1H9xR>)OTAT{B;I*WPCmcA6lfWi-nFt_*)fs zF9gNqd2o^R*lDl53*jGq5dI+rp;0w!+B6(?O5|(P_fyloS5PT=YvV!kr&aOWa z#myCnoRfjj5S?X(M(B0Fn0O9ET1XH%PlMn_;cIi?{CDp-2$5C^+kAPaE=Rhd4YZhT zE0LSjITM2QA<`4!_w+$zp?dP%cB`SWWO$q@0UA^4-j zvVsSt34P0-f=C|;BE1pHy=j&e>6>Ue@WHt#LKydCAo#P+vWjc^?)>ZTTOra9Vf6Ds zq`$_pA_L^Z6}3j9$PW<(JMdcEU~!#v`w8=FLgZnDF)$60Apu@$NM`Ciw?Bg-4@9tg zFRrJutm2c^(`!6;IYfqMa91HRQejz8ow;Zbp#|k$4)4o2e|TxVc&~v+N~^Oq3w< zqy~|M!m=U>ece)Ap+15qhR9?*u5-n4oiF{<@r%X~d0NLk0Fg>RFIAa-X!@O3Kx77H z%?LnoOPysExAE;7a8GlHJeS5@fk@KBvLZ?K?iWw~2_nxYaQ8uR+ce86ZkPV^yzl>k z$b1!dFGLomSXN|F;QsPcTcSvY$cuPfmx$wPuSPp`L>Mng5Lu!@WT}kKBClfkrAZiB zNL$A$8KM;^)o9Dnbo>>7$a+_OBHu76t58n_l|O7X4Jh9*_*^&f`F1Fiu+P9`aT^Z zKYID0{;2t1T>Jz?en7<^Bq$leStxnbyHmfW6e0&z+|hhoVWo;EcpDtWuYyGmq0wIw z5ILM7=8vEa?9@vi$uPyzp~)A!tyl|@-_XWyUMLxddd7*dq+I%OK&%Nw{?u^yL-90~ zWfjkm#!MJ^3?l!a(Z3T=T&ZEPXbm0r02I^9sc1m}q6Ho(>EI!Gb#bqQn)AIRRX>9} zUTW3xF_R_r)f(&Mt03Ay#oY@J(C#VP5S6`nU3OZ66l)~ouQZf)$Akg0w1+hK5c~zv zGqBhhUbt@qsi0?bIXg|FFdn=4R++2^8S zONl79)Nv0$NtI4gtu@^JF#IOYf3%&aPy3_GAbOD()M;rL`DKzQUV`_d7T|F=_mWh5 zL~*GOp_3?*%TdxH0VORpl6PeicL_^)NU9TRUWJ-XX}N0<#x;0?XdWPW*JW^5A=ENW zQe9EAiw-605+sF#=V-S8L~o!rZ^U0WqO_+@@@`7t?t`JHr%3A70H|del%yq+x?RKF z52fvCUaurXdr1(20Lkm4;*KYpPZg57CqO?#7^Tx0(Y|Q2FHUEiNB#9ffBigA+9A!@ zEWIjy{);c~f~bN7z`qbd>{)Xsu z4WjcDh)&Zu#>$j$@ZcU0or%t-`ypDH;aRhit%@&x0P*RVjlO0HU&+Lu3pTGsW9Vl% zW+&6U)B<&1vv2D{^hFJ#YbA&-5WW@#dVMnBMTjm*VK({_L%Zn9$y;jonF-OQXl#iL z(U*m7 zlT#3VmzMibgXo8TbmAd-TTwHegy@?(Np1H*^kdY#o2EXMAo_`h+O*s*8KOJW5Zxvd z&E0;8exXBjho7YMB<`sFnL<)ur*M}c`gwq)_G`GK_Lmw-eV@i%f#{wzNgdE}4?r}N z;0ODQ_ud7o#-PZDx4wNaWV@Fr{))wL&>KCPebU8?jm5;=#OF4FzJ5!91cFE5L8*;vniF z8pyG_J{W$z5Bk?rn1jNe-jgRTI|8x#c$2TQA9_z#S=PuS-eGHIVpap3<(Q4OygJK@ zHB@FEn%ELW0o)PLnk3I^l&E>_+ruGtdJ19=`Se4tQMGc0S6yz6S!j5 z3#}m5T1IgiViyWu?bQ9>J#`txF7l&TM}H#gVr5|O!9yS>VF`&C6~5YQo&Gwj1H?L{ za7UxfMb;I{DT!(GAl4BLUWo=TQ#kmmm5-NiS0RSf#;(S!D?L2xS~cqX3B|GpV%H_G z1$cSZ_0szfOj!!CZa#=zkN!I8JnP29^W83Q2C*J!w1*c<3WN7$E}GY=BgF1UKlcX^ zhRRDR-nZpT_CTyZ`ce`Q>zd+O1EoI~l&*)^!{}=u`nplT80^1z{SzNSY={i8!D)zH zB4FSY|3Fg1k4g|5u0ia+05|xUGBkbmOArg_xCbEiV1j3j_TG@3I|5>b9*B)jKx|N& zX9cBo>2^;-tQd_JqrWSCJgZc{BzXZ=Wmy_`1!9j2gR1<@;i1n%Y`g?9RfAYC#Y;t$ zE`1k7Ar|#RETSVyiDy-)|HzFSK zyAFidloakV#2ym9ruoh|WiAH5GfCVfh}9%5J@zb?uSDhAv|Y|Z`Ewe?Ci+O;oHXtV z#KJmB%?;p=|8Z=Le-~)D`yuui&0C0?3l)e}P%BGxh%G_wc{J~p48&ehA@)Lslv{>n zQkcJ(YQ8Q(>@^Ky|Mu}C_;)g>c6|+ED^d{eh(%ZXNU_yv+!ctuOhLbi2Hp%nY$b)F z`62ceO5PF2x?cKxSI4(eBtyJAX5;L0c4QUX=pQxh)3GR$(1#4M50b?GCRF+WZ7ffa z)D{I|A7&u-zC==6F)xjI+cVtAj=<}&x%Z+-h1j|@#6Ht`*3Lx#+dldMMFEJtt3m8@ zo!Iyyfx8c4Zzv@7RT6gzV!Hw)wHNdDV%~m<8`+;)QFn85i0#wRhZkZ$_;}V2>7Sevd{FKIBL7de7VJwmfKrPM-veHBgu{Y>3eiyY7mMf^x=nC zQs!CzC~Z5;z64@_qxgHI50YpjUc-;SbcpStsR9M!wK5R*$Rw{W=GDQxj}y#D{N%)^ z6ScMwKS_lco)Kbi_;{9AtFxuacQ}qoLF||g@kSnEqY>72BN=geN$LXJTO}agka}x_ zp4y>JNnNJlj*?6KBy~j^cLm~C2_u~n z?GEpL9YqSB@Gz^hP84y38pjc8ydh1=Nr=l5#IGhb6~EpK@vbPjLE=bmNIe;ldSR4l z5T1)ZdU}Xr&lK)>I5Vm5TTyaL0^&C&NZ#!M-0^=eYO)vpx&!m-)4V>I>WwKsJ%YPY z^KO*%O_6eaWr*LKhIluc*AG+oW9lA_G8S{5C&HJ&LJEF*U?PQjekLV<;I#HAj(On0FV|EYu)g=!ZDYDWN7_ z?#F{D@#`cN!e1fGdmuqlWAIm*4sn&18;8Hf1t2~mP4dD%h?l1zj!&6kDw@C@B^9(> z1^$X-UeHVOp2XAyOyMjWrm)|OW4{+qP%8-q;*>jgRC#jj5@adWvFsCJpgtP*O=X zEAiJ1%qx?K=5v_BQEhxW)tuvn`0OOa=Td)3{FRg-9tjZ5`IwrIspqMO7g6&Clq{y2 zi}2SX%$rCxU(zA|QUKx$spe8Y#9u+lGC#4B!e1%Oo0cM}*D&=Ore0M@Y6WV(fs)BG zNv-lhd}Rvavoa)Ag}N?0@wWoLCUaGYuSN0O8pIc5cs#x%Z#_KYS%~9M_1ObD z#I*#^TJQU&{VQ7_{;mS?4H<+c5%V9&5Pv_72d9$MW^}e0C7VRCbRgaLuJ<7R5sJ5I zh*;wmx69F6B6}eIu>|oq(Z*I$>NEduzWvWZ{8Kc#D+%!(3NQ7AulEN_+d%wtFT}TC zsht6ywcE3Nb=Uq7{|bG5iCHT>1k_#~_W&|P0b_p^{{|)BNW9qZi6z^8Js|#@58{7f z*85(bbxb+5aYsFf|AnPKNkIIM6w9gr>CK`K`ap%p2Ng9{i2tqftOCz7?KgBsaR%c5 zNkK&ozsStf%1r2BO%h>gL`JFN2DP z3RIkqR`&=TjigVf-@P6x8Y>Y02c0zvaA#+FyTpk9rZQAC!~bUrgJ)%a@75>;6=(aP z!ixrXlhCQ~>9_~be1fD}q;XfEf_5$ytz@WZiIRT>NM39F)f)3^`$+0S{M9xCH%=#n zS6nPXMLP{{tkg(K!qg=iR9s3m+k5d>5-RYPBIb2a@K**ZE~lDT=umM*03ImvlRUiY zued4z6`j%~b#)qd1uCwQNa|YhE91cpJWoU61!?-S(wPzzp2a`f+F){z-9f0t%RM}P zLlp{JX%3nvzun`(1yH#Vq2Zk~+`t2cEsaOptu{~UaP1A#p>lzb)CAK!-*{=js#*V= z$VCrAWl|> zT^{WRm6aY6)Xb(&anP*oX+I(amCyJ{j5E#Veh1BhzK^`Q1S+S>q*XG_b7Tk2S{=9i zJQFG>V?ciHWtwM84w^N~4>oH6l@nFcKQPT^J_pSj-!9j|NcH$VY}KOtZTH*xGjv;M-=@|sX}3Jzla_A|}v z#jy*`dJ}vTuY{_Taa{HXKccQ4e(XZC?w14peFs$4_mZI?vngjBH0x}rSotGV)ya_Y zB-6Z3chIaoqjQ%|P*sp7!&jzxZQ4Pz;MyKD0#H>mK*qpK^BT=Tv(~GxSH22W;3q?F zrr9~=pjoreS>J_W^*<6Bw=>PFlMb3SDtdfYA66gplFJ09*(u?m3AGX#bq)e%xaDtEHll(rxJ^$M(sb_au=+^aVJiZ63rU8-pFLhLG!d^ z<&U#q+<7U$=aaLVLvHXpXf_-%@4Q{GsLUX`zYkXKBQraRtj&=t5)PWD%o&`C!m4xd0r$CSrrDR*rpbGQK~u{%!>WJb z5v}6KzSs%p$qPSecNVNV6InVcF`JE%ES%xSp4MdZq{~-acRDosTPDv{GtG0IaMmBu zdo+IV`)ePl^HrwV(y5N>eG)mRF09mbP}c{tnt|0x2k&)HUV7p4u<}cMhVfFONNcl! z&q1?J?FrLyh_hQG*Uw z9MYh2q>oJN5>0Z}K7+@SN%Q2pZ+Y&0s3gfpjq$QE3Ui}D(_UtY%$Y3O>)qz+qB#1YoPLGw7EiK znhkvpnsxeIi_g$kc0-%X(@fLrbL0olK;`9VbB@kz zHpn<=)_P?B+l!#`Vh`ZFepYkjM@}|tj`(Z-Cs5f6ZBEyi=AVj#%^H7RJNRa(Y>qbP zu_FpRmvYdA@%Q^iLFJif^HG1+=BOsRgQh3ldHh>Y`HDpDS};vnu=J?TVySM)ZRbA? zRjpGv3mhPt*e8!_?xb1gyVxr+sA`1|(@fX1nj^aj@4{y7Gkab4F;tz8Pf$(Lm}WbP z;}n_&J#UNYP<5Uc@R3lad9}|$v(~joPrD4NnrFy!rCH5UO`J4qUUdGLi=pc5GjV9eL~V|1$;BTVz+fP*H~y{+sZOy7Z;AEvmb-$B!}cR=P7ShYYWuaIOl zhn|LIU?t99sI_QmGLAUnMOjtbG~gW#qK7iP{eiOB$r*A3BrD6_E2)Qib$wZxaW`d3 zZH?SfAu@7=Z8TE0P70p^&M+ChKT~yyO778QWyXD-`eGV=RCP)LK5vwj8IReGo`R2vy}|q%PxDn>gZHu)Dp@__dB5nb9th*a3vPsG zG7^MIpW`gxJekQZ3=kjJ;)7~QBBFX7B_fl3fX^o~*@YUBbxDxt8HtS4d0V0venKUW zTV`d(lbJI^Saw*7$k4Cxl;`vx@By{{Sb~-v`jdirGp6E`Y4@awjOa~LiM>a$kJ#_c z%8aL}tGaveu|l25&~MiP_zS9T@Iv`^g~+h1@g(<*GAv7`Hw@V@v<#J+k32)3l^M^Q zSKX+SXU>TX?HNy`SM`*je7=wA-GI3;X=su>;GUHkPsUHV1pQ8vxD0cVW0gEwpOqOe z3RKI}*T`iKmV&3MnD>Q?m8 zJDrsoFJx5R?uYX0)U3>SPpRq-4|!K9E4%Y4AKF-XuMhBTrmSpeL?wQE1pr^~%gT%w zBFi62fvU<()&_sTQ=T;7Ym-^oLlb={8@<*8>cf5_BXQ8qOZ2=FsCTGDhM0|aM4zgM z_HIit*-cmiR)2?OyD3@O&@Pw@llE$WFQqcQc9;v3Zc3B)Y>5ngWM9|)CjjGqm5Ge_ zXq(3WqkAV|TqeL|JrtD9#5r1gKbXl{>nMBH>mlzGGg%uS%2ut!vO6&sf1|xN_zTM4 z$&eSDiHsauQ<69CEwoqVB{HJdE{XrEdTZoOX(A(fO;RXZwN@uDRudWO8E23okEqeSN1UM85@ATyX zaF%Si{a*miketfOR!T|vrafJ`1qDNm|jR1#3p(5u2aEq4p@jn3EmSANmz()kY zA({=~ttU$dHhC`5;B6yT$BPR-u+ zj{nekWB-k~M&N7wx(jhFeAEd35x9(IPvipEcS({a8P#ALK>zJP!!9Rj8 zD~7!R%*%GWM3?c!hJQ>(TY_3oC26uzT&l*qkciI~Xgv>k!|Mk1K!78maJ=G79IlB# zt>GUI5Enx*%P2s#u|-CkDlUIu)-wP$=LbvyPDtcbC^mrizZkY0agBn)3O8Ksb+c{S z0J7&RA{P~E?N(eX6qj6J_9(8WBu?Cvw2e6I;|?S~Iu!vv4uwypU5GPIs0@q&u!qK+ zaDds9G1D`MGfvt}W)RogO58KK#Pxh!x$Vnc=OeDsP&ggm7If-+)w?mCjEz@l_5{xS z^Xg2WIlaaqz(OdT<^XyAf9q3KwfG6*@X$_Gj=_Y>^o))EF@mU4qpJG*vd2HE@N!Oh);7 zHJyv=b?LVCzwbd@#ZZ_ExZsLrm>t2_6KS)|z%|i=)xb4bGwT_+rly#T;#!r;!G&vy zjXq`Eqll{n3jggAUyh?TV>C$YvwCE{n2g{uZ$!_)^^DBq6xW+l4z9wt1CK5^yZ~{9 zpb#es92=$+E?kj>*=D#vO{!*@5nopP2Cn&BkK%gI>xAp)lYe~hdOZ(Z3l%d4 zqaI(fV0Fi}RAOZ*t`Cw?LFt?(1yy1$+tj{wI&;WmFB0M}&9U<~54{}SN(04qxYewN!X@BGu#cj~2e5Z72J z-09LVZI>fPhhgp93|z)#BJoMcq{L+}Cz;F$w2dlBla1oqo#ezF(ru^CA>XDlf4?*t z0gi)0-KA#LO_E{G+|z!TGRr7H+kqH0+rnqoGXQQ?nT!HF;^6@Q@Ynzr{;5108@&zz zs!;f!WF9rU!%~X|gT~|{an2dEfi?i{w$|)lJoJh{wE;v1Py6JdhY;X+DE!AI^wd2v zaY{Dg*r;M-PL#>=WAHm_i~J!B7S-fcT*SZc&AvB=0QJ%T3_^hAP*mHkiVt|1MFH?< zCgPniyG$n;f6&HE!Rg3`JkGiBc0t`b@1D7gRk0zNx0nnJ&C2}Im zkHKTy9tC)ssNyqyxmCP#Vnss)7=fbGHRl){4?e^}Hx4j6Nlb)!1I*rbBV{STrZQ_J zMd!%50LO0|sUpBA6#Ywg0a#xn#>gfB8guAOmKTHByON|V1$eH`0rGEJvluLDmE7;S zLq>oxC^}Dd0oXV}jFC+MB$G?V#u>y#lgu*O&EV2WW*Ke8<6ChV1$dF_bYlDQvkvPK zR~(AkdYy4O4FtxwQOy1*apT)r@EhHq!$2T=gPN45xGolZb&py*PU z-USzVGJ~PLOZF}fk#pi~U7c(HoV_nj%2I$=%9OaCDZu5N41irWq+f5p5~q1;Jpn}> zJyzmsWlv7yWnzME1jAtMt%uE}F~*;i{K6m_@72*HW3eg5f8}$p`nQ3DO_gDEgtspW62-GKCcBsFA)!Y zL_9=0UG)QEn2d{dxy1v6LKHD)J&47T&PBI03yh=&=)AEAp{Q*n7R zmw3SS%h;AT23+8bm8Cp9Byk=FNxUxpK0LAjF+2@L5Br>Xz}3*0o%}JJ!^=_(gHjyB zFu}v!+tiJSVHy+-NxEQY;rrh)T)@jx48sKv0l~xG$Tiy#!!uAc!i|Ru`LX2B!zH{d z#SjoYjLz`7*j9H-Kg2K{iblEd(BXgYA8=tf#v#Q}B>Kq`KgTd?`q^y|!wg&_PIsw` z&N8!eTpqIbHHj|KqvuUrWsa4l7)m`n9>$1xxVUR85)YNQ7@h2bLDxYdfG z!pAW@DR?Me(eqry@EjD`=hoS|8&~sVd>$WzaqpYx5t#Mi4LzIsegkO|!R zxHzUueBr|QS-Pqzz9I=`kK#&+_(07D3T^ueJL4 zSv8qrhjsj}bH2~4XLOw}SVQ~01apl&=8_5RPea#>aXnU-8p1`u*(M@qk;RScWJ&fx zJyM>OHQ+2$NSbW4rEN-@;M9n;AOW)k7kzaL}a6|R=9K*8;gnRVJ!>HboG1L&YsJ7rd#3{> zyDc(zT>HHY1Ho_L`iYmNxDKW`u3u6dSHINZClJ>%Tn5?34afBhKRyxKCxYv!k71>_ zepNWGqYB3r?)4%WV=l*qmXj`g{hncVst4CGZja*nO>|LziY_WT;94@B_!=(d?03e6 zYdu#hu5bajM{)hdyQrW?#MjmVy~(B0>riyerHjJVq^lQK18$GtBFjyax3+L99G9Es z^|-0xgWZVh4a|3mFI=y>dT}-8_9(8}Jic&2YmVy~f8kcdwE`Esc8M=s5xaVEotzjrT6cC!#I+KF zUbpya<7alN2Uk08kK#I=pK5T~Z;tDd5x-4BT&r+d@RUn?yi}=PT$giu6jxJ;^VKZC zacPe%nTNPmcw>pw?}cEBXeBmN*vdLwfi)PoWCi~(OfkRz)@G~aR^_Rm(h0ryw zR^1`t;yTQU%-{7xe&UvF)OAPf^^90W*M(jCYv}rI{JNj5k7h@W3-Gh;xUQWD&%Q=O z$`jW^H)c$(n`nQ$fUeg;u(Q|ssByLb|IYQWlv$6~b@q)k=9+wyP#kr#?GNM(@D6^e z&=z|9s7Fd>ldfTweMyVx@-V|MAF{HP@aws2jQZPNAND%BUXNdbvAJf0RQx;vD^5(( z>zr|aaFcmgf@z|V!nu)$9>RH}#y15BX9Ipt&K7zcPLaj60q3Wr8Dd`Ss4oRrSqkT7 zg;fl~*%Mnh3*o$r-(N^tV}ip8$*eeM$MiJ)aoKTfm}7j*9))vzfWg7mv1h=iPa&N5 z@RJWID;ypts+Sc%zUzV94bd|~G|Y+`4YNaGDdNN0TT-T0AJyo%|ekg4^>PtQw z^%}a~48eh3m!saDV#SZ^+NnFj?})In^r#2%?g%4%>e?e-bp0U&2c?}|+a9aRtF4=! zEu*f7B}_+M_pF5#=z0r&3fIMTc!tK1AOENwX2VRkA*k!e{3h3h8}}2}AK~{?63$1B zA6WUXTszkFY>Abnt{?X@*Vx0IRpZB#&^3OE;c>s!HSgh)thAV~49}C9@VHE5-}NTC zM2~hes<^>G;RJmqI6Zo_UypFM;-^d%XE^vBRI_W^|Ep6|W|?bDII*Kn)>v5zr&MBa zFdX-#z8j5jw&5pf0#-Pz>&d?1PD~u1iF?w_4QB$saKmKOHQregT~D*`It)bDA471Q zTTF;fGk@1FCoHb9>ldzjx8C|Ay55f8+>>37T6CIuyT(uWnO(E585{S8n+&~tDZ1VP z!MMl8wdlF>c5Ql(kP`l+xvooMzmvEbY9y7U4II} z$+kww!p!#GnrnnRo@QPRm*O{fS==HWhoCU1P`kP56v_8kSjACf-7Bkz;AakJNaX% z?`LHxhSh?HwHY1{cXTL7B8EK>T;sw6ehG}($sdFDp(XNJFgEM{PJm;Oi$|P;81_Q& zZN)hr@VjFFJBB6!R+jScPKIN6Pw>#G*0gzu;cEzP&|NSzV`ly@!xD$S8b4#k3R4Ul z1rM7|Jk;&=7-IMaf*-i?(1OQR{&mqRVZpFj@Q@ZfeBfV&YPI%3aElucZGFs6{utV+ ztSseWn|ZWwOQ%oC^FqU>8gHMLqLj8CNI6{breKZDbeUBDx|Fx+|$x>6>mjZTes0k%z5?7%_0;2N-GZx;kMnhgh_CjkFRnmbzu*TQ6_J)dB9st4D6 zmD!_wT_WPEy@;;?9p9UYxc-A52X%|Dg)*~KJ-A+ym_3TCgV!{a*`|*p@1Xn!#U1?C z?txAB;5SNHaRSG6$ly4k*6T;a%q$sWp=k!|zbsQL5`8T*B?;aS$Dy;!O!ee53UV9W{=|P zlHjBBA1cgF_27En8bUY799K`7i)$AKj>T&y7x=0d*FuHaqqy)2 zfnAC{F5>Iuiwj>xTm?`((j~siFVUEtYN<#3GB~qGaTSXADi-n8=ZsSZBd*#|T<8{G z;=Sl9L2=mQj6v-$n~%?l2UiSg4~>tgnoPkxCQPJ-fzE<8kzB$=JOF__k6r5F-E zUKdl-9K*tk5|1E;lc5+t7hrjZhsVQj>i>*k+zx9@PE$FC84|}Z_mus33#*_36i?G! zF#M_g&ln>Au%fhW7FTNQGK0)kuKU`Lu1|sDXKhu$hWObf(}@kyRcut5&Er;!)1BsM z4V{&x)iT>lDZyL&GFzLfIGNUt{@E6BoeIUX{Z>j4*FG7snC74fhHo-N_Ev(~CbGQ& zBCGP6Wt&jXyb(RRHdV9$wT+G9n(u&Xp?Xi(;4g^F3&jg^`7&@>F;HC5y391?&h=j;F>fC_>N*{aD8&p;TS$tQ*b&IzZtMrCu1<$kol$6WH8uBWxsg z7pq)QfH**{iMa4Jr{Zln41TOU)9VeKy(~Bbia$y^1GK&AOBuASRY$AX^lB;r?H+?0 ztugosuBMm;xHDj9a98@ITIYR%0MCTtPi!rgS8-F#TulbRrc5L{knxSVV+IgR?_m;| z!QiG8D@+;Oo#X)bc^oHIiAdeRxwCZV~4rck_3b;jjbvu7unLxzi`$$uhqui3Rerb}`CsBq#A zYIfo>zUPL%{x||W3yKf8oErYywqa`r?g0JRtc{HVJe1BM?w0aI(+9fFKwSTV;$K}l z71Pu9gb*X*tV<`-CShAv#1)sR7p1s<6TRMFs-3uFdbiJ34@ZE_p!j#qxn`p+b#|Z} zplO)PY8FgGK322rvn`}Nkr}<-ktDN60G4Y*{wggcsAlX8%jWO!DT(KQ{rs* z)d_Ltjp4G(jHZfrv$Gq;RmaC7uB5)5xRR6f*=xREhXBukk~%KL{kIY4)EnErVL$;! z#mSliJSD{eHq>o3Thd5w^X?HGd=@l^l2iT83_6YC%9kmO1;Q2$y=ZIAETesiZ5ezD z(9{P|fQ>UbHG9h^ss79FABVVnP;y4X1y>87*`HCf)=^1bHJkmQDX~d$HP0Pg;zu1W zIRIn?buN@N)17gJ#dF$(8w1xY*^JfT>ym_7=Gs)F?fp!T;%ceo@Kthw=c2>)7b33n zprnOMJw`8QX2dxmqUlV?>oFoGtci@)V?<0c5}6TbS0-6mimOe6U9{k+w^sYrWSG4se>J^7dWOURU?ZgkCyEZKvOI^21Df|cS@pGcdr zQe399JH^#Q&gJVCsd9pH7vgFSB|Tia0@IUF99Q&q&Fs&>b-8Yq8BOl;q*+FBg-1$E zMseNd&=vI3-;Z5(7;&|MlG_z$zHD>ElrP)-EX5V=Wx-&?S09yO;Bg-g$xKFZ^$Fzg zg~P+sH}3rgakYh#ySy&Atfv(tzO1Jeg3G)S3VUrnw#+d;`tzcWA; zZk6K5M~xf3U*&M80~WM!{~OUfl1z~0B?Q(_9aA0R=AeZ5h3Eo_=UvX$mmfFTmI$dX&M4m`9}mBLd(PNgKeMXL}EHy&6|6EVvX(;*tx4O2+JjR&jKo z-z=kT!*pYifS~|QH|01$Q(L0|C&`?_gdO0hGo)e3Od$en4<(b_&Jv>&W-t_>?J~(| zyvroB9sy|HI6%{-7zH>@0Gwe5hzuTmh;tI6b76E ze9o8Cc<;J1{pe}a9za|jpyWBtxn|YsCQb}*D6Y9`4z8Q$Yv(t4elX&?97^W-TyRx0 zUr{l{qPQ07Ik@iG;JxPlM|UEwE1+bN>Ws@Yug~kzHbkNIXzSRFraCCa>Wl}PY3M|8 zElu*4u-s#7s)L)#pO4tF9|2woC9lfP039pTN@jj7K}>^DfH)kmkH<04wnz)ec&Q_l ztZ=E&=uk_`GtLsjcn}jpj0UiVw@D&%ZK35`(~L}tt17obOEgctU3-5=TvtKK8kY*S zO^Q-nwmCio?|XTmZBE$&t=o5j&a+y!Mu1mC$@?kiK#NYun4K6s z+ILB_i~_Ws+$ccX$;|+G9xH0h9i*k4Kr7iEXuf7WnON=&CF!&?F4If(q@oO5TQp{z z2b#k;j(vcf=n_2xm$=xV#C__^!PVy$ztUmRCy47BDEU-##%1g6DK3X$62r+bxHo{6 zUZzWN?e^s0>iw{%Xz!G+i0fJ?vA-+A1|O#7SU6v{k&sc3zsk%X(R0ORS{jDp`Z~=6 z?OXfks8>bi>3Pv12=F>6`6l2@obA2}CC)aJXS4+I(%NwWntDhI@P||mad>O?P^WQZ zhCzmsAN?-4#M7mmI9nwfxN@&d8Ij~aDN6&*^rS1r^@~3TSILot_D#QCh^q^f{E~6O zRm;*#7!BYbo%tmGC@#}VBaAP{TeIa!Zja*nGq+*h(momJ`|q0(S63+c-Q$eQ^tLwYLMOSzS7d_)1C6i9hXJ!cip%sADvvMI6QD$9 z;1ZLn6qh%_I*d@`MDCgCz~A>=j{t9gP$S8iIMd^HoH%u%k^|86m>CCXdc;cr9O!3d zDZr-QoC?J;?E?p@E=F88La1rV8CP_wrBWzy(dinqP5e>fqBApQnNgv0bhFGLPE2u9 zT+JODz_BX^zlXSbK*;BH!8OkT*Nd9jpMh&h$}BT*E!E931DBX)rMOzAbNIqQo6|aa zD&p!1p;iGGT+1DBt$ z?TpJd-lz2#el=jmKyig9S!9$i+agH@U+a>rEX8%1l8fs~|B{Y%$?brfA=JU8gN<%b zm>qJ=Mtp7bnq?H1Z9Q~?%e-;EOpE+bT%GtdLg*UB)-Xd?`kznMCq3;g5bBh4CeGGN z7yvi&CIGTG1^A;y zSjEpBob&?%yd6Tfy8*P`<)Q#>-z&(ALG=KSUkdOpJ`oeTH<=rQ8+zQ2@5~hVA#|7S z5`*F$1X0D)BvwsCmLI@9GAl~~_7eaV2Y^4WzkD77yaPi0+yFY9UTt4s$q(QresC1v z0F9qs2Whzso_@{^TM=L{2tBMgGZ^l}tAsNc{ZL~p2nJtBnq{u7IMUX~^eDg~ItMsH z&jr}X``|_dcqfF0t1bX<pd4LqAdfcHXZhU&uL*{EP_0^rvcS$+VIB$+)55FaPW z-n9wMm2&}p>pguD0_+Q+Il2qL#@wI)xL;#V@@McMKR60-zQO@6QgQ*#n;Bb%0Plm) ziyjw%4bo;XMkD#&BFm4#Z&hZG0$ieUfUl~#0GprQ`+fv?KZIWKxd1HCm_fnd0o^Ri z51^i4WhuaANe=K`-3G9DwQqKZ)f*6CKM1`pI|GdLk(faau>4rYEXxnz&Lk^K0lx3y z0Jqp<@b0djXSJ(ZAixJ8v?=KV@K%)>6abI<%(DCdZVRxo6kuA!;0}8XBETL?AFPW2 zAB51yE-@JCt}ufF;7=*DEI)wD6Ra!+_*w3>|IJ6z&m8UWIO0+uv{Q8^E*xfN#64l# zWe34!-UxozF+w!sW4aXAS8~oZ9O9a`WJzPh)gMA%>CU)pH|lAd9hc!q2!_0HnU<`k zxb|s0;=cFUn#8RIfzoaZ$(eTmg!U`W039FS_*G^HpEtm0BVLvQJRksO>=B30G}il~ z%hd?*Aqf5C2C$YTx+sGVk4Z4VH0DsMmIK*{j^3cnOYaoOUyF{GrtsSFg ztGo;R>-p=N8U*q4l$^Vm!TI2cM#`F{k^^k5MM z*f=-h@Okpc%s#Iot|3s`*d^kkzov6)_C)$#)3-<|uCruLTyr^>xJcIvaK^b{D3rQA zer%f=4D(I(MR$oD-&&Oh;V9p|p)lpxK`6GH{(pOArrAP+aX(Iea0m2bwkRg}5GtQb~5^ z%l2M6#bx?dcK&?zN;0k~t`4GwUX`{58UkFt^2JREa0HZI=`zD@dnTL$ba)YuIVJxI zX!lWwcutD~ye7a~f@}v^+Ep6ew?_&AJ_e=Ny4@NOCqLfDnaTtHV4N*u_g{W}M0h-ppCNcxy87gC& zGI)z8C-h4F@}c#2k(uO?Puz64`)eNJ8U>~HPgAhV{;0UuK>Sf$Cfh_tam5P!%pb>PdZe4k3|w`6OpoB|Ur))! z)h{_??RWhV*W*yy*Y8q~NtM~*xNM)%ptx-33DOe4c0Mt1)t8w)%9kQq!b3@0Q^mK( ze!lHVv{Wz}O8dLCgz!TaLMUPOI#HlKG%!p$;YZyEpaLgzMh+ zLHAjH{P98xu3MYJA8)DIA8Rwv{pHl@bMF5du?L~F(AGbn4A;Ghs9_xTPuY!N$M>31 zwt4i(obp6o_^RLLld zc>_#V17)lIM0R@0BEtlj)@P+WJ|%M=pHl2Rl9k9^c`Q5l>{ZBPF_cDavB`O~ah4|j zty32#&ZBj%CNCaMoCzMAAalmXd5rW-SY()h$Q`nf;q}g(0OxT|A}=17PX!5iEP>Kz zWouhHE|2{ElndR#UNYAnjmMbaOz_yu=gDq@$9olv3=II?7H)x+ax-E1$vcoduo9(@Y4CwLsf zMEJ}kwslNFB*2e@w(GTN&LdktUi6R0ZPyDbI-|jYQYc-O%p)Gl5)4%J@EB3e_VVLV za3**>Ut#tHkHtxg3=5ww9Sa!DEG0h6)gwY>mgSMF03ze*NPey{fK99>+lGu6+8(=h6&k_3$`fHQURN zN5Prk@gh^4WzW}<89MVJ+V%4ZA;bRh=LB!pKjYb%ydf*v^=`@ad_Bv*II!Uri7hgmK#v%zxfImRs+foG_0SS98t;j~)FCALlXF*(xJE zmhVgnJr9(fnqfSadA)h@xMlg#mB^zCW%V^@9?Pw_w2X1Mjaw-19YF<2k-hLdp>op$A&dNCR z7%tG5FB*I4T+Y@=xiVKC!`3^@C(fhH;L&R<<9eo>j|q7^hC#E)Faf47&r=>H-anQ} zIsM~t+x4$~M;$^Q%c1N-#f8VlN#?(Lcsx60v8U$NL4N(C;7r705437*g2#qQiwqNB z`XW8$QRe+)nVet$IB5Ic#mHkA%C2_lA5C9{uO1$)Pu-k&JPOVPkN4{q&isV4b5)BB z6A*5LImSkL^o#!Sj{N$^zxO`&H}V*PvYTD{$FTKrs1xoV!`Da*JFOS>MB`C#CV0dd z!R#jD@lwSi!vut{;#eq;{e8TD>@VllKc4)+Ppy#0D3smj)<1UVbyhv`cq_+VJv<7| z1dk8z%rZDYj~Z`Xn|!Q&9w!Wrf94t^Z`d>wn#%Hw#^KaS6*e|$N0Q~f`>Adhh`K8{~DKsklmKoCzKST*ljVtdOaRd5ZC}NrvN| zDWZRzn$tfXcb;PP6@Se}9xI@1qD%j1KW@U~_zivrpn7=xP%+!fi^rJYOz>EoV)i(X zP-cJdk6wqRC%JPOVPk52>yKiPS+ava%YH_l`ES(=a`k6(-a@$3Bh z$J_6}@wXdcmxl>b{gg z`VugvLDHGNi0zIlI<)tE`O){V%pjA)Jz@G{f+|7Z+yL{z>5GaH0PhW>;{6Xw-+6kT z^!@9DYX%^FQ((;5iVJuHupY=xSiPI;j67((5a_QS|m7xMezfK7~jK22yM&CJm z!*h_nsW9du-Gx5e{nHbsFQPKY)f0V!DnZ{uL}_dy`t+nlh6#v@H{fXWb;&pSeD%-X zfb=~LV>-Jm$97B7M_g%&??0eYQiR^Vqg=Y+h&H*oqW` zOru#nLHa5>C}w-c{i)Sh$;QU}zSx_(MTQB8X(pPHJ~io1pTpydv;4!PI^@(b1ICnk zo#~6cBQv+v(s*O<@fxod`eK4A5q+CXv}B_%wnbsb!3Qoe^OOzJm+cKy0r`59xbe&6B?JuDe?x zeb2&}XEQGJ?bDds>Y?xZl*OKUqVx%>1bsiHnGZqVVXsAo35flNiRh%(m{*U}_c=D+ z+Os--hxE;aF^gRWE-~vH3MYIIFZQ>eVW!ogo+y2SDo$U#2KT|~ix()&FK@i@x+Xo0 zzO~6bqiY_?6PX(C z?ExmF^!=60lfETi^dpZWJr84!rk&5cwsqAgefF_nKJ?jEZZzn#js^3hPf#W3>%~|x zsPdDD>|>Q-3gUNL=o@=-Zr^wO=o|Uly9<%NB#f=?()ZaGr8MZFJRfZrS{$L9K(Tzmk*ng>cM&EP26Q3h}b75>_m%h*T!m2@^ zZ7e9EZ(AR^I)gG>Uqu_Q?IQw4^nK3{gHoV7*h}WxqkUgoP$lRaAbYZ#pifNGQW@q$ zd=R2EHcDUHeEYteZm3d_zIiaVrAyyu`#yp}pKUCd4}G@PPYwF4W5N8QZ-8tNfON2T z%;G~;CKL2MYL%e^#2>TL*Gb7U`j#fI`5Nh)4`VyH^nJF4T`7I`v0y&*+1~x9^x4LO z`Ozn+67-F-QspO96>7*B-9+>iBXTCg#(Ps9fo7p|9Gb6PnA>01b6 zANM&oUdM5tm^&ko^SbJA9QTQNH%ftyhii*)*Q<`Wpi0n}P>>zEiRgP;$%;(F=4aAE zhS7(n?6=8}PoKKztwu=SA{bkqaG`Ij%v?~a&>_Uc+*#iA*;ezQeV=LGEr0q1Rf4`s zg<%%-J!h4n0>tNdg&xv3Bb_IG*K~|`NBS1S*r^^D`gTdog`iK&o#joRy@n2~{uY$Qa!yrT7ctOEJgTXy3OY-@Z>$JAQ%my#!;Ix%7RG<32HWmN$Kl z<32I(mOp)hDnVaL7qqZ}%WGB{DnNXNmA-d<`9vR%X&&>o+>G?S3}ZEyzRz*oC+5!b zrq6atpnacBmfyHfP$lSF<;$h7DrJ!o`b_KK)9Blg$f1vq`*Lqoj{PKXqf-7C()S9C zO}q4cwnL-iKHIfyUT5C;TA3XNrC!Cu2=7RnWt6`7I>{`fXWlT*re`-wRRYUaTx`*! zH!9=rDojuGeILjc873gUIVEIx>e!b{A97^O_i_4+AGodxX8pMR(6vb4QW*P{=G^zi zKjLob;ik#qew|@%sSFPQ;veH*VMP)LPeT9FGP0+Vjx5zL7@qKufo`$ z11|La=3_1hJQ{rwk7SWy3gUlpC`8Yo?{}sq=&SHp?WtHc(h&a|8>K);Sw+o^Sw`uL z)VKIBW?TeSoW6>>K30~~S8O~^WfFnX*dn9!MVfGUq%4iTil(x~o=WIzhkuPt(08`aEHmh9l`zYU$$CMRpwFi> zJwacKv_*yqsAwe%8PeBC&!La^eYw}X<4)HOE~TU5UVWdv zFI98xRq44hN}sK)L7$*X(AU~Zl^^YeXX0ODqm<%LsJKwcittLr16?Nu*az9WK9#s~ z!R($$&2kubj@L>JWLHBo!J)+`XL#``nJ%X^AA!YRgK<9FNtqQlF-wZ4b|gIwu3to%s5>yw}NBGlKR;>HZD_)iAG1mW?PUV1dR($?cGwcg2x?}bUX1z^#A zNqD>oYG7%S)g)DK|C9hs>Z8D-d-3%7j>fV^uTO0lG2yBI!`qj@IZd?x_u*I$0Rti+ zXXFwAF(?A!LF8Hl#0m%qyKF&3F0tH)903G&ySr^#V7FZmBA19)MMWqG5xEq_ynu+D z6%i3R3L+o~{GUlWleU}kfA@Xg&o7_P>~@~{&hvcdnIn@)GJ)Lw60CmS0b_3SG-=Fj z%|5HxYRDa^!0L`NGc@)+g+@05)4sA- z+~0WyoZuge5D(GIy2!Z6_kRxRYt!PuK&7~hl?X8Z@?k88Jk5pw4RVU|mQ z%yS~un0X;Gvmf6aa6FpWnJgb97t1Dm@+U-(tZ(O#z`AYm~E$2#&;E#H|_Zsd<-*F})Ji;#7nL^R%%G4SYgl>*1@6hGcN_GfV8_P^2# z987r4i9mM=CkFhVB9d4s0e`75{vlRZnVl5d0A)D1%km)2&>-t>JzIGh+~rY!OM&Vi zpP@`F*Sa{kIR)JJao{dSisrsY!{7k-y%7?tf@xI*81$${V)scfYb6hp7AquHBMdWJ zq1xJdthNB|S}J6AQc2qVPH-R7U|LO?nwwTmdur|an&5sQf7TVt@K0XQVM}rtdnA$+2NmG0CPtDr$ z0=NmiRy??$P-v3-N&Ayy+$ks$z}-fLsq+MyG;M|O<+bjq;BJeyo<>{kgEYzAK{)W{ z*!xfn67jg0&W~{+&JF2hx0ZYxN*F356A9WNumFK{LV1UyJ{mk#yMb?EW^Zp0tHS?R}a4V=yhDqC%5JA-?+0Pa~TxaTP}$(?C``Ds6TU>+vTRbg^}J58G0 zU(Rs+c?jIu9Jq04b9+o%vNJOH(t9XETkbGSsix6f6Anhs`YRR#_k2|7LtFW#Ex-N2 zx{r555hmrSFkzLM>mBZ_|Cdj}y$Ed;psl46Rp?fnt<)#6oiD|tB??TKz|*8jXS5}& z4!jNS<*0BO+Sr zTV$9%NTTYe&kP>1)qft`Tf-Qf;NHOz;0_go9j4b88L+x~ zaQ`J^;KBX3LX+Ir?Un4^vB~}|fcu&X(>JoD%u`Bsp zJhli1WO&-l^@q%_&0P+j5*h{vcuI$4YP)`jz0oKG&Lx#-j z4hFo*{W5*tEb!Du9ktQ?{Z0a`%i}MsmRl+XW^Nl&X7aQZ%wwWM)_Y}>BfGXOm8 z!r)uy1SzcRPsRM56!3J`o&2l=e??$M*iQ6!!?dmnjKjH0)RW_0Q_gnyco2BH+rhhB z0qF`yfITqV3pfo3NF>%vfxNH=p1xt4&GV9D@TQZGqR5E>A!#y8N*7&2cGf}>51#%( z@Hm_-X`rKIhsB?x2yW57Bf=P*;CWqV|GJ95?BE%r7kVsa8-uOlDS?z_qJ)8ic3X1>#e2wT>AYnlBc@YxJS1<_R z3Fv7Bm{x#ki-RQXEeHOJfM=;pVv2~rH1I5QlGt({e<71YtN_`^gv)(@#H3XST&Y3! z?t7#hJ)u_2?OeXpCdZnS25VZ^I?Rj0Mk8JIFuD;KGSxRH3Vt(DTD<9`O8(w!%p9xI~jYC#1D< zUmPt?svuv&q{GZ1o>R_+op!AT&*>n@M^$jOF%>>74Ekp(2cEM|40s(iR;CKajpv7b z%Z&!l1++C&g50wTP4YyP**X6C;JKlJw>ysUIA4x3^xTv#ogHuiJhxTw_E5mpi79kF zs~u>0>NSvyOBgtiAxLw{C4!G+e&Yc-B?8`l=%|}2ODe5=F)DW%$YsOe9c2gCb39FQ z*|oo}O-KJ#2xD-9T%MyzGRHl5=-e)lE1?g+LR;q0FITo*T>n8ukgJFw@3evIC4uI0 zr3q_tCcO@FbsNa{$sku_NpcOLW=^%wK(2*$_F$+i(p+*K$IB<2Pk?;C0`gu5xH2@F z$P_k@JXx^n0gzh=;GGr(xv}ZP$HG5Pd3YYkt$Fay#7Y_C zq`6$@-Pit z(*>I3nkj4-Cp`{wDkgQ(K<>zF$(@}qY`ste8EM4C%ZQ-;{uzX9@qFv#N~ z;CfS|Nv=%i;-(z7jX??q0b~bDl3&&~o>?CPc?bt`9)`3q%_R@DJ=mencOVZ(8U`b?uq zuCKW=C0bPhd5Hk>TPnzl9W+T^>KHm@+XeI=+PP^5+3#dY%jFB27tt#=2T>U17090kF^C|qHOsY*|G1oc3CQam;Pu)-#xqHD_>x21o3;C$0C|%gybG`$9=FpZ z*NNbsv6ylXj4|J6Vz@pKjNxGLAGF4(M1Z7lSm( z^_M-X_r=a2ACNKdAn#|k z=;sIq34?Xc)G`uq{BR0W4Y0kVthI?g&k{sZ;=VH!JU z|12_d4agT9Fnbjy{T62GFLF0qpXvhgpLUR+#`94yQ^or)v14YDiSQHK|>hXGb-aVLqD;PDr(tIg75r345#RTz6$ zgen4UeH0N$D^jM>ASHRgN1Oy#q)!COa#)y;!2nO6z0VGw7vI9=Z*lh}h!$Xgo* zZ_^-&H5I|z1lQ*9ItpVCOYr7ni0q=9Yc7G*9_Phf>?G|`1-y^RFzK+arKJth*99T_ zdnZY29mF7l=Zr$j<82`q@6~w$4o&FFrs$ytJWF~?y8Fqc({X4*S3asj_CA3oWgn0x z-?};jyzM0n9C&fbFxr2-9l{5E#ruGljQ*|AUPp-~b&j0g(cug5b`?N=Uk2~9Orf`1 z_^-xGZiDxE9=kFsHP7*0yS8loB+S(l+rj4%$Ub4G3bTKWJbvlE$>8m+VQ_%=MJ%K- z@$&W+cKI)y#JPkF^2RWD`)Vv{q_n8+_PsclP;rKc}`MtW!xm9S3p1MukqZ zT;m+$s&uW2r(*>NY^>^tLUUzb7RRSddm6k`FvsKwcqhp;$@_-tPFtG?-sxDbc@lW1 zI#|*SO>UV#8oaZxT-i?WN*qg?tuC!L-v!>eHk@Ih(l^a=%?pN8K70|!x(Lp&kj8D1 z=E^B1KU%sUlFtod5W$-rrb%88w{m}(ML5NpIK7>6VmQ>ue!net{w7_o_D^3=JI}^l+B!f z1ibIt!TVkqydNpVau8!5VeI1|iLKQz;Ou@G)0nng>N95L`{4Zyfp~F|)|aOm)A}ke zefZGZ;9bvycXb%jem8TSk^TsNw;jBp2zWomq|J7gYm05tddKJB-Hi4=4`Qd`Xp(m; z*R@2cd%^o9CaE%bw{e8jHWdR}$0sW=wo}Ak8l>4+wltf1Pq)Ku!27idX{RHQR@O9j zmu<=1wL`%BE#~;b3En*sqT_o7g8<%t>0N&>kH3PDwos%*(iTQWZJVA0-u(*nzb!!e zZk{Hk?^Ya}-u@Q6KRO|;3~KyJrb*tz>Oo(M7rcjp7?9>sl^8pQpkw&|xJF{(2nGq# zR%n`LhqQhgwlgP9@R5I>M43R~D6cragFXp|LLo@Y#qCA1)*LY!dj2 z*-5N8-mxlfgO@I8G}}vm+CLgTcOCdjqM@%)>pa96qfXkq$lawI)dOD{9CllC;5ARp zzVh5}qxzKxUpZ8ImkhqUIimh<6@wjo6?qb?B;qd(()*kA`-dNHeSIVNDy#6)#Rz1y z7pbv~4z|ZSeYY8WRW(SPi$yra(WJCf>IZdK+yy>76Q%b-WvOi@}Gdue1%QwV8wHc$mXq3it#ki8Yro@Q~3(W7)b&XKwu> zg0BTqAHzZV3Z5pVuh2#g{$UUJT49dr5;8*-hVy+-2raMWeF45UJop|Df=|EB_dONH zUue3mUb&(Je__29*lD)(MYi1yYrDbM9yLCT9!}+GQbwv;ZSIgJ;OmGvmSa19FG!Qp z-*;qaJ1>E+vkK_}C!~L5CIy{mPmDSQzOFK4bP{lkV(fj-ha;8u+rifz%iJAlz7QrJ z=z*~w80#4!u@^b;^}>4lL}kW46?dJg^Bnm4$dJB9gN(Wknv_wG|Gw<4X5j1RfbmM-8g6?_BqI?ITFFGGTi?i$PXy!~9+sSkl~5K>+Epj`D#%o!?|pvYgn_?;?>!EDYZUN( z$P-ee?S1F~Ur->ikL~y?4C$YPjfVjf^w$%ooczd=agNljB^b>r)O@g-{f-q|T_A@q<+nhQnV7q-y(V3X-T zE`?ANg)~))tfpBY8$BZbaS1{XN~FD0WL4Dy`M{q;wg?b}3uJ@pNB-Flp}Ju*B2Z*y*#cR=cfqA~5aLxbtWe}Vk_EC}!@TvCAXHl+ zV-rPIa#|qk_9|#S3_>*}GQd$}MTZ6Q{)wAM?C6cm}FS|F>oO6zqGgi0u6(n66X zgBHjt^KSKc974rJGV`Ix5{d=#Ui;}|iy&m<$*hYai^~?s${o&6oDLgq*~rw5B8y2D z$b0hM54d2%jWC)2Q6xAmkd;0;ZL4SvnleXV1caAu;12Ou;C(3<8Rn0@*my; zS^lD{^cmQ2K1{9?DDnnpfh_0lw>}IT&PwEBgCeinERc8Q58ZbSHk@&gD;A2p7O_B< zeectob6^9myy0aJMP3bCAj@>C@0tc1PVwZDiz2UR7Rb_lO3$7N8&2BDbsI(grCK0M z9g~ljh7CU}Pjy=Y&a~E%VUcCS+qbF|FlK#Z3nKQA#znPiX3K(Q0qh6+UWVc%+=0>oGcur(dqi;|*;@&WuEL4(B-woyE9vf2HBt2`-d$ zr#gooV*ZTL30JCZ+zR6yINM#pQJr@=Ep*y0h1)#|p(k+ds9iXUeB&NFMNWQ%qh&AE z>FHRJP38y$Vzq;Iq5{i0$F@r-5WS#=_ZhSfKlXxI#$+;wK+AsFNB`e$gNIl zJs?=9m!vG<6K#UUrfNa%%lKj_DkyFn``|^u$&O4MXI5 zM-(}^hN;s;raaer@NNjb%8}cNQREwggBChV%HM|nfzV*&>7~dCn;jO&5*x3b{}Muj zQ0Ja-ROf_cHVb6&;x)f5389Q2x!oE?PF*frAd8)>`F9HlrQ69}YP}X)q9N2l8aZT)`8GO49}LUM9D0sLm!<$l~n= zjOYiUu>!g29Ys#==&;aP?2Gyfa2Dj0$-RAwe3iFALh0+@w1m(ofh>GeWJApYY2(h9 zt_z_N8o9RM2BqCBU46t+N? zY+Jw4uMo<{_Bog7oN2c}mY6fQod}^!)cKVZwLYUHD|-yj;+M}1TLGcDPV#&~6gmBB z&_ZXiC&o=(3!yhT@)!lxSw*%$g1BtLDG1FBl6wqMsUqk$82sAVO~EP-G{;LZ_{7kIPshAL=}-FpA-wm{g6R~kkSW72YrWd1x|b{AgpeW#EI zNutQft{~MJgG|Y8F>)h>cB4*QV8#PiIo^1HS&u=MTp?bBSjgeN?i#@R_r5l94l*l6qQRL*6 z5sMPR4Q)PNYj5Gn{Y#4cfwMr`ZZz644nmty=SkX@rfzgnXo zz<#vXL2eaO4OD&hqb|Afl4n=~3ixUZy%@ zkSST?`XKUmncS17$YG3U46x;Hfa6%z34N+va6|zM84j){Gg2O?;cZeu*4l7ZN z&f?t`O#cH4_TwXP{UwU@Tj?zJso41yysvL3Zx~TzrLaYbpwh{YhCwd2d3*|hBF)u< z80)qQ2S?U{aUp!SWt%;UH15lWe!*6=$U$YZW$d@1lQ<#=_^8Zy3Ltb!0&hzqBK>-N z7t{iw(>C&~0Ff0VGLq(fQ~+;tDJqLTYtWn{&l^N#(PtAHisbo(sLXhJAx{mHCm5nK zh_iOP(ZYVt22u11*1ux!R_H=&4=ym~`q#BPRy|7X1%Brom| z8RE!0KQ@dDdCo@OmWs-Zcd2Htz!rBzm!ZDsds!z`@@`fX7k$O+ zSG2p{PGpEPUIO#0Jb4i;itFj-(f<0EQ1%uVmErqnD9b&J`sV5SFt2l&N@Smh$y;y+ z4xNR<;>JC;Hy(E4k1i63UCHZw&#BPiw6o zwGJ|~?MZkUg(P~ga-zIm7fGBPL}u_B%NY_wMtH>@1ZVJ?W2bV$i@dm($g5j%{zmVk z7m?TJkeQpzUsk+~N+SAAUfDdgM`VV-JaICESDr#~y1#Zi^?NVquMTf&;S)=%Af5G) z>A|-T5~|NoiC56kgP1wMBL#fHF;+&_qG;P9a)QHE6qFflkjl_9%8a&3WP}cR#gN>? zHRuej{}G3DHbAB~oP^G{IMW6lqf1aTMWeG%BKgQc=uAH2h@taL%f)u_=O^@sDJvQsqsNongbsa!6utAzcCDcGOQ^ZzM##()fR*Vw$|28tn;a+Scy$k7#-eWWJ>)DRq3)OI~V=y2f{4iCJ>!rGAK`rg2PYSF;;2 zjb@iB@uqP|H^zkcQWGNNMOs}S{wcaAjB_r@uhVHMP&(*|SGQhCM>=0Z=G$649a;%) z@@SfI6y3Bj1t7VJ>1nHFY8pMU@X0Cfpy{oUxjf0}h%fs_E$gK=JW)l7l_jm1=!}G5 z32nV6L}xBUrqiiuOo*Qi0>1rg43m?lhF9)bK}PMbAaiAscImGD|E-OG5xddZ6R~G< zcpyUJ=Vm?uyU_?|Q4u%`C( znaE)WWWFaQX`W9AR8P@4w2k+}F2(`hnr0j(wafcSYS$2nqg#yI%~%S-$~UgnQ-z?b=%AA*x|W+8{~ zA#;->35OX>Pcjbrj6Qk=PIwTU!C|&Qc@Yj|Yk(*R+!}y!*m0=Z6UYHi;pEw^*eeaz zFK~d39!2Mn&C$Gs1KDUG$^kbTU>s&0ORI_;{soyTpM-EwB`E86PzH~jzya~dLt1CaT(l!U_$mFg+FAHG&- zUc!OwF%k7creF-yEYx&KkQ1IUL08 zEh0%c>{F?pqH{P9rg?P^2UwfL?Jo>J;8;EV>EG8Pho5kJjAVW|EK@znI2dE~aWNKW zjFZ1cs7&W@$n?We2dj(D)mk1$4u^2FjbwiKo#{!&!5FKpIGpF=I2`9_UEtOni~~Pv z_yfq{FmBypPf`~bgH%t^Is7Hlyu=S=qYv69PnqrET+IRjIUIq^pM@kG{ziat6rICO zj^@?t0&h-I4u8nZ56ySAnuQ#W;Y2-Q<$4xSPk&8u@b$HoWT5{z-E+~Pz# zFytBY&qSbcqJr<2G5?Ozd-)WyAFs;B52s;M-u&f&U)ak$AbKeVc!n}HmD#tmJR zBphl|HUFRUg+<@QEn#S8ox?4aa=^V{7>Czgt$HAb6Oeh^mV`rHp6V&O9~y`>FX50? zocRH_nPD7CrHmu%V!vQ|GCwqusGg#8XyTxGbq=MJm?fFen(Ej-N}hzQQsMaG)5R&= z`G#gErVsE~j$CsM{El>@H)}|Kg42iX=*=l2)9Kt5q;zlfeAyFc+ug~r{V-N9I1#$A^93o)|H7<&-@Kcg&m znNjC;pHzrfRxMF;_Inh0orbLYRBK)_xE-X zdn?uY5>20htonQs)6Y6+cGGk>rjp1E)7|Z{IKy-gmRC3ZfKsS7u$9aTPH%=B{=hvW z3-?*$9wF(a#zE};*R2G%rKI|FUJtRGm|4xE(>>OVvmOyYk9;~A37&CGi(3@13!T=v8b<&>Ycpg3ksS!ERC_!AC^3;Mhw@FalZl9%|e*`5oJ! zIiF_)W9Cytmb?v`^Cg|2oo57ls)Y&G&J*e*!HbY(SBpTz6ly1ShMi6@Mq!8{e{rZe~$)8c}ikD%$xkd@(xuLNd#DAgEadPJBC ziJ9c3Hcth*=~tYEhVvd%q@07ltwj!hLDtJ+JO|oR6Q*EBS2_^K!RYJzq*z`?OAW+z zL)|60=IKG_HOw50Miv^3MsfA_5B(MiUV*G(L2H7zt!=DfgP^&zPMloO?0p>KBZ6>AH{9Gb!|Bkyarj5Y)Kqv-j`zpahqLggvboXO_X9~$sK3j z3(*NqR~W&WiKBQASt_@uS4AZFH)PF7()4iO>{!D_&6*1@jG)yh9(}Zm;uy;?b%3#lQBzPUN=5p2^v?6GAqP8GNiNq5u?WA&@V2(*p<`PD6B)C`H z^CA+w0a>|4AZRt!`L_w0OC&nM`Q~{r--2NKEAuWQ!G9oYf&Jh2AZ{p2y>O>0rkFSn z;*PUat`jUU2`;f9STN-f8UAiU)}o>iv^Y`!yB@@ibmIuVZ4z9WNbuQ99ISfgBP4hW zvX&Kv;D6CcaDU)9f~!q}?51sO+2+&GpV#NGw;0NF7%R<=nXGAlngD9l*Y z_`;ZEkh)NL=7*HBk!SbK&a zmh-W)QW~-j^40`#e|@UZB)G{DD>Eih+r?N}a)K&_89fT$ zAfcDSC!7f$M1qyn6I+mA8OS=G(4?pbaVvkS(ImJv94j*j?y|?qk`vsaQk?F=Q)U(a zZbh)$uYd&0Le{BZ5)YcU+D(FAE7S;)83cFBv9ja@&D)zg!E+|Thy}rqEB9`X1n+{Z zbIAyr_u)-~8Xrr>AozWpr;`&j?|te7FPj9fCKBxWmp1ZJS1fo+Imo)4grHR?!Oz_! z5~OkRpA>`;j6I0#XOsbclZMK5f;UWpw=4(>S4IVpV0p;8k&K|lL_X$j6|G`wl>EOV z!I*1OLeN!=5p5I@60jT6KAFq1H&)2leat40;?4#wuy zJ%}GNp)#Fd89VL8u5yV4`)=oiLm#9f!Mnj#CX%F+{I3Z%VFlI+awfrh5((m!#sh=u ziAazG7nh8n#l<9Uhflpgt2l81HEA2f@7U11I>D+Y!Rm~KOt?jLGw{nonSqUwZR9ugig}!5oK4)st1waJ>Y8SOwtBZ1#0Myog`ra zHF*_xiBNqy!6pJDCme-(L5pQ+t6uzX6KpTW5q#A2V5>xeJ(q|9 z-^2nWcrUnGB=KN$XA+{6c`*9EJCzx2@MxhnNQqFK(FT8JINgJ7OoC5a5FFS0ZZ8t7 z0|~90ne62GS%>V2T7Wl zWi8&&s1egix7Ao#QYYb86evz7_#Dp&+ARpybFNr}1n&b^ch0&WSP_hF5JC(wg3(P_ zs4O|b3SojFhfXm14lrKjx%!w_7R}1;pNqVzg9|slOuV|$YZ1Thpew;YtQKPmf9F~> zuf%i?FPVcynnhE;e!k!)lBt2;gh*&obY5)fq)KtkM{nxUeMks#LNK|Ls?)L=PRcO* z;>#8U`6^BD5tx*k;Bpj&U@a%LV%FmQN~|n7!3GYB(>*xE?2E&BqaR>jER**Et|_L} z0@tevyl7v-xFQx~dB2#i6TvHNwv060sn6+pY{?4^%uAJ!{rkj0nrbScVxw`5~By&H0TO^@L z-LV$iTJ%NpgnZ{(Ocmpt^rqR&GM$Bo{Dwa?28XiU6zHs>VYdKm}EpX^_aD27XHq)m?_3_kWDAew`l6Z)UWSGGWEgb zO=wbgti>T5HDWqxI5SLS$(>}b^XN{>H~V6N1;OfdSL{cE4Z!6u3PEFw7(&t{INm|x zmP3n%dI8~!YOeaZdtSosgz9~_h?xZyw8&N;7AQ+fX`YIA^ zgx|ag7J=Zr2sL67^u#s2ntIGyGz)*{ zS~S<5bq=4Ieer9q@PKu6df9v=(->Uq5}MQnKtLCw)L|#0*%$2o(~7$(@Av zsiKGOq~ms0izh4yuF5Pq4+%EIZzmOn;Ccr&V%Fj&d#o%uL6xI8onUmYBXXtjyMxu@ zg&`##M_vzu>r?_SR*R+{vlh+5-?tvAXHhG;^Vr9vBopn&0&Z|s>KBX%CRS(8gRoP9ieu{KjLw1b> zH_=`dlbx70s>&=XktMIHkCZsmwIg)s$-Y05F!bP!mml|^egern4%u}CYv15U&8b3S zSnpo=S+`i3z6z7Dj7xk&ch4eNl2>m_`0a0+SNBZ=nGtN1NDv>d8PlyzFC^FovK#(i z5uCvatP^Z%5-hanjRb$yR_{cDPeArVNeJe?s?rjh9xNrq%5)D#_uC_Kg3~=1-K~$x zjNWM8w9yHgi{9CV7rk%TRt=X!NbpI>PPXXnt*R0OEEdM~#&ky!uPA zGTm`84+HAFo+&(xbvUHuiTQYCm+~}ZKNGa>64A}~h<=mTgU(ocIhHK-&!4@x{3d(bYCDAPR{-8PY==>+?R3!MmX_q_J} z!V$>p8OVMq*~2U^I;ehDvk5!u87;1?7>i5VRij%s60FWELoCFrV;N!nkmrXXuXd1~ z5w>n||K^j*loR=XM}Ng^q@?qD#mNTYVSK__0&k6$JMis3B-kFZhd7cDjK5E-pC$0y z-jom_V|0o5;et3W_8>UDLfsygS0^~qB~#;SO_1;NHg zDxN`togn)SB}o-q+@AMr7yomD^Wr@?Gsps!*9(ebP^n0CNJ4fRyRB2N9mIhv}l9w_-fY) zx;HtfVcLtqy)_&w(>qDbLk~JZkHx6BpRaY`4^p$wLbfbf*KBkHU80}$jp#n*cF zmBb3gVR^yY&lVuTu8{p!vI>15LJgUYi*H>;A{g_Gq)u>!N$?#jf{rIQAi-{sy&@UG zU;noRV`hpv!8L_jT(<+kVwsKKMqbZBcH$;&bY>Ub_Ln%1IWA^{Mj|VcuuGIE zRu`*0<$1_npM+q-l+w5wi=R>!jbJIkpcf^$1^*}T!Ur5xHMJh{!fzmNNz%|PdBsnX zipHzD631(s*%Q9DB@pbjOIy!PZiED1fb1RrR|L)Jv|h8{JFOg-m$U6Rr5L87GIfYAntegxgD0raSJC#t0r05^6U4c<|h<+lP=~FUUUZv?hpOMyCpy z2NOt>rbj>aK(GX75bVmDKam+{)m0+ZrxQG3X9Ryuyc$Cf{#~is5hVB`WdGu@CYV4b zxd)%;sbP~~PcBwwc(AudWjev%&56@FuCNCyZo5(m3HFBUGj?l&_)&MN@Xj9WC&%Ip z4~|k}Wrhdem8eW77*Uu9FN=u;58Ap<$R30Q`#|=^pf$mN+k<0*)UfHn2}-QY@Zb~& zmFWbpnjXC2NF;bX_|2)2-H~8l$i9|jbiwb@Q-yc-;B-C~XLxWH7b`P7=%ym$yn(l& zqEk=&^n4=0gSLs?4xx^emmmk*cHDzq^o09wdvGo@Yxc z6zU|$gRft{>oyYX2RWq#Yl2==;hjC`b;go0Jh;#iD>FRk6{$=oh?^ECp4eXgT=A?Z zL7t`R4>=W*@QQhmo$-o!`ku&)(IvoBdqigNTI7h88IHpzWTS`9>z=|hm7J>K&g*?m zkyjezRFNan9p zY>CFf{N>rA#mWp`?ZUA#omce3d_>N8dEXW&PWRXSLSbGF>Nn^n1cpsh|+J4DH$HjL1u`&oFYV-JGiv(>q z2l2<|2uwfVoKo{Dx$1F1&ckXv<#Ny#-yXzO{fTyJm(bg!5m^XRj6;_Rg>j)sVcZuy z%A((mB)Rpx62Wb=lQ=nuY-5<<-i?!m6e6pmB1AuQoQyL3*mRssB)IpPtQhJGVGO*% zco}8_?^=!K)!o-YF62J+QG)vnKN{|<^9{Ee-8T?&+9nLe)P2!UZxSCn4wQ0xcDpjjWV%ox=MGcNMv8K zyr%oMsZ^-TFaqA4qAA1ELN9#rIHC11_Zf{2TOV^DHq1>^U)hfC8w5Gsoz|@{`h`_u zhPf}{&dDa-ecx)73Ugn=-4xw@i7i(`y$LN`cVB``Z+!`Q4fmO@GTryRLb;gk+sntv zFaj}ywch$(4i<9XojY%>vTJaiFXd&(NlzGWXzNQT#(&>=_bap*dUZ&|eO8_KM`tW9 zdFR~`hubKkKJTF*&1<^v7{i&ZFB~y(*!sp69{X79V`Cp{eVFvhk}9>(eS;zAwS?0y zbzk&5#Ka71eF@8FM(aBzQYx(VC46$n=)Aw%V{w}#;y$a+dzO#I8LiK%^O~+QTi*qi z*L2^dFx6+e@0x7N(0#M+F!ot?-miL3d>P&M3gk>nHul|!FRn&vH-4GGaNjLPnYquh z^Cp~%B;vk!SiuPZ3-sl&_Nl{2~jn-$;d86Mbr?}*ucbiNwbl2QzOXJX6-^X_t`z$-} z^qN!1GQm*DdCzWr=Jh?us4(|gbzWanky0Tz-F^QTo!4i&%5>ku9OYuVuels2!wAIO z3e?@F79RWV+<8B4cph(@rwoIfjY-D7|9R(aVW-8=t3x8K)T;Bg;$v~iJMY$DEKWc3 z`eLsN>Dc#FnBvUND>_UWc3!RU*mvj7JC_r8qx*(K&NoTMK3{vDnqaNZvh#L~#Nv{7 z-p*<)E_vteD#zlIcV5#~X6x(D@|vx$N4yM`gYQL-+R$6y@jHxtmYw&~kUig^`$j;{ zPf6x|zCO$>bDw4B?dPCW2=4!`^O~+Q-Ip#7$KsNA z-pRpOT=LFqy2^Cl)CkRMx=&*IbQuD`H(M}qSgZnxXqfL2l_}W8WNR zmbuTe^JYqv3c>x~bzaj|ru(vG%Efe_n~RfS1Y#Z`A+0YL-=9rfGPrZ+&6u)h47%@i z$gP@W?E9a0UYQk5uMUauwmS39chKVM)uABHa=~hIFcxQ=dGlCa(|rZ;GRy?N#bNxX zAG-UR79RWV+2=-WJ_A8gg4E8~Z+C zX7$#BG0SUS-?}iRq8B$pa5$%o9z>>JbtJZCiMa2-xaRelt}@-XAxQ0-?%O29$uI&j z4}z1{*Dl9NA9Qc$mVYh#PXlz#7|87;#Ge)*deb3>ww~P+VcETrtWcMCG5c7^?Hoyx z-CT4g**DxP+DhYUV<{Ur?~52ee8V;4M|Npk>n2Ird+o-L9DO4qlyrN5+?1^2gup*x z{DF@tuMex0+C4|+^W(s$*7;{n73F6yMV=f6>%hetOdGnpHdb|zB-eqvd zq_O3~1H#+>1$hA#R*wjRbREf|VoZ{*tAAx4xe0koMUe230BH};lJ+Q1pIr43oASkY$lIvFyZtm6ACQRtFYS;Q)*x>iPhwvMF^DkkE0ty&w=Fn-b7(Z= z?L>{wBee<+nl!UQ_}JbV!ys=@1f;)tWF2NnB^+bBJlY8I4mcqHuL$HFRf+mzXzXYh zoQHMOKZ`Ic5P>nB^n(5&LjHqcSkRXFaX~v}jeqZU$U84U-Z>TW{^W>~KglmtbX;eA zLxH>-0*r0IQQKo1s8H}-f57hyMY%`W@Mn?uMs(NsAVq{q0;J@R99h1^&B%dU8sd30rG2O zQo}GydcZMzaQm-OK@}Ezm=23T8sEg1M<~ijI|SBMo5A2_t{9SGlniaOl-}OSQpGUS%LifL=x*3#()fZ zX(aZdgn@&FOC=KPi=mGU#`nwf`^oUmR}mOWZp%VG?olx2GZ{vI2YkpTR+XmQ2!IcKn6aINDBPxhe)b3_s@}F`0)?3LG%{m_lMc1@c$m|AjhoK^XEE zIANha#O3E>EZ+$WUT{zcF6iYb?>^B9@(YlD0e0iu2vM@a3I6F0NUh3KU}{yZbV2c| zkpF=Q@Ai}+wXVpL>IqxgrOtqS>_(mY@Q~WjOllZ;cZaJ7|`cRnVY9^N( z=M^Cz-{eib-vMK**=W+(YQfZ9N(;!x$F)|!gt?k4G%58Fd)KuM3m|`U7*ZQJAoX#H zCAAUiEZ+PN4k~+%Xt^Ly>f2RbgtrbY^ zY}$HO&X<4r7V^KbL+XIF!ZS{&&4_7+}`I-Q!sVb!Q zH*KY9Q>HIo0{Qze$MXtEhgheP4#}m<-aHBUKWH$syacHkW-f;u9(r#8@(+h0wWkB5 zlL{p-{mO?M|6UyOk4Yf?g!W#QXj1A>p;~b1Ymk4EP_Th?fpsA7xnCgfjGK{_u(>R6uT8mk>`ymT1kUvWU{ z5C!tDIc?a8{>I9^ra_idC9!{040agv97kfeIhe6h06$0=^8*Kdn*#Ya1rjSEg1@*1 zukI%K{H2^Q2m8?YYC#e!BZL2L%vX-5#{K0Kf8Bp}f&Z=`1`%>9h6(U)1^geWFlSzv z0_VumfFT!u1%FiyGOKCeuOZVUe@$-3KaoZ#;=x}%2y+IRxrT?IYd63Rems%Q@j1X> zH^Oq&bMCvG^&*NS3>?f^Z01trW$VxX0RDy=%o!O5e`7n#)r4Etu2w7X!&C%8ZGnYTO<>ZZy;C~El<=MgixM}Ng zt=F-GdEjr2wpyc7KT|kou~M*h&Oq=#CBU2lR4TG0|1-`-<+hDM5fP)U7EZ=+hPbu& z`EB6ufVP%6!Qa`m)meM&`qEk8Peog)XzP8`8$sFKY)>ulcSBn3WtlXOm;;+}20IKS+i-Axs`(+8UzG+}8Ly_+LR= zub?eOV+>bFzcgNq;^Am(vjG0rm_q+3A@9KQyHSM7Mxw11Cd1WEfBio`1OI5WwF()I z=cq#ecg{y6wODBg>?esC~_M|i3A#=+qC1!3MEO#YXd>!5wFl=c_+ z7s@d25HkFlW4UfRcCB8}8~lDJ%sYU|Ck2-Dw_JWj1~OcP;u}c*mPC^>OWLlT{GbW= zu@TO@8iaY*gDeRIf88nXg8yy2@G36Byr0dadxV4a-@p#G0u|m4LS}h8%XPO@HFMMr z@V_HLW@VfiS2dHWM{4)`=tJlGsKO{GTf@bc9A?n>koJ3FjCO@+79p;NQ-J|0^4bZN=DD9wyu` zlh}3{Murtw&`hAl7c}Qj%-y{M{JTZ)f1`o_dzmKrH8HsMCn7>^dxEgw5sfA-cucz8 zVqIhK<4MTB7ft-g(Io$mYN^V5>w*7(f+So%A3<9Y2Q26uVY#|0Kku1#2K<*J z7$optmuQmzhEQYchRZ18!2dUT^f}X(-S&6ON3ng}vV;F-7y`u{G*_TF*XVpzF9aZh zL4rU@;+sH8>{cZmFlAtv#LC!V#z{O^HPT~uIbm)IR8n4#mB$OA@|gCZLXaHBUO>l9 z43bzSj8(G1f-MmeyH~|v2Pv$lRSQC(nh1gFB1x+r!63l`^K8E0l6vC$Yy|?fFSX{|ABp`O+aC0%7$*v3gcw(u$SW8ZcO0^Ko7cl@mLEGNnK zLJ$H@%-U0@(u>1i3M{O~>R@3F<@q6lIzgb1jDd%RWq78s0>9MWy#NCJQAd9f7B1F{ zIv@gp0TL|ipz9x~KwzK%3%i7gwZW)lFe-V$PGYZ$5E!Dt!T}nI4a0oHBnaTN4r3z` zIg*D-ixm`koV|Bc%HxGdif{->dD+25C}RTEf>RXjZr+J{qv^#Is`ru zA#DjJ1!b1>F&AmG(gA^WJY+nG|KAd5QeeIPV&$5%A+P}nZ4j_w>CNv8Cj`E*Ay98( zDiYqJK-w^5Z`4@Yuwc2$uU3G-Rt?g=i9ovOq)F+|@UQRsyE_E1o1}ddgmiOalx}y- zX>)Zg1a>Kq-d2Y6K8#0tU;f+f9cDpbH|843LmAbp5Q@m2oSz7K^Va3~Dvz3q@b z%H%OB{IB5GcR}DNDr*{n^zlKeFnxlfPP4cFfIt}8zk=4^chIE33HgZqhuaYN1(p8d zgus^~q4-+_g9L%i3W=S;EN6HakYcCV2Bc_T=DctS0_Tv!YZyA4JUWM)@(+Ivfrt&# z$7vAQrxG21auB$rK;VF`@h_DBg_kFJHj;MDiNOZ_w@V~;-421j!0>R zw5kAI968%L1csg$!hGgAuFBb_oRGu z5Zi@=xR4@cyM@kjXBQl*f%`s)#G_GUH$H5EysOHov~-ZjFnv&nBD+kMERbdQ4PAUc z6lC+HZllQOrdpM#OfPQfdRSBeb?#Cqa*-9Xba>fkQ=s6iN*ZSr`Rs2t3+tseMQqJ* z`O8KcKSh3T<)xH1?)qJzU_zMmpeV9S-H3(GlFcfV6T!>Lr1wUV&keLfmN?h@xu&mGtlpEaugB#viHtZ@XETR|PN*S0pAO?x z^yk|INLonO2d(^RTPoe?4YNmxWHgN;$3MWlG`_lxw$JjDiVeV-GPyGNKq1ItIM-;% zbjBdd?H(!4J``C? zus{|c92|%jcR3QddZNghyalpYt#+00YBURV=0qs624{hUCR0V!Hy4pvOy_+;3#4t< zf=;(!gIy*UgH&f#=J_#$?5r($j?}G+*QY$W+N8)TPO38oS*~5LQep59Q_0mVMc&Ii zAA`J0>Q_F5*Vm|XxImGW?G`%AK6lzZ3H<#~=OCu@9_INNon^LvI;sM$4>-uxKGj)C zu+UlhlPQPFgTE{4?5R;?MdtY!ouvjY9=8P7H&ACcrjz3=bf&Z$cliYPpG2JvLu|SsSSZ#e8uK(D`YEG2r=LjkTYq0VMbioD^l z&{-_gQELjWU!l&1RHt)M&;kiH#;n8(t*WTA4%IoPTG#?<6MA>LfFq4SR@0)^#rNzK z*{(TH+tS^q>aINt8;$~qq&UdxV-)#JhLz6pKNQoRfelMU zvbq^XiW?;ho#m#5U(A3Fi+Qqo`u|wF68NZz@4v6~;AS~RK!{u-ATA2ZA+R8~94iVU zv~&eTZr1`8ut4O#N!@LMrG*rMc8``75L=GA0)le6BKH{%QBhzyR0M?onCDQOLb>{xQy-?sZkl9&^#49~{x(P=D8f3|fM?e1p z3YH3FidRN<=xo$MmS}l=>6=iH#*%qr8QFfJUfGLJDO@og3hY)g*-VjBZ8|#RN(KEd zLqV#U%ve)omR^Zsxqh|#LBSG+Onb}74kPt=#xV1bd)jeWryOMo~r`| zGtFeFfg-W(6|Ez~jgi-m!?KA!vR)x0Cp2d$azYc1`e)opE$4q*rv#4ij_3T z*rCVQSH!7yBbhj-$d?T|I%9e}FKof7d@RvfmLi)5b&%1~NiU@1N*`M3NPr?uij_3E z7t5RZYd1(=86fL|6#1f3b`3J>$IibdK>FGsSq`O0>?33=X^=3@**gZ(k05fLRY6*G zkcJt71q+~HjX;(jW#ovr6`g}`sP-(^qEZ8J25~jfF4hr_ZALO2)u}PVA&vESD`JP*#p zELno3$Ypvuqw3VSJrFmTSje&^MOF}Wc*1khmFB^sooJ;m6`j$%4$^S(C8!MJw_w?~ z24tPm%)`>_I41qbB*#f}CX1`%Nbyad94E~nrN;WnEE0($iUJFjLBo1Yz`Ne|GI4<~>lX22~WI;Yp<|JjDJY(r+$gHJ|ljb`Y zZD7fqryM8EMNfBI$$YdNCoRepY{% zvW%0~pBMd%aZLp|PFk;C^p!x?tmQaq;eOFb9@2j|lQ`rjFYR9mK>B$x4!KA>4Q5!e zrF|pHB2M1H;71SKNcBm398&9>0rxo&eSrUx4@*t5I}6Gy#_(l3_6uE0uBYMy_()$!;8_+n3m(((8oWx6NgEUU!CE1k35nl6Me)=T# z{LUsE6KDy}`-W|}d(#Re_%YaH*$4!8`iL@es05|1hsH?+rS6@^Nd%=%m&Qp1&ss>B z9E9Kk9JP=hUdwC2L#BL%6K^1|iC{18i@-~AD56K@B{?3AlXzV-kuW(3PU3aTFGk35 z60dtajT0-hqVk@HMNPRdg8g<{GA>;hfCMLjy`-Q|Q10`IQk7sRX^CJc8A4Ed5LuBR z?n|NZgy2HFMW-(TNHG*8_)SiYX-IG~*vl9r5R@(pMCp?uSe^0{Yj&aAs1W>{ElRMd zwbLXd_zBp{ne_?ESEDCKu(sj~VigZi-t#c8mNksvf)bXTlICSdFa_-8Z4n5D`uruV zI@-}u(vMcf^=;IIgdo`m6y7$__1@+C{*Jt+fW0EG&r9xmnT%qkTo_NGkiX zqAdPL=p1G6FYd>p2Z`GsAaT<5oy3zka<4|m0W@wOmYj|kNSsKgu0=yv9q3%(oCxa;S6``Nar1E;1cy_(HHmR-z*fb4Z*>r-4;Nr*JCY59v$?dxN6REm_AQ z5K(fdbe0NYgd7){jxFoyO7#qni)xFUlQ1*4iyLYXkC{0rtj4om+Cy z(5tajYfiMkbddZa^O8n`1gY?n?*K`h$jjsxotyA#CinNqD{JJOA;@bc*qa*kt1qk< zeSBW(h(+Yp+^6tr;gfn%$On-1hP3+&B{Hae*uLoYv%&ugQZ*oqt?uU1xtR~xx^ zM_wb(R>J$L*x6uj6~Vvc`zoSG^{>aNM`<2G;nmKl@akYx>=oPOlbgtE4%pjS^y^W+ zGkkKqq?r(f*Xyc(b@nT~t_D7@h`i>4y<-Ib3LEDZ$sdMfI<*KU~Bt+Mf@%z1&5MKRM|9V&TuRzCMcI34X?C(VIudutX z$G4X>r%AYp^*B)VuR%7&@3+r2^T=xv*at@PFKL#F=y@{s3Yds4a)`XFjNyn@7B8SLptmvC{))GBW#$Hz$qKh0NuW^iGuVhB?`=9a|26=r3_HhyXOP+5gdY%lgzpO+TIYeH# zwT$dQkp|1V<0p{8vK{On2ld?@w`+^C_@7GWzF!*`dDx{h9F!;Ph`dM#=WkRx+0%VB zkd6cFDQ0~-xF=kjwb=S_XSg;lA{}||kYGts#KE#W$4KHtI;=^lwHYSWO!*ZCBd;{D zv$hDlO8JNma;RofZ&OHIWM0zTCzX|WJrxj@;eR5pnS#P=j-c?`#qY;m6tU@GpT$Jr zRh^-Fo(wN(1(4_zdCfB`ycTi_uf=a~NJ3sq!9Fh#fmdzz$?}rcFcn^lRbHvA!t2Ak zf2>Ac%fP;vjlk=9;mPunR$&!hcGbVqRsVYL%8QGT*K)AiBl%ZT_Q~>U9_n99RsV9T z{*}_J-)ZFK1pBf`{?$Twvb@@us6NrZGC0M*R;m8Av|$f&_p<`*8Ikss6R7*{iQ1uS~G7 zGez+G-e!^16XPZAryx2-d%0Er%2oXDe}r!{cCGb@%vA>URLCl1$Ny38ooXx&PLz^FGL49o(wN(UlGwK^4iWTe(zWP z>&MmYuOYA1VBao8;5D8nI>_;4cuitNW%!@SYln>vZ`yww*g11jJ*4wF*mw9s>EO4> zBr~Nb;)G7bA%nbZNwOk1amb*VJr%RwZBb0JpH-^rX36=aD_8^e-CQUKT*`|$(Uz{R z-ux#od1*IB9*b96XqW!8>R-RA{`KsoMMsgB8|;@O_?O;9+hg&Pwzw+xx}y5m zRZj7*9|rH~i@ZEw$K3_GU3%D(|Kt1Dicou9H!J>iQ}wS4^W&EyFE7}yvk`b5wNgJ- zy7b4g*Ge1JC$`7is(<~h`qu;QCo+`D1^ev?{-rn3_E@~KEFrw^sQz_N^{>xQ&zOL` z^1%L2B>&QxXnQPPYeMVsU&X&12GzeBHm*4nd94Tgzmfb)XQJ(~cxAI%dpV*M|8m5b z6~Axx*V;nlh0jJtMer}ZiMGe$<@SZ}iVae~ca&89YxJd9C-V9N9C0Rnzt@{+dn{hk z0|SH`@q6;V5wa?c3uK>0TP1tpnC2I+X*lxQ0FKgO{zb<$@>VvY=fB4eJ`=%^LmAU( zCd~;4@&Xr`1ACBHI7}-%@fC8|2##_>sBIJup<{=L<0^T(S}2EgRuU$M$l)&jmF$4; zmr*!uSyQSDa@Yip@LdCxL)bXs(Kx7WRIH1#R>}ci3!`x02AAK19KHkx?$r+IvnhwL z#kWV}pteWR4kwM21HPp~;ZU#cKc6Cp&ETjW!5_k||Bu8$)AmFTmw1K4&y2$1_@zdl zBZsfRQ7aOMVnL}!{~tc7X=fsb>o$eM^`OFG*W4el8;s2dN9{;Hx!nK%=kSY_%8LHb zLg9e#<4`!{*)ESo4h7(-&qwfw(8aPxs|#skG~q!wI2z)AQr5T*oG@(4Va$39Mw>&k zrnedR{5vgza+$`b;I(m)=}0?G30CPU?C|2=HiMBvA-*Rd5Wx;-eE)wA=L1w$hp)k5Hbvm@ zvyJL`K|yzb^1i4^+=1bHLYWQCX2g-=L<;{A+Nt z%b4{paP$kS0@`KbYbB^S$y&VQ!q=E-<05vM@@9X6C9-07wY*d)odK98Sps|T*xA+R zAf0c)F(5(@zPyYguF`4F{ZDk{X9Ys(7!`e@bp}aZqTRaOV>;Jl*tt>2Yd1Isg?S0x zi;nNj&~gyBXX4v4v~d>@LHE`x9D)=f{Uv2Ok8$M9Q#3{7HPl8o-a0<8N%m4U%R7b# zx-`6b0}1W{$53CW3n>Jv`lv#ZiA1oPl0AtN3F50rv^a5g4&UdYjgtuO;AoskaFj`O z?GVEq_2u3DGXr^j2aZwx2)zC`!_mCRU&58}(jKbeK;bX)`beijkNQpwD*Ybv`X1k6 z7uMvcz3?@6RKH@cusyiMUfSb7dEtxlC|2Z!OXB3xptYAH#W>D3rw;Pk3yz7F2=)qX zk5WC>R?18`i1oO<9!vA1yu$Y}i}k2+T#?sQz6dX~pXp)gc?x;$1IM(Y4fAI+)`{k_ zqu2}I?xN)&@{%7KA@P(K#n3p(zfu?)FY=lbEW)dAf9BrN=AG4v*ybnjyEyYnizSuXlbhe;37)8QdT6%~Zl;@%rC8 zSasM>c#$^)hPOxjo^aCYmlKg!0353#*eh(Wir5|>tDm^9_=RY%HAUMaey+FsVedBN z^&>dea*t&%>VxF(f3`=>I6&m(DcY|hubPvu_eWlbz~PC|uZHyrqP-r+zm_@#S_YBV z7Xjr$`K2kWgYD7Mk~O)~X(ad)I5yby4TrCtq6(FUiSN47#)%CRUv;L9i+n*+$I&9e ze3js~@GChItlKsRkl^Z?oM=IOL7FyBBB*++NbpqAz886Q_)c&luM^-n9jRtRIY=#G zP*9tn#4FS@MPBlQssu~QB=J(mDE*M5(J{vxZd;S_Cq6@7r@-+WtIrGHW2e@> zE74wIt42~ijtH@aQq#qLPMwWMZ<+ntxJXr?N%gS z`GS_KWH_}8B)rlLMR}!xZOHuSW60|)IR5oVu$Q__K*}sts5))0*eh&*uT-I-jY8tp z*hsmGyyDD7>@{JCuM~Im1>|)O(xL@@USahkHGt6^)vfT7-!4GnNt|S_rh*nH^3n{l zL|!Er+M}hFWx^b-568B6rQiEVP=K^j5e%o-<>K2EwHb>Q8n$6uBp5bYkqoEyaw5S> zMXy!ZLZ|=Q53`6p4`~&w`i9fHThXjXDTdRlQ2da9mcPVHz5a^4s#+AoRp-MDhtJ%1 zDy%yc30{D-r;YjqHH#sHjMNg&hGZ!Pk=JENYi5gJxKJZW9(N=_D@!q)W{Hf%i5?f$d?k-l`#q6YE9Ihv zZ;1^v98UesZ^7mu!CxS)b%cwSX0n@PEE2@G_7)*1zvhI*Q-Tyj<0OLWkWM7n(N@H8 z*vCEl(gBo;{T0$W7$O)h^gWVq{o%8hW9c&Q^8kym%22(KPJt?zZ%gX8Vk z-ylurAwF@YD6FES3RTw@Nq$lnvNfcVMP6a8L*k{5qC{SA7Hy&EXz^8-1 z#v;N0`9?;);E4nW>9)}MmNOfW*B_7;&+GG&-|a*St26-l%}_K>;uTuSksVDPYKpwx zXNx#m&luZL^Hp*ue-+Y(7$fistvsm#gfLFDzJazmPy6n+iGIlx`TtND@Obx5;CXbHO4(4svW z&6NE8Z^J>5Vnu?@H5^rFm@#$&c78d|gYt zXldqSR9-F2l&{EZJ5$8J@I5~lc8`4rdELRUVEOfVg{>V(yv{4S6<%TU=#lNUR0!eq zeb5k#9R}{GOxtUedX7#{lV`ca5o@jh-zuePWv_8&;w6~>)@ zeI38RM)M*(w*}CC_#v~9I1`Br#cc_axM{2whvq9PiwOFXLV_iEY!&dY{Nh&{w}mIN zq58IR7>93HCm3>wvSt#O%#$!VqEO!!M365eh!Hdn|Kj(Yd}zSGcM#h|J2tUVPLFQK(CIzNADjP=c2sSq+Htyta#8#dzt0sC zhXL~SEj149c+aTV@t*0C>{#Y(;z_jQeMtK=tgrkpJ8E8CN4QINY=hR6jz_j*dgz3a zWJmP@SWzDz$sbjlsdk*rQ7)<-TL>X>7$9F3Q{%9EDP^X1OfO}9Bs(U*795Ut#7}?5 zhTV|-mmR~NXp!vL<1y?QI-f7u@vQ(YT`w*fI1*QL^J;#TNf`BIuc;V#*6)_%o~t%WduOeZfB)4yKhkm%DE zDa#CoIMnfq^?$$4gw1kEcAUgePNM$=k!RTTbvq)$$4A@PqH&@f!^cs4QGL?5N41%1 z$EB2tI_|-5{n1FJUE^1k)Ht+3kD{N?R)&qEYBFKNM*On&-^c%0g60EA@61IoU+8d9 z8a9RwJ4Ew^7dMjmAl6u|^g3KIP->y5_6f(6<3#g?UA!gpeaCBYqWQuuPLlWS3)P2G^o{mJUNjX)@>NZxn(v^WV924G?+~J; zLv6fAkU5P*^^+gf`(_SWk7L8QXh@$B$@`8gS*YdIOhgV#!vqgoOAH^9JBm^O6LMj{6M9hw^E>eso|Hd z$47&6u?S84UiPHLefDcDd`8M#ip5cz{9)g+AM(fN zL-7+5LGfGm&SMru>I5_N;IBQvc}zeG9E{)Tr%B^?`QB=~^J{RPW+11x6`a`0$fFp9 zp4I5SP9eldL0x#Y9pyo?q(W`l9{j5KLn zJ^wsY&SG$0!Ez1c@w03^O>$l{U0B@u3^=cvkOB|mN(E`sxYEMYw$}%O^M(m>Mj@?R z98Gfm$=n})r7<{fGvNFgz4L~dCMDf8RU4L@08V`PJZAz@!CPbUzksI=oDYK-T450I z#C8*HAfad;(fA{uvyucU5+Z!i zWW>a|SSdk2DH}mz?-k*LCWUQRHxWN*g0`c`5ms?Z@+lK&K0XptCaO(;@q;Ep8dc|#qAn^*} znBb>6HOScXKkhHZ&&Z%3T@~$#$h4}`5(1W8gN)gnH)%4k=uOxRWSwa}^pMdl#s_L) z|6nD}OhzuhXVA&L*tWyX&O*}ZAZf-j(lNrOgN)kJ{a9;o9^gnfL6Pt3A>l%+&F3JA zAaQ(&2bO4IMU#$BL)6VTz69qTAL#;Rq~zm^x(A4#%W+bh7+)y13pq||HSADqCuIdW zPUIdk7!oH@p>g|cBu=7C;>bmwTvFx7;qc+as~39skxmJ4 zmJOprAKG=Ma72_GB%4RedWIy3|C6lkbA6huAIz;i5VOWBqA?I^2_CSgQN+c}bT*$}4>=@r5>k|R~2wR&qm-S4fmcLFKIZg@Z#0YxQAuGSDw~RyX0GZVeJhh_!KxB`HBz} zx98y93Q+LM#ypE?gYGZ(Z)%gU})jQT@!fAOL3y%Z~+pf?BYB*B zr$o6%=9Qw@Q1rN4KI(Cfk8NRw!w)*`>He@L62$qES9pDbkKu9p6{?OMMS{%&MGS`( zI&O6LtH|paaJC5O^LmV?N-LNeQ)~%1;XvcX3SG)m!#Uf@eVpQPxUV^}MO6U_)&%Ek zk3vw#<8bbaW=y;=Vqc=E2tv@=LAq#ZTSCVjf%bnL7>~U02Dd{ng5g5*6I;Un*oEj; zsHQIzd37zy%h@B)w{3IMb1>lSX3^)hqLNYp#10a#(1E4YwS^7_Bzs*Ak(IhOwJ#TW z;bc*G&*6N_e{)c&s>lmx&n#$DFsfBSpRbkg(@BELD|7%S@j51`!n7Vk2MCg*spS-T z^|L9C_HH1og?1Zfeb4*La3ok8obMPT5Y(&C(9yTlwc#*FJ`_ijr@lxuiIWJb7d(+* zf~APzuxp#Ld&er|g>$9}5gbk97>eQaE~a5EIda!Fg3=d9A))j7>D%^T>Eh~wb7+`< z$@BV(EdC(H3SCu*Ie0&a;`P8eED&nt2;%w8r442_dz=n3?sn`~gCPGjuSHr}9b{~qiT@md{1YKoO0?-9V>b2b z6ASsrY+9XztUAc(#k1crLH-ew7AbcIp&n9fJiqDz#{?0%sDDS6GL^@Re)Z`8?55I-2qa3S2+Oeg|ZBv6Z9H{%8 z4Q4gsHOLhml-yUe<`sLo*^-%%-!BB&UeT#R#y;C|&<~LRwpFXsY^1qskTLPA*YAh? zK8zOG&Y**g-fZ~sb;!3Ewa7L>9b~cIdspv*{5#q@TJf5ogN&L`i5~*_f0?v8TeCVy zIFj=6Ey%wW)FACo`*e_o`vWSEgZvvIxz}g#8iZdK*GR8ug^2LDIvmC=9iYWIE|@Xy z)7NbpS^F42#*NG8HF0V27=Y1l1vGIh`kNJo9fPzUE0%t6tOn#S5-1X<@>jg0*0_qS zkei-}-oAj>BKt8qI?H#Pf9?$A&$DWgebpLQbsF#F=UjyRIgA#GGcWY2p(4wjKfA9L z1Zwfj(%M`{R7!3I=qeWT*I>^$mgolv-39m)=^64N;?(sJJ9P%ewwaA{l4zfgA_cO`2zc5cyRs40XIJ*)X@Tr&W)i9v z#FK^WS}X}MxcQ|PrZ*5n&ts^8AF{d_6_wo$4L2Qp1+p8XSYsBl-nJ=8?=UNCwm?m| zUn9Gz8M5#~KsomDzj0wr3}iRQ#Y6Hf~V*>4duWxvhfFU+H#$PtG`+5P;`^+$zB*F#J#gQF~D53oSjG!t}vO-*XU z4DN8?I%E&BLf4L%WaenrUE7-%TgQA3*+Wq3l>l_@WK@zm`RAQZ3_$j9Gjt7b&^292 z>U7Rn-?{cx$R2~r-sPanY7>=O;SEmy?(4CTZDXM8NEW&tMDkLdwQp-G=-zf6WRLSf zud`UB{6twvOqAF|gPNZMLNt+fJw&PI7@TVx@V?h84sBBqp$6QoF)REwy+&V|K@+Q0CHYp z#2rNob}~dqbKDy<5%rfekWecOJ#T@`;wq8SOew>P#ogsKQLMFlFSWILLY53OPfAkl9kT;cKSB2P>H&XE-Vx9=_>` zIb@v!IipeGKr~brwaDE~NA@;43^`+ic(Rb$LCvcZdw%k1UVo1!}dc>&ws5A z?rOp!<9?{nO;3q;I^BYtPkiF0r_475g?ukzMYWj?A!jO!rx7wOW+mw@^Qf_VeubP* z{di)JRMtY1vdSA?+45is{LI=SB0}uq$30zTr=;32YD8>(6 z+xZ}QxtV5=oMCQe=z*X(0dku8A(O05fU6V(u9Bn@gCtbm0*jx;RySR#w#-@F!LlYR zxT>P)Q+`O!3@RG4Of`yI_JFH8hgxlrIa{%K=6rs1MhbwdCXc5Hl2;3g)LJ2ZOI$v< zp7o<71DOjLnv}W3W@s4I5M1?;N?i_;vpJfS?B;&pU-<=G=v1y}(I86{8kr8WA-`8s za5cib8ev{uvm&+L%tjX=4eUW(&jlgV;ipNN%Z&|I_v-TS*zaa8S7>Br3U`M7@B+B-8t-ahgyb(RRAF+y@FhQ@9JpHh!A0!5(n^yuR|lK+ zd9^sW+6M5nLh=?xVe)qFwYk|}fvbZNC9zze+Z3s6|A`K^dEn~AfUBbqlD}5VwZnYt za`v0x!Yg5c`K!r+%hUwP9C)7LVPoF=-! zTbS0>1ex1>1_QYIS-{m-fXuJNP=D0Y-wa8aMv^wr46`1xu%<(hl3df-a;IvU+2BgB zf-61<8KpP^9KwQYBMb416<+a+gA0O-e*)KN4pN;#h@WDlI^w7JE4N)(16&^pFep9% z@vBs+RetXNrL*7~XN34gR!D59BqcWV?~F>_46bA|#HW}beqTUQcslTM+1KO1HOU6? zE3p@ai0OW z1vcQ?t5WfN%DQ@Wz;zI%#s`6GsOHsN=;%As9$Y{AA@x%pat;R#Sjxj#%ELG+ENvp8 zlRj|cTo)Gz(9F0~!T9mD%Y#ecf$I=})c#6R>S*?aDGQZaa@lsP z{~b*F1$mtD!;*hh9>w{d#=}d%bp?5hwE*|6jY@F=?nlSx{lRsOfhE71A$5t5CZ*b$ z_M2O-2iFZha3!(KmCQ7Wt7R>Fw$*)b{mB4#2=mJeDoI<6FMXHzI=Jqzkm|sa{$wVl zyywHyjAdpqUr|98jjOTit7+A3q2>oGJbwGDe=^Ivvw;}wT{8M(|8 zXcD)~WUu+nX>gZC9+%9(jZ+m)WtY5?`2)DiW7p9R%N(yt4L4M}S@0RSD;t2r29s)2 z%RJudJ({{4+|>o(Sd@B2p~1B`oZWO|F1Tx9^oRg(wRnX_Lw4-R*3W~xHrhAY1g^Wp zx83#etjA%Q10>W)0Cyi2xWj%$?3lmevRVItyD4hxj<)Z~(4XA2iz@q;7)L`xHGRL{mY(Wd!GV#>ma1|!Q?F#n#6r;dhzTtEx_H* z21`y0kUG;qlTzpS=FM+g2=3R>pB`f2iVHM}t7PckY+E02ceVnz#{k^t0VOHVw=$~t zL~wV*%9_W5yRH>Ax_cVI-2?Ax$}l9>Ezkq7Qyq^J%xxLH2;(Ra`sIH*bO8~_g2 zh-8J_ho;4c-^m5{Fc!Eh6QoX5XrzAP|BV?lAKW7`uQ{09$)-qkwRLOu&OLCC!Mr{) z0M|re$Tbb-Y=}Py?vF69DcEk`u#r-Zw}5+`fMpg#lTF~xLa|>2MdJtc!J6!+UKpZCKcTC zEs#0@m444tDQ-U>Ke2fhxEEm$a0!zaC^S-+7%%qPln3rq%qx}!uBu6qs&2Y*^V2uM z?ZA8vV)6z>irdUY*B^BT+{;i|Dwa0MXTbkgaCkCUWzEHrnHu7i*+RsWbdX9KY8D_c?Td zd&sbilEfK}4IL-Yz85jCokrlY6&jq|-|uc?td+}{*DS2vGXVpZ@;4uz*l(8*YyB$T z1+?_TDxA}n9eC9{hC0`NI0x<P!bZG<>V{(o4BhvE zWq<)zUs9~I`WNn_wyzHX4+wbLAR~?_@x%qdW8q*<%K*)7PAl$~v&{S8G4e3$mKEl7 zR&>ni?7QLpuo!qM24O~40A_#1P^sBp@t=9iV0Askz?>FAn7z}dB<(W2%ztUoU>x z6FeqVcH987@2U*%G3ENC)d5d4l=vBy@@9p`L+h21Eq?{it2UU8^*8$`jwa11&5b?V zei(RK`C#@xsPs!EuQ^8lu-Ts-08g7B%>Gw^*_Q%}R1;JA&c}9xr@am4lrq99mm;;w zYdva;#+vJhte`oj2g<2|Rr(}l;=1gm2dg{w=L#$EXMIC#3FWOq{M#NRw` z;<+3L#g!Cbd}a1XeD+N63_yP!WP^d{m85|e*k5*Mqu=0tM7qxa@vD`j_|Mt&y_}U*Q|ypRZrz=zj1F@k45k0P(-6Qdii%vv9V;8}zcfA}DwMNmm<$>;aKlK~!1fcS4rkoC8c zSJpk#<&~SRfX9I>?Kny(&X78HV(4c9-n|*1I^MlyDK)?J7VxY_zB?@tKL>Hr6*GQL zaKYyH%7e#+_be@pkT6gsHBdMdgofbp2H@QrK}f_!5Lqg*qhZ^&hb_S4GeG=o2I4Op zX;S=U|0|83+611BXy^f$mpW*Q-)e4k$nYk3HseiX2P?!U1r@1rd_oo9+u$h(K>W`p zNUX`xq{Ldrmi;cC2hVoQs{rdfs3c|G3N9QJ^Bj2mCh&aihs5pyMd}Uqlc2c?cy^mG zA1v1zwa(WBvKv&r0G{vhhN~J22~G=@N?2hy(Xf0Rc=ls)yW!czNRtw~_@CeW+XL_f z7)bcs3<;#{k_{8QR*U&US@4j_tmQUHSg$7eY!{rboCD8M%&Rk6WTRh^+GI|@bFD6T zPWT|f9e~8zR+^Mphk1Qj?e*X}gL&lzAnP}dCT0B*?EOlW1>g}Z;5mn*q~bOM*7;=% zcwg{AVy^%NCib?}IbP}}c&?+44FV**5u`~8J^0Ey2E>5prVSFGWg%g(%6Evh&N@pv zc>WY1v1btC8(XMU{0oKw{n+i``NxRL@cc+sn8X{d*mJ>i-wX-w1t4LhiAp7mVur3< z)D=7rZ4lqc1_>S2q)yDT!vaq5NAai(6~5+Eq}o^yB)9t)ys;QP!U9!$-i)r(0KDap#_JYH$WiM&hv!yibp~$*4iZ|U)S#dumB`1u zGOi_fEBhg#4+rsgEHo+pPT+Xm3=?>tHbFwR84`!GG%4|Y(|d!ee+}LmR#aw$#Nlev z2;6w$bAY!ND*M|8312DX6Y`BOb-z#m-r9ah9Ku6jfe411w(y z&R_s9&VhIv1hJa^B-DffZ%S2L&N(fR!d?CGhL2-tGzB z!91SGW2Y*$hbiV*wE(>D3wUB#lFf?LB;NVQ+snZ_f&uSvB=w!jeqUh6rbY|FI~wyE zg?W9bN+t1sp5601ct1piAKHLFz*2>LfL*!!U^IBgp~55|WKB_}SYc>2LtXGDqrwS6 z;18J;sUxP}cGSKL-bp;3Cdg7}P_jnxzWf^K6DgQi3g&fGP^3->F&oCT1uu&Vr=f#X z6wNjRbqFTlFPaPn@XkTI&oKahIY`AaDw&2>>yQNA`2p~*GhqE$32+gL`5EA^s1~@& z_8fV?5WIE^eDNJ-eO)DdlQ}Uv?=A4AbFitT5BOWE)L(3RkLd2;UG4+#X9DndRH?hd zxYOI~fHwnkPRG3NbCeYS(7Z=@`cLq#3Zf(~FBpO}DXSR&g>Byi@P2MVNt{KDu_#H! z%>`_a1T2OPC2_5xgep~ceos_m(m|u5{-D3g;R9cS_W%#x zZ&CWkfRc2G-Pddn`qYnBl*GJ_sCgY_f7=oG6{8rGG=cYonsn0KY;VuA7==lJAb8JM z6oqFE6$ebmQl1vTd)S97nhYt5z=QWVI$u*Ubjb+bix~3@tBCz-?w;|-1&lJnMu!Re z2+;+uppq7tb&ywpH!P;v!fzO5f{im#^KGk=^r!Jg<26ITdkY!i9gg=7qa@u4tSnuC zKKr*1yx0BUy(cJ1_XCCfd#7NO4JBFdK2(x&4cyG>AD_S|O#0glxy5)Yl^bnYaUAc? zbBmc!(g3+}Rwb#p@pkEoJF$L(C~1JK{yqZ&&?j?Cn!$TkAfd8G$SuP|Zt)-qmB)|~ zyF(mcVW<+G74hcmzJY|Qpi5L?A-5bcNbb`Dp2(()g@kGZ@U()botQ%%6Xas=?%u+X zwE7I>*7KpASrU34b7+7jX)dNU#+XJN<|&4n1R=Kxi+LIe61(i&rasKmKtjz?t{D%x zRYhhkFy>X1t1ghVRw&ns#XLp1HUi|fv0*xvluJfrG9t&Ah!*TJbFs_J9U`WsaFCnAK<=;rNt@=! z(*n8EMV-@8Zn_zAXNqaFc*vcFa&vfsoQtSA0_4tMNocVZau)?5mlJiSa*&(KK(3u5 zX$~{~3Shd3Tw#P9A=2X~ zA+HTj{JS=k*}|Vv!k3(ryZP+93B&hJ^kM;Aw^2zXK$6hsD!~>0*BOILN)nK<-~W zNqgYO(*k+riO#(8K|EQ=t4O%yRpRk9VLFk^tLDcOk4ng}HHB8Mjfc}>N%m(2Jp zfaxqrYmRcw1jsX4NvH*;y^3kA#I)8X{N;zd+5wW*7LjcP$TN#t+9T48)(G$Upp}{kqz=b!q|ZVNgHp4ym3LuOBS_!Y{6dwtP4Yut zijRa?4u2tXx)_?lLf#A`! z7DG8!{DpE38$o)^kmn9SUM@>Qc_#ejhdf;L#LyR3{DsJkV%jDP{t_T>vncnK8GoVN zHW9fUk=qdYjfmWV$Zrt&y@_bqi|6+oJ0w8fAscQ8v(U@tikZTe zsV!cBydyT)^b7*Cf<){%19``Ms7w?)g-T9g>=_?PJ8Q*XLC6!t%+H(gR{-+F3trwO z3|$PsntueE!I}p`!=#m{;1?cG6RZW)A>AvljWr0v+INAx-%;Zg)Oc0Q`x*;**Nm{X zj6!m4d0}*YPX^@OK+p{q)>cw=JZ&g`sPAu(_ooH&ZVRxMQKjngDKpz-Lf)MKo>o{} z->>A{$p887CFdaTK8Gg*YhPBSUgf_ITpI%G4Mxa&$iv!}s#IHlia+=+tS`pliBg@7 zio)*JA9{?r3hU#Hus#;0u+`C0zUg;t8`B@wmqe+ODD|!?m0+!Z_pQpXzN`h-ml0rX zqAE3%-FDbB1=g1j;Aw@mqXLRTn|1HC{&}#zGD=lKsU%hEW7bl(@E)wMic(ckYN{$V zgBfirxe(UZK&k2|HOs6hoNxZSLHf_Io-xAuT0C@m(`Ycj`Z~DU7K321+go1`f7KOW z{d2@4);F-?FRU&6ZMXp$FDlz?GjO;A=Bj>7iOacvjDy10v2Tu*p^(vpq1$XV$XI;o zKpiMF+sMF9QVJO_7aKw(=0j`3_1+1Q|ij2>0`;TKTYnj=F@ifkCvK^Ci- zQT8V&Y{`&uDn&jo=pdt(aA!Y+!dGy7cPmJd4Okr{{QFAjK2X>Uc?L}sSzoV2hJ)Yj zp8@W)ima!WsHRP^=VbGcnNZkIAftSWtfQ7lMaH%~nbisk-?ouk1&XY#mPkd$ zY+m-44+{Gr&m#)**?>|a6&c-Q^QUv5us8Dj(MokPejQ}7-h(o}g~A@l^8ingwR}3r zDD(8KKR{u3XB6H8SL2o3Rb=e@NuLde!T?KdFe&nBP6ruNN(fAa!h=R~mr9XU zSsi5bXH^>_avx7_bt&>Gn+~$rIm@$dDE!_;?w2XDid6?0Rs4_BSVz14&3-^zG35C0mXF5lb2EDQyTqSebK;bvY({7QGo$}07XQ%fRdq%fzb>T8( z4;IKyW{TXQSYCrH)-CzQPmuiq?)4p_=v)!d(HV6jJ^e-S;+;|Ua5L38(4vEcaRVCM zhU|9*GW{hZJM7?ekcO9TZ#;_^JlrTefaczZQA+gYS>=(J7ccENiSvZDEo3H6*4d|) ziR#oKoBTfa)rmOE#~|8Iku@0|ZQcfSR1^&puOq{wHCI>^R@`*U&Nt%|i-!%C4g z3_8e0iyDu`DG0nC;OrztRtxGN8(L@9A~T=Jv$CHepB8kG&o9ewcNDy317x0*BC7^; zkPXbitaadx;mKqxMLy-%K|WVw(AaA@$7>>!xfEH&r-Q6NX~4I`!Sm2SW`rrSGOvTI z_f*48Y2djRK(vh_D{(r=x;ee9tHE;z@A&VVDY7D~gRHY|_@|e^^QVnWa8qOjn+~$} zUxBB0f#;?H(E>%5x9T9Dbsv8o*Z8ja5UubuT6B=ifz?ZJjpsBXuQOC9T2^kw8n3Gr zUAmwVE*hB0>HtNSHR&L0?y1xS%YM{|XdgwEVRVqsYz#g>20S=Y#B~aaEN#?5)+o$r z{|tD3K%PHYD6*762U&gTx>_&dLJCV(OenHMPzPDfI@FYit1-xPe~==J3p&WB6Qi^G zg6CU5S-YXgxPT6_>Y?aQa4m2f2e<%4k+FUqmVyX{Oh-B;MssYH(M#P7^j1*G}pKe%f23YZd7-wC+Le!iXPtqp+)<>#s)H<_le6esTotsDuP{z-rEj0hr{qsUvl4l-u@)PE;I{5x3okyeVlV9`NFKfAfX6o}74ox>EK=gm6E zVkra8pMrq`B8T{?PQj#ujB5LKy%&lDS6I!x#1X#vm$;GAGHdsgPZ4}vADUk+Q`irE?9dTa=TB!{! z`-DzPi8RPkUCLff!p$KDvI~Uj?8xZkUb3QKXak;?c|@jhihRwdgDf_8@MDBct&OTM>Ca#ZaBCI2|Np)L-*8c#I}QD?G6didx?A{CB0kgPdIfMDw!FDOHRV zIju&3`cmB7+4nvL_XR)THZO_{C}r0mV|VmFehl2_Ea1LqqR91%=)0wLNqn+=r3pab8AF#rs~l?3&!8uAlzB zB5tcgo<}IpX;nBKBy>Dlycf7}o(VVM$vUTe9MnM?Ht?4>z{V?PMB8L!%2pdiCihjw z-f^4yf7bwa5VB;eAVuy|tfWE47Q5DLH@I;&9QPYih7PmSv=f6DI-%ZTXb|r3Egkr0k<2=o=5GO zJiw}hgefOWqUF~G5$%(8CVynmK^h+TV{z-_Wh{HPl_Gl@DRRPp!)$k=nO^6KGMRs-3yDkDD`>eJB~)9mufe&AkYL9~tLUZ|8vqcb{lM>^`9 zCm`BSk*k#wX^_P}>az&9O5zMIZo!q2DW7vXxkr84Vl!?GpMgAQ3o>%jpL)4N+8-~U z0QWQ>*$hmPCj}jyhK$qQJAu0}NOlxcfj#XM>H=ZC)ZJUYIMf5vE0DT;=_z&^R$di8SmH0J-Xpa$FtzZId}IkRz^;GHK>Cu zw)bSo-*9&~@*F~WCZ93uAfx6~?YbG<1CeJUPjkmBsN6GXctWN7vrghZa~t4>a*70# z4${!OYBk(Uy;MN7K#>(0icEgdqV%7gZ#Q`h+?|4e+ukYiWu@#IWbAjFKf&BPVA(rc zW#q>tmE1MRnEmcylfm82KsMl0WDKj5dvy5+ZLn8t%_7=Hk+@PLmtCW?*zKxz-0R;0 z?b$jgBPVsT>L8`keaCiGY8Xc8{r(b?Cg``_vh?wSI5bb{)f@1r_3$k+;vKYRw8AB@XZ*4jDPQgi>}5GP+pXa#)k)8Neq&WaPx4UnlosT{g`}o@LNVgWXHOW9w8I~Ey~^ikvyqYl#Waff&6qsLhRpN^4{ zpH#Fc$d`vcSvUS? ze1PXF>b&8n$W@#UGFr%YE&|sT)On5SoOnSkyPA8ki7gJF1J~ssqN&d0T6(!h)pfM% z2Cj=7;B!qh_vI#?+~IxU&UtVNCcuZIWaPvLdO8hJ1D38wk3*gUFC!=8XpD}mvQ3IT zd$g#8&r%*hp30bE0w2&p#>VbX?G3KI$n&68);X!2p3ayz-!54ST)R={J_027#h);G zI-|4NE=S}})VaqhBPUF>=;U6^G5DX$;PRWuV{I}rxw=&c8TIG3HEH157CfHu8WSMRry!KS8yU;og>y@iE6cMnnrTvd2oLMBS}EYR_`sy9c*|D_bCs z0m{fXynf14gDhKZ{99wewH9^e(DvSKi=NIh8@Jqh5nQX0>snUU*^N`Iq|sUW>itHy z@L@+2qHQv=2P5d@UMf(w0tc=PE24QB*?khLgDg4fwdO%^Ehp%JjO>}BSE3T7Y-5&z zE1f3~X;S10J!J6%E8lVBv!TdysZmCD`^BJ>d)##9@F;x16wAJdr^p}ltQ7m}ou87y zHQPrXN0pJ?YX^07#+*%_-x8mKMV)gP8QJqaJ!JG~Ht7VoCIk>ob@uFI)6rRMX3fEE z!Igw%Pd3Xs-`J~HqNu0F*4u>-_1ehOyEOMERvn%2L)(haeR~f&r3h=^^8O$Vua2`WzPUA#54> zQAa^XXY591`x=-&6M44wQ{)RK9c0Wmju|GH{;7dHL{5>d869MFpjqPpOrPQ>52?$@ zq^f$zVg<~pbuj&7v}Xe=)%l}diK3jtXMYXTabE*IWKWT+6?id>+Fj4t%={0)*Gm;vATL6NfzIy#G4hAqMmVt#3W zoOhMnm+9$@I#%K8Mf_}_74VHERA&W2M<&UwMS0~F#V?> zd54RP#8={=pXO}F7s!nBkvP1b;LCL|F8f1Vks5=kQrw#)&XWFryqycUOx63x=S6Oz zsZ=OTq7-Q;aw!cVcN3D_+DVb3DVLvwMoMyPk`$fGKKJ9CA8lIxVwR3lZC*2>Dtux4DUJF_r_Y1^>o&t6?p$ zVF~u>Z+*;mb(q+aLgefA+~y&+6ztJYyQ4Pk=?%F@u-srr)TTZA;q^r>SlW;6t34ee z_mTsaKB`2^X%7YoY{YWcI-@r286!DEBjhJx#v}4 zL*GXqfP&Y1a!=8ANjyk~hP*S_7mhlj<+SIkEOnyokV0(e1MRsj1&!R0`we9w`{G$B z_L=i`^57Rz4sF^KWAaWp0iPNZwP}x=$?t$=bKOx}^jS5hu)ZaZs4e<5o1d`VMbt)o zUll)u4f$AKTRSO-HtmT^}|-hsA~ z!A|lZDQ*iB+fju)c#7EYI6f+fpv~JLNS>di*$^hf!Jf^Wl_;~GyG4f`_y;~)jasNN`cP|psG8n(5Z{rfsp)NBM$m?jQPbOg zq_!J)xpG(}X{nn77KCE~ciKL9!`c)9?}Vg0+kXUz*QTQz1@wkFV1PXEPVd3K9{2v+ zN3KS|yCBKuHV2IH!Xe^?7;kAFGYng8vR~S{^uCG(#3$wddHjFP5wIB~ZM8`N2RT_o!h^#Uy-oAr z(}r?dWJfvl>FcJBi{5QR>>{#8Rymh8 z10ws<$nNuS$L)_kL6ZOULEp)4-;Op@5D;%V`WBy3ZkqLs^4B73%!Jf&G5uHrG|PGu z6#_>#3iy+&l;b-6=yte<_C&7cko2R~oC_aoNGrjAL_lY%dYcwdW7pBRM7I=*o6q;9 zT)#w0arJED98vEQ#=TMtNIL3~a4mG`8KfyBW;7vO`b}^p+nAkl{VoC;i4ADClh#}B zD}ECJ?}en}l7QwI4_;GTI#)AS++_zeD`Wt~sUcZL(&xDT1-5z3&UHnu`ylDGj7uB8 zNnIkKKJFII6&?FoIW3^8tc*c8ZjFc8sN?>2P_AzHt*eVR4G@1AE`8JoBay2mK0q=i zwXq-R!>3QOdPv<{@o3qcgxHB~n+^Yu&Rv-8OBb#(|a+eqEo{E6A*T-w}=m7`p`V6X-spt|Dz(KYi~1zK>4R$3wkzWsaZHp8Pl`!j$3B&OXH?JFr>@Hk973*k_!QEZ zm~r3W8kvqOKHog%WBXEuCU(xyEE*s5O~Vn*@{!>INNy6NU9Oua3=E0uMjR96vWuAA zD`Ixn!dm^1>p@7i%VMUjzmQ|RGBFz#Hu$Thh}r!jW?B2!%|ovC_%v5p%tSr$_x0g6 z14A1(kJ$ru-lr~f98ic158<<6V`9d2^NhmkiJxIqnC(mB^Pq<_IKmnO>gH_K2mHu@ z4}ffMlk}+g?ve37j9?7`Zy&V{Su6F7BJL5j|IYed=X9+m|vt zBKlPKXnfE&?GEn3VwF1LQ+QoQ2HvM8T;VtRUlDT|r5 zqDqeO%EWA%+u$#!gLCzB@R)6zS@ zxiVbZ8YZcea=oB(eZ8piIDWUF2^r72L-GqUeeLEsPjH0|ta9BSQb_w`Bl~K)KkA?c z{D*SABy>Ms=<`Y6{#p|4kjz6Q0va}IL#gye}b zeVOHnvmRDAVU5pU+S)eRpK?tO(JwmUc_U6CYjwBa4Jnm+LGr6sqnr3}_YZ5ChCtr* zB_AuuLxm6hW;WXNG>@+7qqS-h<@CmfhsR_9)^v3Bo>WoxQJXZKE3j$Pulu-q-xMkt z@X7ZLkf9GGrxH%GQGd;`ajthPB0DY=z3PYKT}f~63(4S$&4Tp6y;HdY_y%5`wA0+GKwzYQn4e;ktYWcjPB%Idi?Ts?fOKFZ}&bSb?}$ECXv zXCNdO#>k4N^xj;a1V^4aA5~a0q#Paib?J>Z?T_f1F05LUH2nl52Vy+Io9>rD(*m0| zz0#*^de`t}Xsk2{f0H0$6bEm5fKz`o(xZ-EnkHq%Q4cgae67Cenp^Mr2%8>^KaL=4 zda&c)H!ZN~QEw2rWMfo=j!nMZbMLN&3_~D!L&$jeY)ru4Wnr7p;7~4%suuA=fZS{xU|XoXh0QCn2tJRs+{|mFJQjVodmG%Ywtm^&}*3 z4@=^>+Rf^TCysJ|;S@Q#QGe|e`r0FM+`BDyU5{J}B=3~z%jC=_A%DH%GH~q^`r0q_ zWvloSnF~Dy$@?Vw!XNQq^;{W$y=7zdQGXo}`U(qu)vG$O4RQ^Kmb+DkbF?4FOxH}gt+FZ27euOa^3$b^wsoG_gv%}0m&wp8nvrJ zX8Fq#Vs*!#C$xKQ$^JBs$3z@Y2z}L$K3&Qj zxy}oH{o~}i@8;Ol54lD`@_COWubSnrM7Yvj2Chq}GsMBGM=9lmz8)T1FCDp_gJis+ z&ivfhEPo}!mF3oRrIhFTN~tLHHLm}_cE~jvQYy&wWtP7Z;mXC1rfnpSDV4dtQmz*I z>is~sgUIzfelF9OS^i3dE8k||s_x=(yk6w5H~UWg9l2hBl*poGgTea5b>Q*X<$B zHEH-ON07?}DGePGf0^a4M7TC942m^9J(_+qLI{!+9>q~~E z`Ri_lbJ>NyBJ~i`FWalH#(sZ`75kyR2D6c z?ZP~c?L(YvNB?KYI5YuL9&kwFXqLYc;X1^yG%knAxgPd#uA#19laOm7q;#-IxXkib zB3wrm21eu9S>aq=g}&a~ne9Wa|3OOUpoGgTee-Nw0k2`*n`PepY?6n8M$78ls*zJ)BKeP*B?fI^$T-<4G3|rd!}6P zL9WS=(%&KRms$QwgzL1?UjtRnHQ2+s#x^d-6`@M6Ldp{s371*^N`&j2+Ym=w8e;Qk zMd<6@DHDk9r$EZkpoGgTfBlU+X&cE)()<a80&xuBn3S@!@+0A=m4WGFhfC zv;36^SAC~}>ovjkx|PRqh<|eze2U4T1))8DZ|PnIX>g{;+#0A=ev_ z@`l4aj%MeNdAGBwX-1R86M8{^6;U6T(co1&0@}FcK(SoLnc|u>y1lJDVSwC{kg_Jy*zD(v{`18T+n9H7jY2SnwakzRvc~Jd9i( zNLd$@aGB+=M7W-H8~pW&(AQ^zYsiw`E0OD6NclvfFVp;$2-j$%zBUW4FRVO{Q`)cY zgKMZ#s4zs5V6M#|1#+S46KS&_foHnuO-{Vpr_*IuEo3S;3ca=i~J zyMhufv;36^*9@0|YhQ?S9S~gQb|)W4u0@dYtxR8L`705wnPCIh_k!!7mB(@G>?JQE z*9VaDgN(~8exHO_L3%vV2xl#?=jndPrUxIQ%M>n!I=ttz;7)vLB1xso8|tc=Sne|_X( z$6R*)(w z>+9qFzC*4QNWIn}(U)2NN`$M>Zs58>^#xZ0C->J)=OWVO+uV&X39Fmuap6) zcRD0oX89`-uJww6>u!~E*@eDbljc-Mu1rX6W|452<*!fhV6?65{I$icFGug6h(7C^ z*l8SFD4eUM;9CFTxZcQ>1*t70`Z8O;&r5{s>mXyLT=xsEwoV?$#^>kWhFsZ@dcTaz zEPo}!wab{l9y!gIqa~`hZ&!N3;Bu2-jZK;4ep*b3Gj5T#w9ru_JQj zLTU$xgv%^{CBn7eYT)Xua;~mIUkmp?`5SUAh14zTlt3eB8ph zYNp)!IdT<1YJZu&%<|VSK2~?x`Rn(vzMPi7{%|uJ%@gQGg}WtP7Z;X11rxQ6rd?Nr_MT5o79 zIZyLK>Tsuo%PfB-!gYabfX4Az5y#O&U#W$gw<4DhQb)$2uY3rzV=hx)(I;V&vV49Y z{cRCqqg>AmuCY;l;rC8{8ZrJnauq@9^Kt0wGPvmXPE5J3j}RuZQ7)Iz*SM&@kn8lu z(dCiL52^TAdh`2DO!Soy*G)DG#keL2eND1zx<{@SOP+lYxdM;Cdy< z^Tbr4uhZ6(_aav@q)xU-{ADseUIy1T^a^{@D&Y|Nsv9Kl$wuQiP3UX7(AU1%^{OG) za!8#f(U;lyco|%BeQCcE#JOe&ea#g5nl|8QSL9j&sWW8yG8rEe;=&V+=tliDOXzEk z(AUFTK0AP1DC0q%%)iUcj#-w! z6rZ2Pnm59IYcNw@o68cJs>I?n#S>@B@ykiZdek9YE$@rL%zuNi?T&Y4| z=}~%PfEW|NfH4@xh3JD^utz$HnJ4-}x%HMy_>`nkmtjY5q!t ztAjC)OKn{D1yOyWzp7j2ypCKYkh)Zn=-w=UCBoIoZSYs2&{vVr*TkfPLgZQxsf99q zndPrUxE@grTz;XiR%WC5Yo*ZF z$3kDX=61Utxi&!RN{PPA=J)xDaN&eLx>2sRLSO4`T=yF*^nVz+K7rJ=GJToluSB>M zkAW)~;=11`^yPJ~z5%&Dh13mJiSEtvS0Y?b2Mt`G34Lu5`sy-q7Fmzp2&tQ7`ZCL3 ziEuq@%wJy!eQgu^+S6d;R^<8&QooSs%QSx_!Zlhk_-nh+*AAgCSA#mgBG)EJ-7eFY zS^i3dYm8A}-w1u}4sqSj&fP@v*JeoFDbtr({z`<)Wz^SRJJw#M3g4IJi337kVWF?*e%@IVxju)~0}_3i=C4G!rn(LKIwnlh-Ez_4-{z`;vo>5!yzMt?_~u#xh%`RRVCQ0FLHeYs?{OkGRt3yaQPUP7RQ<*jyDN?RX7v=3AuKHS~DWyGRt3y za0ToPjOwel;JQWV>(6=vo~9c3VyiL9RWZ-e!@+(JX%@!nKaMOVigKKF)QQ&{wmzSw+aT z7t}js`ZCL3L9UVb^VcT6FV$C5p|5*{zCLyJnS@;XKy50~mudb=gzIxRa~S1nF7$Pu z(AQ3NZVqyN3#!Qv6nz=Ngq&-ZzY^ivinVGRItqRDZTQqVLm2_h|t#`=QfgcfbT)=6i@z2glnJAz|~dcuO32Qhkesj=&RX>`>G??K~Nu;>B}sCCBk(&Y~UI! z^fgTAYs~(8-$AaQKpi5}ms$QwgzGP>flCqj>uI5{)e+@yy{z`=Fg2TY| zjL_F88`phq-f|q@D;);)8Hv73^H(BVmyG&)F2wue7@@E4Hy#>?Tt`41ZI$TWZ2px1 zS3x<|;IFYlUoQ!LW!0)i?h`!<>WeacndPrUxGEa;HBRVjqR`juhNDQH_!-o3GJTok zuYxLEBXQ@if@}D`G*3(t`g&F9Yskc5n~>`lP$xRe+19f^(qI;A4l@M2LyMb$_(AV2S zU-fG~xE;BE19hfMUnco0A+B2#1J@j(ulYh>vsPVO1G#<&b&f<|X89{2uKGrOy(9Fs zKB}U4CB%g%jM0tu$Av;)AGo>h-zj`z0dk!Hb)igOCiyENu0|0( zSJ$t(zPjqJci0XMBjaNP)Wt4|?#=SooeHbF%={JoWo1&9l%w;noIy^uFY#B``@^h1 zc5P$f{#txXpQ*_82dK$1f0@j`3KHNdXkjyOb+&S@&e1%9_gS^BT=6gDItgl8Na8QE z{Pq8H;p)4E{Sr0d5dIqFAn(aW{pIj*t_}{(U&uA1+8^Y6=uc3y!V)gC{FMmT)5bVH zAoTU1jmL51sU|Ct>lCOqdlyeCZw=M;BYg*c}{ zT^8de_B8K;R#vvVOxjwgTC4hURN4jY?aW3~K@o2nBV@g+=U3SD8BmL2nr2UiF6iK9 zyOXAO+lV4MGZR&W*^@ZrIFE$c&J<^Ph$%;1B{F8e6-)Xc*I7_kNHS(YcL%G7bLFh@ z=xt_PT7-HT%4u%u8)o}bu8&2=T<7M>Sw7Hr4|1IY^UU4^;{XQCs=jbidzZK zOzJ0g&h=N#yxGY07pUtc8M9!BgH?28xSmv4ebir{3a-tfr(F{{v>v(6gSydX-qQ+( ztE`?Y!}Uy<)knFu2z`Ai^!0W3d#*yRzd`+6rmyF0tez{w^@76cqg>mBzCuD@4dxFj zk6af(-6qr5i(yvJmEjs^WA#z49d_OycL{wtYBqcsx&8rlhfH4+6;{uc;d(`7^--?f zLSOsBT=!dE*#0SUT?BQHOkY#Ntez{w*d+`i@5j%O;WRK@<>U;Ng&&qR45uJN6-c|v zBViCZK7NL5mw};*@Iy7>hZhe{8;cB8A+4&+4X3GmQ^N3h_}?>#GhE7Wvx_s-6Mm>a`MzI}p$4Sg?2|BT zQ~y1KIGd#mHY;anAp9`f-n$JlTmxw~nIFDZ{yl>@bEOOog&*#)@mTb%`Y9lT71A2Y z{IJXRuNic!Y?PsKi2I?5n==$&9Nh>Ru7$Kat&&*m`}YjGC#Fz_rhNXGc2AfyO#8n2 zI%K#G((ZOi81{$%wI6bS39@}DLvx#M>Jqu{Su$i_4{6P1AqcB%cUhN|&J_y|S=qj{ z>6RWIg4Pax_%-ZnaiOhpO-O4Qk{td~UXP4Ho4WiOX6^CRlrzP_Y?Psm${8L|Im56P zgGqY40n*yqB@7Wet0#Vj>S4AoWoYl@3>_?-;lSib2V}Sr(%O3@41Y#gJ#jN+UktK+ zDMLpeXXvbOhGA6;8zaL_kk&CGVL0n!^~BF`*v0mx43AhjLwDhaSD(H59%QHmX^%K1 z41e=_;%3Nc%6&)~dI&%C7Jit&=~y>ps10d7WPT7A4dZ8M?=bkmDg4kk$Yb$#O3L%d zPzTbS51`U|5C^kSz)=G5c@x0rX3fJoD%XRwQ8K_gt*k=f!KTba zS6Vf|X6PSnBjq$eah*VTP^^4Vz!wGJ%O-$>cQ0Rnfb}8mMHygA6TsGtMF-f{1n|Ky zD<}ZPQWgcAC;(qE0dzL7?nXcxq)n6ob}#|##M;#Xb}<3$W&-$}jqOYUrwTxKxO69} zzhXNUuY4<{O_c%m46zDDh@H%&1MF)8_*j?~6dn|dZWQnhH}52GnE=*XaLq9UYyfF* z+9aK1pb6mMpuS;EgTqV!p9<^CX`Q6IgV`wH9054r1hD1HO>sG|@@C0B7Bo_Y?v)g0v;^08a7fJ);4>7S!7`zyia;X@DX(Qb1Jz z7ArBGByG7m(4J^1F@QGF5c zE=b!Z16*!^k$Z5Js)vz#P>k6WaHjy=6N%Aa&+@hdw~Ql8B~2i0rwq_|sZ#?iap++* z4;sCydGLDfEfHdoFDc-69^Og5j|D^zCPl*45U?qv?YB!tk_|RJjNF489r|)|4~h|o z0v;5AhhqUd?X*pwQt=f8yc^Pfk^tryd#nby*${T>LETv_@5R}N4dti?b+^S(z+VL5 zZ?S;gPFlw_JE^}hFB*_FBc1l9-P~| z8d+Rw4r!NMl1}oKgH?ECJUA}G>LVUZze)gBi3LOt9xL2HJlF!#EB;r2-NOdJY68$2 z3)nAcZ{uF|J_6ng>D48GImtFwq3|GP*XTxUS{~fPOmu2R^I$=3t~XLn8%e}zEcM{^ z07LKsQ|nu77#v29sXcG6@0OL3%fvc_%5nEyyYq9=zS9w^0w~ z++ZlDY497TzMKZwiJ7zz_Yr`7V*xS5UoWZqD*`?M=}sBoorXr_A#SR$mbnIV%>c!E z4fWsvJNMudv4H5ouOjWYAmD?L{2=){6^U8oa7?lGYAA3=MHXqln(70gAOn3OGUlvhM`(C14Ek zg&Thvg@6x1`ZE&1!gh9jBk~aAMVRO&LVU^uP%LUvz~_TJ#4p-P_u^+uW{pHZ2c*BC zNID7T0bRpdFE)<5ng=`C^yR1r^Uf-Io902Wq)Y){7Jw6D0dc51)3EPY1ndCm<79w% zSth#iUcAg?s4MKwit*VDH{c&agJOM~0=^;ur^W(eh`Zl)PZk1pg!IWWz+NUH?h|H> zlZG`9_BR{|_25#x-V@yfpjghMfNlZ!h6&)+x$n49a?@2dfd zMNJBb16lM!PWpmaK+J=a4leGEfSn=z9aYjvhO7EUG{9$^dYk6KQFgtJ0_G30>TMdJ zSW>2div%El1SGrC)bn-Eos%CW^T95VZt^ThdfHhy)~#Nlam z!9ixHfJr{?K{eKc*hyOWx03b2M<6{}=E46tS%st#d59<5_2sk>FLCH?ng^c>GaCiW z6duee1=!YJbHyXKAz)WX&y)eWJ*+|wxXid5LOr<5WQBF$wrdoavl$i5n&SOueit5puTHWUMxUSh9YT$S|V9+3XA!yGVX)Sw=WS+$}e z&dc-Z4}@wkucb|I(*VVKBL&>E%sz1U{6TjAkpAu11@JQe5ixGhYa4Q zfVv4V1>9og9{kc#+JoJ0%)>e=_k#2-z63p3w9}YAC7>1Aboe}KLQK~ z4S)y4ls_B`h#uUw@a=yP&#2zL0)Q0$BLA!YULVG+8{#$qMPq(N3~-BQxnH ztP_3ANIiJc#Wi?39MeemvHOzZ)e#oY` zX}wsiBT~S>f*kNdBo^==$HK4dWNoHDq@P#J0b`aqw4u&;(MAKTEr((tw@1m*BZX<;NfcToS;!hK4C%p>gh~0X`jM4GRzcW!2j>z*kge zqkxron#s7@8VmT0W%`PVB*X(Cqq5r^FlKtM0WNT{MhFZAEU53%+lUAC8!4v&{uX3* z3RuI(J$PLyz_#|;ix!PRz{eru8m9#Cay2;H!5S7G-0jxeG{BQ#W}|>NL^xn=CDwy& z?IrbI8;5`cA>&4mIbfklh?kjZ@RGr+RD=08?nmK4ap9W+)^%_|n=2OZAII*zGdmFQ z3CO4$HV0g0Tsf6%aJ0faBRsgmVADL<(#pzFz=lo^c!xU{u&v$t@ef!>LTuWL$9PHs>K=x| zL#$hgrGPD59I&Mt3;2v>WyS8T5O4@&+-o%lH0{OjquaHOG_3XFTMRa7FV?NZQouGs zgAasb0snD~9#%UK0f$0H8<_@;CrFwGKXjG?%o$b+5SAXd>C0&$_Pd#l0y=`+gAYea z1HLoj;{6CX3^F<><{rH4PV#JoH7qn3uQlX@Kpy_bFiSFbC{wlBj3hckuuMDv;5~EdeyHWYG{WGnvgS z9q7};P{5@@Rd3S(lN4s7fR9Bu;1jkO590dZ_*ETnc2W5$$QU3?)T#W4N$4nG%+!i@ zlEQR1YnX+Yy(j+@8wFf8#l!3raEQ>LqQn69|HskD*R(1E4u_1PG7VmCCmH2n4KqMk zdQ{QdG!J%XCVCknV}$6%BTYt<-*$Zb8Uj8I86zaU__C*4H`!Rr958n!GYJnCX1SP= zdhmHC5Aj%cX%7x@G_Q+*BOv2>$w+e9okZcG1+SjI&5ONv^^wB#meqoM^CV8pKtg=q3Q~v+8YHh{aMd1$@QM0jHD#{Kt`7 ztNc?4_$*|+5;6yjSt!x~jhDSBVE*TBJ&e|i4>6N2#80TqNCDk04mcwm8{)S1@gtsX zjesK|W4cuWXf~TMPQf(5rMzL`K|CXhZal<=VyTz{iZ$wt*^$zKukY+}4FZmW4A~m> zWzS|_iRfXd2lF@C^)@ZU;}vG3fb&D#g9|LB0f$z74_C1(KL;7_xDxbWdYCmVJb1#U zw`qW4C6)rdFGiBZ))>GZr$cwShTVmLqakCFY$Pc(c!6p#W;KQ!^cCM<1FpLTxH#U1bhK9 zGG&0pPFCTS0bCkn^-;jQ5dG3kcQ^2hoRj>Xzisz_hFoJHBVQuD9AAXh!?_AqI`lT$ zd2{l)L&}V-?$JJ!tJqeG3l+Eay6^8suCb6&6p;kf$e;zZ^tDaKN&YXBEAJN{D@(an zimAaGTTDQ4!FORvr$-R*MaWnsnHt2*Qz&3gdk1TTz);66t!3BSXi~}T7}VReKHH0z zqk!uzTygBdI6SiG!2?yRkS^hZjP+PyaNFzK*o=5bHJFjAFU_cVQ^-AJ)xc50C-dY9&^V4 zVlHVj;t-i6PlSx0C4iTmOWKE6!(4H>(}Q}OmP^FFKh%RK6rM{?dP)QOm9=dU@PCkj z&!UO_z171G>~@z8*gT?#(URS$u->M1iJw$vqkw0`9P}?W1`u<}Jx#9}jewIN$%Lgv+y8G+e((9&OntdaNu#a+u3@CKh*`g^KDtCq+$ z1v0O9N*re#8mQw6zhGEYW;88dZu-mXWo0{4uG&`axLZud*Ce=IR+TjpzX!z~H59O!jRQ6h#`F#J;IWfO zKSDq^WHt+$hxoERSl-|*&4bOt`UBCj%W!^h6tK0&tZ$rZeCv4Rnhu$*6bYBv`kAr6 z#Md`&XQ*`jEVEsNJMJN8jN@?bGH7nm(+K!FWIo`L0GiBQa{Aj?D=e~L+Igoq^yR4I zmU@iR>nH%blmh%V6liskM0N&bKKx$+4pkU5jVvY`@=H(p^G0;s(NPUfAlDm^*Ovg4g>U&__bRB_!uv28=HHzD&;yCk4yiZimt$MuP7;CkH7 z6*tHe<2by6U#Z$Zw;|w6$b38`0i39?3c2HQ=JQ6l;_}=2^yO$*T{_XBw`s|4r_pi4 zTpaMJ*qI6f9;n!g%=O-a%qOi00(LMcKm&ZsRtm6CT)U=`eMZbwCPhknu-e?FAq1QS zna@gQDmjHj0QN{0IhjYe078ZP6=@jBC4@(pa27ADfD0VlgYUaa146w6q$pDg5y)>8EYKCr^oN^VF z9&mf)xjvZr_f5#{CXYvTd@N@0z}4Y=%_o9#;gA4xY++$JSb_})**^&Vt?YBzUW&eKj-4`I+EJ515r zw8*+_dYinf?lMMptG!fD=sVe+vA5mJ$h8nMKMzT`((U^8G%n-xO5d)sh2L=} zk$p8lksm4GzHlkW^{QrXz3|T1$Hjc#igLw#A6gzzv2aSc!lkdN zWd5l9Sg$jgdVTV8=+lBiKL%=1FdD`+H0j^Wn!BD`z#6m=$W|zi zFlmt0Af70eo}WS93>Ry->?ocKv2qmf_8^a9lVEAUpOx0HB49dX-KoSOvmtiYh=^iU zpWY^q;sp;YM*;5+(_w)0#TFup3y;htC!-mVWp^97cwZFth$xDKFFT5boS!n>E24P6 zNfgH&@Ad)0WI|TUc%ryhWd|ypG~21SNuA`iSXns=h;upC7u%ae@&0-RGY~KfvL1*h zicj-K1mLwE)-bWj0n77p6wo1}*xAD~>UX_sJ%n7@koB-6ie6CJLHIh;Y)oF1=0eq)Jv+jso^{bHGPU zqWJcGzTXfq7qa@;;s6|NXN?HJo5OmW9I&c~m7{?DL=*>#C^jDd*$v3G6tV`$qA2PS zQ4|MXW)yYzh*5?oL==abMA6dy=N<@?2U&yTiQ*BJ9jI{9hfcjs?xY&L90hz*L~(?> zw9LxYyn6})=0n!-c%t}{RS%<$iw%N$n;h`k5GzLkpH+EAecs9Y;u%kWlEexi>p6!} zT`Z$|MLi;l;^51U;taQe;rS4ky2~VrbuJWdK$vBaH700;DYGx`H*wOuAZwTa%AItB zF^VsXDE`kRiiMSn*CAjbWKD=CiqCQ{2$^BuiEd>B)-p!%6%oa0B8pWfm3tStypT0T z7DZ7HX-~_j;^2u*no$djjZT^-qBz4=TI#p7=u0N@IC8t=iJ~~)5>9g4F3(A~7y;jO zaGA|^lm;xnumX9KM-gPb6^=t@1H*b4tuIzm^ftN7Y*to|^uEw>f8RcN@5u21NN32rO9I;5qe{nd*aakWZJX$mKo>on&J*|HFK_l zu*%vildJH1g_WaRKB2hf?ij`4s>S22p2PB$S3*`G4#nk(i983)Ypt?|%LaUe9~=c- zsq$R1RxJ(KYvXHq2)GKeWKYA0S>vZ3Tw2cnLlu|X(-3WGpDj$~z-7rnR*nLG7UqCogi8aCJY}DQfFDEF z7PmQ|sRtXeHpER^xsUmnvFs4Pt*~+w5bsi8r%^i`F@OWBDzDBSHWLBYK-P9i=QZ=7 zSz69J6=V&U3FvKP4Dn8d1MX8|0I`$2*3CJ49=vc9*)0k~e#!)SorLVBAVaJh?>qksoh4tP{84cMbo zT{7`3fvm%hH~@1@0GnSX;0Buk@VK>9e(t&3*K+O1NyxPxvW|t#xpco!NhGD^=NT%i zo7jls^jn$I(_Lbqjzh)9-g1Oou8M7($Q6XFlTLFk-S35Ru9)8+jgL!Q=Ac~X9HqD( z>*;)<>iNycwE?ou$^6yc&U_)b@;!Q6TwJg zbn6*t9CMoc^tQOTbXSoHSN2sl)&a6B$M#SJ{A*(100MrB1%r}+RuAi8C}7N5b6kMB zyKX694U_9pr$ZY*$y|zD8zH;ef5fG`FOG7V+-cl@OX#KcndG4dpFy_lPUDzc4iffP z&PSPxAB^AB(sa&Q`8YX>Vw0h{r2ob2X~7{Kfn?gImScnzlV z=aAh@a*k7Y+|4TF9@O30LTnVUFiFvuqky{alyksk#&e16mZit4SMIQk={@jAb0R)L${U^)+OV zkmX(z$Hh1)F2H5tIu7j;V@r>!$aQt)jHAf41G1kF#^E?IViSkN$5p^n4dohNI-q#x zf1SSDzeKKYAp7Mw0;-$g#LpEo+T+UuW*l|g z>q@B}jY zY|>I&n;;7waWEYdbhkuPz{M^Om>iqhu&efLefP}>xDT?INC5MYC-@FyMzK86sVRJ5B4!#?-A$z}K zJQ~UF>kdaO6>;}U7Vy>yYky?lYt#P7_?=v9#*ea_TJVqhE-3C2J!8N>J|4LI4{Ylo zc<-~r%0eQlHQ>A3jZj&;t&o{xhobo|d{^`i`6~#|UlS(p7Pw(-B@g&22FbgHVSKqD z>9+`Z_kjXMiz48?#3Eh#N zTH%47BPrHu2Xt-c0mrQrWW57k$hJd|S_&z-$pSCVw?nprezqkH#Wmc}rM`=NhNMN? zvB~SKd7UaEzV0VkXn%jHZ zvs!|`d=OtwNM6I+N?u{VHh4S${)!=dAz-N6Vm1CiUl z|MepHt1IBIhA0nM*+)eWxfZrqKNbAf+Q4u1LH1KF_EF{*r>$J?x!|v92mkd!D0*1z z)x|YoY{MPkzsZL${Lz-P{G;@<_D3hKh=9Ki!q;&^QFs1PQ7>1@knhKVzn%m9bwiMm zW@Bw-^mFu_xa0)*Z}s5I2AOr;77O?rS;5~>g^W5L^6m~7zVL_f^5|zx@LdxN^qX%Z zpWPjSoKGV#`geuA>u-esP7{)c`pCP1PVhgDd0;FFyMIs^UoJ@AOG^<83I6#UCc0 zDfoKQ0~78Fk$1yGFt2|EGRa+7;2#mfmja{k794yx(hYu`lBZX7kawel_;Ny~!%g0e z!S-GVga1Vrc{dK6^ER|L{}D6C-iP*;r+JC}psV*~#?$oO7}edJ#l>=tVCCz^1t1-Mt8F#9O&#_(PB zJ_PW8;Kr8~)DaH$kvb;)L*sVegMUd7U+9nzsS8qq5NK)#b+?BXJZGI*tlkCwO!Pp8 z3TjIq|EQvEbxPg>@aH(epB;uJc$tl)Wy~;3R@>$@?uD|;3&ET3AXq`fVgdg$Y-|~N zvB=2^W^E3Ru94pa{606ntdJVyb)??lP8#;ZH1G#-uYePlgcP>dlCLA%FZ{j>{Ft(q zti+F3E2R35?f4gl3>UJa99iR)MPtVf0{_|wzOV^Vy?=vqYuAH^(S&=g$GzNkzSkH> zm9CFo3;vB(@PDd;e{+z1qOmYzy`zZM{&z(aSVs<%+6`sTjZwaxFGHSTItxjiw z|1?&3T7mS+Z5*?=bLRz_$JlLsl~1D=XPk z`^MGpgC7&O|B?b~mYr3o_Hwlx@$m!*l*b%YPKDIYe6Li8+IH{A1`w#|guqo{NKbNL zV}U9j2n<#srO?OfNUiV4$~5sCLv2fm>`4xY-A(jeV@G z)IK(kuSY8g)W^2!VOvk}k5ZmjuUY}~A#+2dp%E!k%~dgRlV5NH>{OzDBNl^iN< zb|kxM-5wBVAI6spmK=8Ry^dO@=7kSKpd%)PJ!H%Xv5%6ETHko#HYWr++ab^?2-$_a z!j$@!-De&d4S}xs@gvxoKjrZ0Pe*z-961sKJv{irVeWPht1x?kJ-yzvA0W^>gfG?7Yrb+PjNCI}3~ z3I}3^8B}dUQ0H&pWbKqFcvjt*ZmSQ0rxXY%5%B$P;dMmR7u}VrLtq5PVuT%hN5n_J zD518Md=MCAgTP3v@CWhHLFHV*g!2%19xEJ;4*%ZGDqIq_-qb368wAFxn0ei>x6+y|Y_ihb9{Y7U5pG zPQKStOWjs4{RV-YFa%PuV*uaws2%@#TW&a;@auI;_oO2VXV% z?h`8nHmETC-Vph0V;Ek~vcZI|LGo^M5Z=1R0TY_o$h*(Ouw(<4-b(BIG7JG6^L#Zu z5v-B?JNg=Z@|=(G487K~Xu{`p-599Q7d!-S1Z)xN&3;{7ygn4O{rl0f7^=y%YHE1kT#0 z)6Y(ZAaGKFz$w}SjxK>y5lE^+-~FXPZkr&yzF8&hT~P65hu3k*8Q)zD;|q`IK|6D< zuf21xqeVw31_g>O5g7cL8w(a+g|7-8D6Z%s? zJkYCs?4z{F;o~X0YCv%v6=p2AL62X_aTeEgLGisV7_^5&4chDY?a=NYpt!ydidKbS z&_OG!W6;51V|&d^C~gqMj~y`RbcBC&*8Nob^Y=n=BRq@-P8e+AEe{5D?4~wfKyhOQ zKeoZ(N_?-umDLtq=KKT2P3%y72m0+Hl~p*ngFS!6?)p&N3@Psl!r+IwZw7a^kJ8t=Vev!0R-4w6J>2LzI}|@`;T1mY_Macs2>0;7nBz{A23`k!5Hcrg zYb-a}A?u72z)>rRpH#}Z?#!J~vL0)=+J^6O-2yTX;(s_lHkPco{P=|1pk!^3#6DUw zX-&waIF;Q8zv>e0^Q5oO-fcAe}3+_C^{?A3oALotj?=0 z9UnFx2I0&QMaN3^=EtsUy~0n6QqRJmGgxO6J1g1RY0`T6;pc8X0)u|E6Zx}}OU&vl zSIe=u1P1N)kvPpo?e zO6uFm$Qv!0G0p7QA-~)gzd^|@$f+u*xwgU2(mhLB`Xd%IIj`}I(W$ZDO&RVS{{>_zXDrt7m1c!_?*k6WVyNL znw`Rx2|STqNea>pTU-Gx&sX49smCHI8Lw3L;jv-=IJu)ZPIs7*V)+OQ@_PO(@ydd|6Fb(oxYMs3qBd3F6wJVb2h zXKi6_L$Q-A&PB^gzvH$f`OiR}PCN zo%7IPx;s8+{u5u9!i>?lO8*mN9k7#?dQysPU?~R=9d_U!xL8ju)EK?YiA(m(MygZ? zXbL7(_8@o9^$LP|m3xIHqXyP}2(k`2jdimx?nSXkU3duE#Y0^3XLS?XK^K083;%lC zml0z72>;bKQjT5&!;1jSM!3?i;+J1j>&0F?oOru>aMaQ@2zVI0{Tvd&EFY^-04_Dy zG{9vZeK`%VD5$q-fLeYab^@4nQDL#@@L6c`-uJd3&JplF<}%{ow=T6Rwa}8?3C>nL zS|$Nz+h!v+O)AXxrHj}!Nf8@qnp{|jUKqlrH>|kFiA^5`@8dC{;!W=el3mGGcGH@g zSvjrgJr44oY@}&&ae|~Qj~6Zi+}1{sPv zSkm;MFx?mbiNEQg9Gf&vu3w6yzH{vK@3HAq;C(G9X8hk@;k5XVT40l=Q=3ZMsU_Mw%uUcg0bE z^Wo-au<5hleN&M%J%UTT?54GuGHHgC(_}U}NZyl;G)=BKi>A-szHJvaeGa^DIV4Sw z;VO;4X@O0f#*5gZ>6T~iCU@@s1>U!9lBUNc(6qp&O>a|lO+R+m?g7~JdGOA$NSYQ- zi~Fc`^J&sFxda~_Q1B8wPv&c8Klc_g{0-i@VF|-TyB0RAgv~QebBlJ$M9PYwPgB^w zgdwfJjWMJ>7~~8U&&yl#D%d9$yCe)bm)RxF^pF?QGmx7qqJF@eD!3nxEotsS1_19zP6@-ZAgiZr zKbYyk=Vtp7KV;SLafZ4U&XBfh4!WyKIq)XiB@BVfWH9qX%<1P1f?>SE8Ju&!yaO4^ zgE!SGVffh2jwOCSkSmJXM*ZNnb3cr6aE5BfYj;G33gA^E5{6&`3>(qOf`Qzb!Y1A? zyE(&)V;j7W3|E0SJtSe+>}2)C?*}qO3I=kYOOyfcbKwjbg%4LohKk_L^hp@L^09j2 zXV~Fk`;u6YyJnal>ION(FXxx+Mutk@&Gtwbc7<3yWiy!N2XRtQ8Ae$d1Kxwf8La#3 z|A7pZ!JF%nFc?qz>Dq|tm}SQBqm%Iy269JF)DL(^4`=!y(g!CPRLFdR!D7AFjTAa@%vKhzKm+dcoZM~17xTWFOq zoaDz6zaLI>e(HzExhC=6CGLkx$0y^B&{e8|*Bg;A{AFb^ji2Fy!4Kq4sHh+CPAJaM z*qT=Z8LES~C?sLH#E&Iz2E5mb@e@Cgn+X|1MH^?xkCb193^l+T@R>87P?-|6HOBucqeRH>+Gi2Ww{2Ups1@CI7gy9DDUo+rsZfsx5(3tB1 zZ)xKU)-Gq#k>NV z@ktn3y8bnPu;VG^Myr+~iEbsl$MP#TA-YrfEL;LW*_k-wD z)DJm=p}O$HM`Pc>6}BpM!25+=!XWxr{C?=j@aZ`(xqmd;FYx|R?uQ2-$QX(YH-mSp zRl?BO&MGRC!EDUJJ5<@e#1G`I;3xy$70ekfIiKl`47Y%HTSUUp!^7$+o53tUh#X59 z_J%maQ7dQYH5xCoTdLFr@Ai;{p?B!tGYB72hW>8OFj4f)=PDj0bJ}{~{n{sC=xhD= z43BXiQikDN4|vx!_e1?nvu;F&`r!S>BVibLnSRi26Ck`KW^@jN_hmDF!a#1wj_Lt# z$mR?$wEt{BGT6Yo%OzoWiq})N9?Td-o~I0_I0N4K%^A9er_4l#Tfw`>DPb6)vSW## zLF9SL@O7B^0dFzq3~Q(D{2Cb=fOnr=!Z6DA?-@j%Ck*PR+z)sYIcHeBcI(r~a2t5P zvq~7ASN=VN=+}fHy`jqdklrxN8AA4bZy`fN@a~UD7{pwt%vhL?7uu~yz3 zLPT6TDZ)^)CL2iBh=`y#pdtdoihzogr3os?|Gv%6OoWN&hktVphkfsU_ulW_dGqGY zYm-bIUdEmaN+BHdw(j{kO!?cr$yTQO?h9I(u5l>Z=fnu&&=7mvav>b_d;A|c7`D~Z zwd=^@z&0@WIM4$;9Yc5RzbWZ$a@`zzZYYJgUT61t{^wE~xu#7Y>Yvy3XkM*&wCho; z{6mk9977yhV9zbN5DtlE)y_W`KlyJD^8CmryHs7qf$i$^Resvlr*Ys?KVcn}SFz`| zR0s#VRkic{9P|N9#)0h-^l_j)f*OZL{^Q>w4i@aWYb%7qHtyeZ&<8NR9AZU{!*o3k z-Bw?A5r>x8b6+Tg!%p|V=b#T@G7fD2qAw1#e^HA=m*MAn6NgsV^MGPu_=2Bi|Mwj9 z0Zhh$?S}MmpxuxfhrRX7uOtqwvFCSlAsqHt|2>C0e}6dAIKRrFz{}KuQ!Q%}hc?*r zho=w@`~M#t^Z`s32ewnw$ANZgYH_I3FtZ+Ucny0VDTQ$Oko)&>&<8LX2X^p+-ZVX# zJI{|?x25dDTsz(Wo9jcig1G!v@m-Ia$6T{bS-R`u^{=ol!RwSQ8JF57Msr+ zXF3KqA#FTVb-i>rS*1fO^G0rCLky+5&_+bh-|47p)M%%os{Z`0FS%4*uj>Z30trb=}BP!1ZotD4Thrt(lE%g}DBi`}eMO+FsYMY7aj; zi6+0%r;0Fn$BnAQp&Ol4^HlNGdb$6OcHvm@^Xuh(>;J~<_rKxtTjRYhRoBa_4W~Yg zUw!uwKE&#(blIbnmooH)YJQx-wX1HLM~{5C$>hA&gM~_u)M-9sET3# zYk}LRFLv}2j1sgvVWRvj!G`;avqw)b{nhmO39hoLm)=WozWdpG zW05e+9`zaV>I?Zz#rWQMs@?FmB~P^*`S-@(+YQ#16#Ubw-hTaw?Bx|JYE>`Z_qwe; zCHk}%R+UUxOa%Kuj^m687TC_foOlV+@nfoUEpP=kgR#6q3Ho;AGkq_?)#VBuf^6&Fu|k|TyJ`o;Prc>h7dtIE#qzba}caz`S%1X ztE%}COyV?x?{Uu(Y;@+OeMGQ7(jyo_2sgL^~u#s2@ts#oBp&dX~jRl%p?MV~0C;D6eWcnyTSvycn=Ki;$dJFn}q ziuLlM#@Tn+wY>M~3%a}x-`RiPZ6Y`b@^0(@9=QM4^US5yRxiN=I>Af>g0GA{S&<07 z0XgG&2>ySbXEd7T`|}da)(Pes5Dd)=KTZS(Lq7OC1phzJGkr;|mtdYw@Q4AyyH(a7 zB!WXAfBZZI|3A;Ox2=DR;Ac9)FRV}9B=oJaKbmz6C4xgCA1j35Q=6&tA8bXGl zmI#K@k!8TdaI{@5h?n3!UL*Lx zfMA~^Tirx3f{wX!KgUh-ouWF?ZxUH7m{)iNM_5&ym*Af|!M_X$jx5_gn+QhIfql#|W}R&z|lh%za%b(e|w?#LG%@mvP_<40AGn`OV)MPA$9^ zxTjj7!ULy|0D5_qk)Pq!`@Rw$vtlUm8iBn}59e#=V|>dO1#>;m^ z48wbQRWcj#+7mHJCSD`yU~1VoUcTdJm>n%%zGFw!yyxPz_mqq2u|F@b8j5-+&@tCf z-KrG05fRrn6Twl~`+}_yg7v5b`G5ZnLH#cB60D~ae93@dJ^QjFL~u0ra)lAB@A_{E z{$^F}c?mYu2|n{ZGm7A$ee*^W!755(ZT3)AvZ}2)!u(|7w@acsRq3S19XBt4G1E+`4}QNp3Yz|j9^Q% zYVf~_V0Brw=Oq}d6I8eLXu~aZlO$~G(S-<3pyS>PBiP!l8vJhv*5y@uUVA<^4ts{pkIkoS!pf zmyrLXyLS^AiF$PKX63&vFQXrk)&b?(k|R`G8F zBEzg0Q&ZMNwX9|Dc>3VLLm6XA*fFNAO%v6#Hf8 z1R1otYfNJ^`cITpts$~0t4q@qjB+dJ-@}Db^fgiwMx7Or{+1QJyP7GLQNo3Bq7A)5 z?UWkVijGJgXTbnAC zOI0^vOtKlhMtfMQhK&B5t?(b|rj*b?!jeqIce>;7B+2_3p~zU~uittO!=mWs87opM zXf%h>joj$nkJ$|W$%N5xA*_m`1}UtnSo5t1xe$^RND(%K{-B9MFNqx*%s+tsoE7V3 z6T-G=qOh&vrl!mA1r z4v;8E(6n+*6=YM|X7BXI=d-@oUup7G8O|kvp7w#bAbp>JDWrWeHK9ymf z%l`AwddO(+LD+Bw;fF1%DEzSJiDP&}WONb`cAbp2^Jt>>_QiCb95TAt5cZJ;!)S?) z&)~4TLjTK$t|Nn&5dJY~#?y86MI+v`{@x46km1janGqhU`wDgUK6>qaWOP?B?6wDy z0a~CUyPM7*Z0<%zpao$|Da2RJn!&5C4jq2Ijf`Fb!iSSDr=W?l`MopyZAV6LYJ~hL zP*XjcXqx?xZQ*N>(N{v)6$>KkN~$Qbp80{N=Obi<$Oy|K&0s|n^%kooB`ib605cgQ z^4s+5wN1&H-`s(WL1e6^i11{MM)K3^Z#716`H3Ra}XTP&>l6 z@(53pRZ)1l^^Fb(Rv{zYh42kFgzwQsvTc0&pu5Pha)|6{LHK@`rgeZXf8fS+WQ-(Z zsR|!Kc98}pQRkui-ZOd*4vWKFBHb;-Wq6lBbB zBYeDo@PRf>G>9u&Kfr>F*(QVskZ6~Fy>{7WN1PjmjJX`bJ1Pi|@Mv0*_64(768ZT& z!Us_Ocqpi%u!qX^t6yaxV}TXnJ9$Ko7FAK?7z@94@LFVuE;43DWRxx%Z)&tFq$e_B z-3WVNL->c1suiAT?(Ua(9vN{I!%=pGh4886-x`OEcn*={>+8d_5ptNG{Vz!fUZxc}c!hAOcI$-*InE&>k_@iWeMzPwEvhv~$SKn5XG3s;?rWXA zz2?$O2sz_M#6a@3-m3Z9V7H#$)fpjfV%Ukw^{(z~lW?rkcWn`Jm9&P52!3BTxYe|H zeb7pT+$62mE(CAWMLXp&9c*tRgvOC0+mk3o_mys~&2K+}kUw3BXlOz3ZnH*XukdO4 z?!Q1NLcT^)k@xH6a+vN{DN_|fDbnh1M(~HaL8pBF@V0vp%%n9~M({yhlxzKC{@l+Y zRCgh=tBA1Gyy`1#wdc!rKU9EF*N%i_x>MfNXoS7#Dz#DAgpBQ0M1&~_dtcXj-*r9p z<0;74Nyb)L5!pl&MK*C&t#;xwWTa4)nQuYZaYgfWUir;ay$3SVTnO7_MVKsUqP^zJ zziljojNKd}81u|qFVaGfO=WVJeyc^d&D5?W8_L0UO8R0`LnrJ9j zuh^#-k#RsoSQOnzA+jbCtn!g1U6J9iBfP7G@UgnVaa_BwFG?UI%Y<;&CeqlMul7c^ z5Sx^JG7%ZsWUQZp@EN+nnbwM)di9ZUh)4JsG8kh~wZdcErNx_cSLRZX=qVc>q>F;w zhpQF)3mG4Y2%oMX!cS1OBK(x`;@cs}_}Go`Xn{_TR#Xvx#B#Olv^vN*VnRf58~CG| zLH@Yq$Lpsu5%9Kvh;t2ACF_o4_$HY4Jw4F05~iN01gi_I6p|5FYTk_-H4 zQ4@V9nuC_kM!+^Qc!LLjPWN@e^4VLvCnKPqjEE;Bx~TiQ*Sxl;(JL0Stu;A!^N z7eoFhsoJhzdm&)2f`~2T>t~N9x-NdR?^80EDIp?*v~KFYZd)cVD_Z?z(3$?5_!z%X3}VM zD`t78_WUmqaF(7zB|Yd?T=Uhfq`UURzurT@0J^^xN$6Hu^VO}KWUgD(4*|VJMC>4g z6*OPnD)CdC_sSsPXJVMCpj&0#S2fQ!IlCwtw}{4NE4tONs|>rBxU)O|Jfq#ge3F}jzbaup$i zO$E(Y3;PER@+PBu1u_^<1}(a%wUp5__FHtX2lpS;J=o%ej-s9yXLE#J!kBuNciWP5b=!}-2!x9J%z*0S@qyAbBNd|qg#+k z)9TGTh7bD|{$IKf@jDspqx%Z++`akLKy+_HH!GE`TYufxAp6ki#mXX}6qV~OGB{ZG zHB2}?^q2+yJ9$Lx5uW<+%)@HwHQ;}NCb{T({r$r;Q)gd!85w`lR{`oh{o$FHh6ec~ zfU(2q`f}R^r;#f{I^*&(Kh+vrNt}Ye@2Axt_K0dY^o@r zIM?&*L!02gRe+Gnq5D8htNTFrAE}Qm2q-E+*ek>Tm|NBI|J+u6=FKE@A3$1J68tlG zP2_MZv6Fv=|8aT?qn^2cwsukcvz0sBc6^V}PQ)(54*&NkV);FD|4r5oHLqnObeI|b zP8<4WaH@#!E)BSsz7C<(;q-rxL*G4;D(WknzjV*+i(#Dw^gY0%Z>nanZ>o6j^uACG ztLH)A-7*3qHHLjZ;u6EoPsFg6q>;j*-%?G~Z>fh*j-7yE1L@9;u%g#Ejw}wV%A;SL z6~W(FC>1%J)V6sL?6Olz2y!8EC`T{LB1^qOsln{kk|Bj=oRK>di_Q0Oykf; zX2{4Y5`wzQgj6UM+SZE5O7y+jKiLav#C!$9+dP8Lc$lm|-4oL!^qy&=l+d5*I`u(& zw-zYXZ-@mEF%o(tuvCcIh45GpdJVHtD(E{K!s`hL{(;HDi%=X6TF|E~M=2r9hM*)n zdX17PHT({})bF7Nw5UX>&=eXYh_az~X~sI}qJXeg0(g$G4xm1BXoAc4A%)NtF7Nkv zdyVnxZ5I(c&V$|+7&2rH*%U44P1DF^^HqAs98bNY$IRyN6BZ0VDf+$*)z@Y6ej6(P zBM!o6bXT5t`#!TS@_z^g%cTJ3{OtLQd#fUn&!0=;gsN&_sSn^o_1{TCP8Q zYz;Wx;K+{^A?J0i@0A&r_6i(Bc=AL4e$=%t%Y)<|2jCb+8Yk%AHIqi;S0%+6NKHnKcrPt*Q#y% zGWpa=I3~Es4~O7lS{v4@wXoE3XBZsOCiI^`UFMQn^*5-X4({woIP`OHw!I#XcsK*s+?)tEFIOY+< zawK}iriyx*g}|ffufVZ@M@SVnf}3ety(%bt?3^=jh*pHu;t_1&RIQ+jwqMhgn{dR6 z2&rpDa2rJx1^(fhcXYD|#}Ye28gmG4Z&5`-kOsG~RDxrv3n9(S2<|MYqQL#GoAQU} z;aJWgsx&A|yaSaIi-e1!i*c zwyUk-SR*2&w}jvjK@;6`z1QlkAK*x^BV-^M94M=zpch?JFW>zgj&&x4gqjgNRMYDI zqoS;w^$r~CIfRUq5gcY!wE|D@(KY7_a3t{vnLxfqm^INS{Oy@s890)y2$`xNc#KUG zeZ|c`ytf=28%2c7<>6mPFws4_$%T-0)Wi&B4Tt|EJA`s3`usT0WSeam9xkAFZ`Q;( zwzv_p$cA1qB1>)cKp17FF-$v4?JyyBkdmfC59Fx-T0F*cUkAfbCp1@K!6$o)u3#NFH>GWv%f+LyN-v`8{i3>p|wIT$a;^sRJQzv2%Jq6yeAn0|s zTDqY2rtmh0I>514LceQf1ohBj64b-hWo!_|f4__%MM4lSX2!OF~3IKDQMF*4X$ z_tn``FXxxyaGbUw(BeX1cimSH>CAzRi{UsUA+VhtfkC>jUQ%+UHzvVxRz_ea@+IiL z`ddbr9|Xd2ULj-TYmn}1kf+j)_h-Rz!Gpj+@)fH43RCz-_AlZ1K|o*#`HIvH-{9-5^Kgnc_MAJAe8q<`4T=D}r zeo+uOmimW-+$OpX*Xe=zo)vt4<-S22rqb)^i#8^^WkzgQy5jWP^bz1Aow}VZ zIrST96@ogITa3iZbf^EKTlshIWxolMQ<3zXC@)>BZ|@) zNkwipDv@7co7CD!%pv4yo62*75zpe)%EyNzF~iPo1r^!TW#GM7@b$?VNZhBe`$O9@?-1g4Q;CjCDw4Vj zZ1()`*WDz{ZJ&nN7>eg-79WzDHL9pPC(Ep1^W{-1NO`*)5^D!l+-_dA*kgoNkBe2| zTTj8xk#5$Qs>nRq09kbPqdCK|a~ze&K_1!7fgbN_EDP8y@=NgO?nrM*jP}baGE^|I zi6+JTCF~qV-uFsAq<@}dfHbwN`Swuk{)Xbd$L2#)Ka5!9+@QQ@mPIRGKpilacZ*6M z z??tkgY*q8V;u!8FvbRz6<@FkDRj+!lK<&%36|&b|y^kEb#Jb@ONp-H*8(K{&fGq!w z^<*vNY~om7UPaQw$afDFK$dHJY0|gIc~@pH1S)c%#Q<40aNmOJ$l1WNw+a{|J=SWUPQm5fr@1=`RA8`>m>xie70lg&>C{+3PdI6rLzL@=CC~^{rXSiEM z_VXAZOSZhTs0wn{5YJFiMfPVxFVdOCcwYcn)MhZ`pg<4Uk2vPC8o|IkCi3u&c-* z$pBeI=+|`$a_F^$-h)+Spv3@*+FKSyA?Hov*~h9O%?3!*siS9JN6tLr8Eo?*3;B|7 zRK%{P-Y@ym*cn68ol(e_d{YLz-_83aUmAc@k)yQ|75MIL@v22`7x#Y2mqzhaWIvnA zvjDPKouN(dd%xsMLxC!?onU}0+V5!nFT7v!bue5$&txN;MOJ-XoA-Xnmj)$$$U?s4 zd$v*TQSX<0X=GDHuF`5q0iLG!1_kd(6{oXrWvsnOHzE}kRI#RZq*+hsB*~@8Uv)o7R?l(fp7Fx}bYgLhw7Nr6<%QoXr zZ$rxaG`)I=_aXamZUdfWzU(l64N^8**}RSq89YZcK$fmn<=2Zy*&wmWAs@2ue4`ST zdi>kZgj{cDGfXP-T_a@4!_~&Vfs|Fm^ML3>_WH?W;Jrjb>FDuDd5g-v&#EG+-{Na+ z3Y6Wi`1&1Xk+M`~6J|bSaD9&fvUo&H{aZ+xLpFDFK4jk!M#y5POdqsG$_#}~-Kod{ zHUpbQdmM~Bjg+ZW_7uAh+54DLiHdwSv)*N-Ot!H}MAc>stAS0_+cfq#QYLY1CQ`N8 z*eIT+vDbyh_324ppPKwZuN+1zTI+zsiqJZv^yMb32x`lXymvm0kXkRIVS>X4~gewUPV?G4UjLbkn6vKwEH%;{zFApvKb)jU+_=s zhcxPI(jpQSS;eohRg2QAJkZ4UqNZ znYXJW?K_^WiBXZ|Ee6QCBg>k9LE33ETUeta%W($CI@Y0a6wgy`wmL^emNgq7YxC>- zG(y^!#53HbBFmTzkhO9ThSf*f=OSAtq#{ds43ITnyLo8=(mo@eG!aLC>^>^#HbB16 z@$k~JNc+UaRxGK=5{dz`#`@r83epZrY}u2F^m7>?tDkduh-a3St({Vl#bpCzwKl>kGSYUK*rGHQX|ft1E4FQgib&gHV=LQy$o@|R1Ejgn zZ&y>0CJ~Z`@AAF(|BE+3R=Cq>PZ6Z8;@KKI75Ug=fGq!D?En#J%N4dzPenfB43Op8 zoNq_9ZmFHE_EV8G<@Ibl%Wm3rF9~UjiRbHziu}W5fGo2v_-jIn#Pc;-MLzTxAWMH+ zO{sviH_dGEqKbUrHb9mdzAbtL(&mV41*D4nO))^09D1ScXr$Q$wv19m-gg-wON1|3 z)fj2f99xU2BJartNWZs^kmt1V9=4!UMc%a=AdAVX^mIje>=D!VCd>^Lh@P6}+ftq(c0sHl1$a!?x$De+j?@&|Hk6i--u$N{fuJPRQG zvfQnjBPUvB3#Wa^LFrmN3m}W1t3Px(awhU@^|cTA#@$K5fT%-B+>ik2;zCqqS_2~ z8`w1EG&xFdyAcXo*6u^*51rhk5~54j8L$=(q`&Ff1)kXM0&&>&ga)kSsym?!`{DJ-92Q6%H-X0aM05w7ns5uw{fRkwzf@;*AlgBAv;d8OUV zUL~5GxhVMZ@|PgwRiwCt*?f8V3m;PN32gC$ijzgs-5Ks-izQedD_fYGcB!CntO?fjDiSwj6|>OKCD zH1*Yzzkk=Aq&^b|E^+^p`k@~zrph#YR>Fi)-VaRCj}^QtKWF-g)>6BHk2y-&0< z%lAXu!!)<3DIYWUC3pUhIWczMk4B#SAM=a&e#|*9=jY4}@a4QYN6F8b(axOz!@DVp z?S;xd$x{x+=g<$r)!CzBsDLyx_Q2!Y3$+i6O33Pr)u^iOlGkSxN9J)W0v5X<(v*a6 zc2hiM`*vOF9?1N{jYWP6#J|j{XjB8PeO{k0kf}(dVaB3L7FD#Ul00zlY2pInp2+yX~52510B@`&3l^izf{;;(pUaaSsHygF0qe z)y)t;a6!xxH7%zlHo5-q$f_kH?!F5PxA3ZH;TBf~$M~wqs!Qd{v}550lP20AE{&?O z6`5B!EZl5GoJUecaktGEYKA{XRxt$&lQ>N3$El))4fy&$SHFNPKR4oTkf{2Mfx)HNjGZ?eP`t$U+HzBK{3o&!)-)dd7##*vpb`xY(F(HP= zTVvL{G=qt@_`w;)komJ6F{?ZPP@1xK82+dysj{hM4UlVh-|}=#VAwUT$q<-X@I?B*c88`#NG)b`42K=3NhB zvaN_YVb-)xNR2E*DkAeY3t|qF=4nL}eJj`mzdp!(NZDVIn4T2A@WxzJGRnW*4w-@( zG2eI)^OLUivsAZ93kEtI^jiU*P!#O0!xG>^nCR-F>!>WyTjC|;#)HJGUlW5%qYX?o3 zG*H3hA+)#bmd1FJ$7Hvz_y(CHIb@EI5nY^D3lr_9v|qXGG%`n%jhi+^mvw2P@PyJ9kw!x^qT9%ts4YKb>B+XpoJt0p3yAKh8|*A@@8bU| zGN;=~LqT+aZm@@`(WNh@Aaf@9>O=hs=3o zFqjMuvuQL!P0c3U{t20HlEDFF&?;-9k^Jt49VxUTM;a2MssEz}YP_pl%}Mu>8B4yb zWN@->aEhr`+Yja;a|s!YGGlU6v#K?@sq5jfK_4SCo(xWwFu9d3YUOGx)aZiDWikh#K+$t_9LSr>J7<(6DUzE-(0xt#^mvu&!c36EWrhduwJCSz=t3GyPS4$aFpKzMi6Xj}yd*zW( zPr$Ao5~hEw)u`!*<>G!7N+9`b5xYXHm{vopQPXP3A1&Avh~#rJb`7IC{jym#IPGQE zt`6^XLh>a$c7@t8t&B$#m33cV_w^1W{~}@6M51wm$tmT8)YWD+HR=tAZ4uHmc}iR2^9n26*ny04#1cZPraF~nj#8M7hzy3X*X zW$W1mC9(c(6B)B3`L3iHywCA5_nRRxlQgbK7~g_5538uMCf}7YY9(tPrstUOZZ#eg zzO_8* z!H3Equ_$erSw#zuoA7E$X-m(t`rVHqp%iJ%e|k$#=m1MN)^DJNz?*0nj;zHeY_IvO zU*JzjFiQqodWshr(HP0pCOo^P=hBucpJDx{RHi-hQ(JoOkG(dKE|1G#OHbD$uh+%9 zENL41w0`YBIs>G|g8&5N<>IB&3}=UksTdDxIg zL2BlCYD-VOm9?_5YJ|mLOHa(reYA|E3W=fx#BcMc;f?>8Pkq?%F0up(8?L(%@6=)# zpKEKnu|;l*xuHCmPlIU)WKS5e2b*(c(e7j#bB(3EUf7Xup&&-xfq5=lGFSY{?s zWt*l|-Q4GL@SjLZ<*}?bH8k;VRTL+Q?N?@hg`^KGSXM(p+(=zC!Tosty>>^-bT`J(sJ^Mv+D-8 ziEj5Q3#thcQOD=hENzVj2Z-VqH} zSXPUv8HB7vx4{a_F8+@=WF?ynR#?7p_UHy=ZR8AASY9lRvYnzeVcXgH(39T2aAf* zQ|=FwCi=tmM$!iy)^8_`FL*2}Z&gK$%DW$qoc{vWZ&D!s&OtnDRz>1j>F6%21M5$S zSX54gc-gLru5b%yPM{@=-&i4jXMuRjripHOzT^UqA@PD4;*TyYYNv@7wewsUeC#|D zuM&-$5@v6-6UD?^0v5e4VOA`AZm+Io!v>oRGa55lf*(C``}3I5$W5sYL~Us?H&%Si zu~ZoocFi_p=8LS8pXHzqzS~ZEOqNA207;*4h?=NSD#^uR-)uYPbu?3I)jknPXU$mt z67vw7YDLl^J62X?947EJBS^pZ-^i|Td@R;=<+D)BEnk``Do z|-0H77w)|agB_qRm^7MeCm{CP-)vSo3ZpdlQ@T1 zzhI)&!dwoC!5n5T78o*6!a}DVv$t9(wTgN+iQQbVZE>;Ghi)Xcv|(ybiKQmkk=WP` z+c}X^>#r$@-QdBD4J@_T>cV=rf;k-1TkmpX%^(YA9A$b54SB5JN00t0ihA|v7>#{x zzdC`0#wLSfG!7j;x*ZE&Cp$9r3byE4Tg98z9{FMV0E@vf8ix;;xPz?kID=y}4sY6e z3R&l-LY-2SzMkA`yw$5<2r5KK)3Kzm_cZy(XlR3ZvXFIyeS4OohBf{qqGyfo)|(z}kGeczKcUS? z*h&T`>!K;v4}N9?4ii0C)7OpZ(}{im&gv%dvW zX`)g^3$IkqdR6Ir63&4Vq*GQ%yFIFwcgi2W2nyve{!$~{2q&pNSXbh!da@XB+dcYYe zBVjlP=`*{kC4FwMJM=~(oFgenmjxuO&}byAG4GxJ4Yh2eZIJv#NHr{)RxL}fu`w}l zjx$3#LE?RymgL~JucQ$t=Xe_u5=@Z3c4=DQx<0aYjE@^jI9RzTZALr&*9Nzz)eNs3PeTOLWhU z=ird#OMr7J#jqb~=J2YP^bvn<^?;FZE>p1P4EYkxswiQx z>(}%K_uyP%!kjiNy$!vBh3GLuqr9k?a{O#0eNU5&D4PvPwk}ODwljxSPsRpI1 z*68{u2IpGJev!mIL{*d!nk+;kEr=~`Q*@7D=`z?n$dKU*Lz(0whprxyvP zi$Z<0gkTq>C|)%vP2*O69YartjRK_YRwT@~s-lF2%BDNjs3F=UL!z=t-E^%myDPoh zbvU>2kfwVeHPL;&=3d+3TZ+aGy7-IibW2F8LFrF%=>mQUoGGML$AknL;_@|L3EkaK zHZI77GmSJ}Cx06?8q)jL7IW>(;M{G3^jLrtt7%Db@;gDLOT#G>@tz8#NfymD8_=C>yl6`5Tmm}dkNXDuvNTB%vwOpOW^Y&2(;iP`H)S8SgcdH^P$ue{3 zvaN7_L|T`5NYiwK3&h>)i@ply#}vb^ROB#SE6ViS&wZD}d4x3R#W2C9F-(|leL?>4 z9XOBLA&I2bR-++xGp(7KM%U{&X^p2q)w63fnuu$bRi!|EVMoGD3#8vfP4vKZ-L~ds zI29A5iqz;`)3g%WNbAyTl0nwEHX~mNyr#8|e|2|by1%}5LHeD<`ZGnED>eA7K0PbH zwIZP-iL7Kfzw0QCQc|xEq-ygWX{|RSVUkM~CD?4I2OOgI@H_`;K52C@t0Jkh`MVlr zL*Tq1LKZ>jBeq-VhKgNm$m?#Zot2Sbagk$kqx=d2EQ?&S6Gd3#DR5$yoh@88ezQ539}O zZ=r%2&6wWmQZmH;Bx}rUt~MzU`_ag973O`_@06PF!uVZGFRm|r8C7D;tdv^3O~fjC z)Xr$eWUJ1(5K99g@$I#!$9Irg?tY;(R>kv(yK6;!Pm3DA_?~j!qg^F1X_*^~H!E2B zA*YI#erVskdkVF?kIjg0=fTpCWleP0lGZk9J)HEy6W>F?(ogN0=%|oe`|wZ524y>V zEdAP}iN3K3P5P}xc2OIa<|$Zu#;u9IlWeCh9zb?+%0A-4(yJQ7rB~(A%fbVYUBW}g z%vgF&XLwDCIs5x-$SzIUXXq9F51q!J-0T*cmLa>W1xv38SZe1rUr($rHGA)6WS1AQ z^cQ0Im86Q|%Ss<{Ctg4{4KOaHSD2+4ny+}X>vqk=X~?e3Vd-NxmR?pgtrnIQt&X)q zc2xoKWoX=BgXU}IMt*DFr|%)VI?d3uabf0rS~O;Ewltpl4XrnLf%YnwuwmvFw`y?a zcDH-S^%!K=qOr4D^xD2tV>mNadUJN{8_2GsAmv2?Gt+fnd-w=bqut1^=RwLV9?X<= zU;8~Zuhpr8?D}S;v>;y@g61pJePi9kYRGP2K?-B2k4?`!Bu$hfqLAHCLP|&Sm8<*8 zH%oPsuy~D#2}eDYT1=gm zX=7-pWEHcrIGQ|IY`(qw**#3&z5Dqqkg|JHvy!P`>U(BpCD4tyCnBbf7g(wnU4>C* zOql4E^`=|>n2ee4SedMk4Jko%q)KV8_r9X{V3zz9OxYoV_w>_IvregxhZh5^ArxHbph}yxa zl_hGIlAWHl9oeBCME@WmDpk}(A6Pz{)cPE=DSTH{Szx!8MN==SGz_x z3e;Q<(X|9bmC<}fl~;zf`t~rg=kthWfimm9DvN*IslOT73#^E4Vn$R|i)OHft&DwO zJ!Fd_qFa)|n!2w#T!jwjNh{Wl=yqhVuI}qaWzwY2ZX!F*glL`&zNGtVC~i7fr#Z6Y zIYb9ah-#$!YHF$Qb<WX*4X{6uHh2WUsIydN3Jmsrzc9xR1U|m2H)X z=x{RFR`=CjEF;F=NA?;wqDPa#j=HZdmH_j7AbYI|(USy3b#-gL{N0P|S8j^zbsVCn zk-_ddjXv=@aC9Ph%uP~Q#_VF!bCs`4_h(r-K&EN=I;|bUAAv@WH=w)U^ zjp8)XSgvcQSZeq;x)HsGMC0^wO;8SJcA#qWz6sIqD2SS*`BB0>mPJIL^k!x&BmPvQ%6AuRWVXaoS(}Ec4O^mGWO=a5=Q=LwQwn$8N$c&h&7DT=0P34G~ zbccv-a5GshEd=?KQtQ1QKH?Fw%#NtFUhjD_V!}j>q%mhg9_Fxmng=7z-qa@^#P9;f zU9~gWr{wPqH>`hpJsg!0ecz3d%LFDnMy0*2nCK6vhY|1DwPn~J+SJWx_0G;6*AKaK zEi5<;TEv9GCykJGTUQC+gj{L>D8fGED3@Shv(DVv9b%C?#mz304>`opX6?Vq_I?Ao zlc}$f;`Sj27Z(j|)@o;aWfpRysaW(1OMk?36phmOHfRjdOH{L6(5w%TJ3(T#&W9XY z)`;f|sZ|~WxltUex<2IKmt+H*HTIqj8Hn65#B(#}Lyqd;F+f)TVtCg^$Q?yI-)BI^ z)7nomKvpaI`CPJTC7v7IDsrI309m!&rPwyejUb-ME+2B}i$>R|%8`;GpCLC?W^IBG zIp~2=i7K08I(>@VVZ?Ji??aBbt{8Z)bjEtH2yzD#&vl#+X&vJ+KvrDW?-A9ILBw+{ z1G2J@{7^JNnx|EZA)ft-=Nh*UX+2=%y~3#LJDMUlglCPd4>>STFtAzv^Qa4BklTlN zuCV%$BeIQ<<=nfE%|LE2@m$WU$UMowX4%Hh7wRE5ka)&(KIEuO-T+zV_VtlPk=uiK z#xbC`e!gYoy|ncCMmo5_Utv!%6?w$SW~sr=I1Mek&9ndTixt)pU z0;`G)G(whGq}<|=+mU$A=Y7bLzbOVb{dVkg?nf>?W$DST+RSkqAd62KG$|Xo)XAmp zfogM*#{gNZ^!!C1Beym2oMHDN2OpCRkVR8sFMW^Pmc(M96|5lY73?#iwDf?9gp1QX4Z{Vk?oAU z7ZbjIZ4`2s+F4)Jha455*CpL%(GTAGVKZ`yL?FNJ4R(UwY#~a7INnk&rpvKIh8l7Hm63r)p(XnJAH98Vpj?5 z1x`iQGeVZIo~Y9lvGK&Sq2xnO>FzeL>DMQ0VlZNtaO_o5MK-q>Ad7FhdH*6}7n#`m zsEX{UMX3PKV*MJPKY-XbUF@Y+d<$Y{+1Q)0YV)K~iHfX}+`SPy&BI== zRpb_z0Z&XRc{vgB^nyX}=&JYWMrAh*>$CP7EIdz9s;(ku1PZFn8SO zAd6k;aSpT-q-K+Mw`z0# zaV?$&kba}Dwhl*XCe0gN5LKIxjBFNvECd7~^?=02VSUI2q~p6r1#A|xFZgmcQumtK zV6G4O<}S_vS@g>$w|Jy};9?`fKIHtXf&sF~v|{7zNKNJ0u(A(1bGX$2iIIK2>V;IA z4x+JX6*$=$}xeqz#E!hC+ zcR#9R8d7Tz&*QugIqwyV0kZf{F=uxowF<@asA_XA4UhTaS-^WS#eG&rYDJC>`ul9o z_P7j?Ma!3+@i|h<$!zAphnzRb$a@iNnOqgArHSW9q7OM|yT`yLcJ(ajMyemhGnZ45 z-2IX^(JpW`RcK_#it6nUp+lQ%;8-8nEe8>!=6Y)Zyw zbM8x8lnU4^{!72NTO)O>na%6?kn^W&Q7V8e*0xpV9Hfr4v&kVJat;kC`XXNdSu}QP zN;{-R5>HvRIrDqo09k~qc{vxUp~Q2yYIFW@ElLG!V!k-69#V(e*j$&-`+QgoY?`dC zLa!n<(ak2ze8{=gITbl$r$wuu0ZraWM#>i+HtXg?&af*6NWalilMP5YCb6kIA97At zBb&u7{A<&Ya)fMdl6}Z|oRQ69#e=%^L(0cwbEBYopDh@8FPhfH?^mR_ENmvyhn!i< zYJe>A!N`GeNI9sm=}eX9IU^)09J{$3DOol)C#oW88bf_{=ut9NIzNYwvUzA`6RSSt zo7K!Ja$#*ni|4xa#otEiCAylDMMWwu1Ek+Y&zo0}dQo6gyee{!+W=WS=}5Jgk$R45 z-3ptET%<**fcIi;BWiU(>KO~0Z1y1+7Uv9X7R?PCOf~sy;<;3{xj=v4EMT+9lZPEI zBK0KkTw+#jR+9{DVo#E_8B$M}*xb3wbC$;dX-YhN=VR=@#=Gg>PWvjVOL8c)t{m?SG75-w8g+?@s>yHZ%1lZ;z{i2k9t9~ z^s$QqHj6bF{5{$1D6&NfDzd3)V6$jr>7)~>?I`loEI#C%9##Wn5zFfKVMuKwv*i&g za-31w;qnidh}4!=w#Gt5HdG93n)a<7^c_-dRQ8FA4>_~1Sw+s7uD|sJ{k3)pQrFqo zY7f6iXxYGK@m;%0)kP}x{At~Z>ODs*djXrp9{m+n z0;$X0Z1IYU++s4YSu}F}qlZXcN<1TFA9DUy$pBeo>(vUcB6TtG3>SRJIrojcBfLRJ zdcrQG$Pf3Z$g^$(n_;0pdo3Z)^{XSiFT%XAxODLHs4Tf&enS@ zb9AKN!#m^YK&smUTUw-gA1E5wEZ*&2onA<}K{oqaROI`5*>#)6dY+oN6e-upW6WZR`Zfk^pLV#}>m?;9)zHsSny$PA>oEo{w} z>isVxo2Fu1(c?(1FR+DQK4c+BZ2j`b>5JYYwrG8uima!3FK{eUi!0LFt==QHXpx+X ztjno93m}_cd~|8e|Izm4;Z0QE`*Ye-C~Mev2>T9UUu20bv}J2kHffud2yH+F42uXT z5*85=Fzky3BWr<*ftI}~X;TmoFajbVLO?`h4Lc$q{GNO6OcrMPd*~m(J`d!~x$nGl zXC|2>lk>iZEVi)HPD0jHDIlA_*w$kiS!^9>qm_^~1O;TXEA!S_$YKj?{v>2I?~(W0 zdefNvt{G&pg@uC>vZ`Bwv&qFSy1itvh1G`=vWiOq**JDZxo%{!g(ZvT&`C>iDVhgKO zC8SEHfK)#}8+?W=wy@MyLYC1gAZuT#bs4|MUC^?6SqWKMqkycnVlpb4nZ*_s-Ac$( zY6WCX$K%Cy$YKjCcO_&=l>)NHE1PvXS!`kXuY@ciC?Ko1+SPv&S!`hqv4jlsC?Ko- z-ZbnkS!`h;vV<(=RzOx=*R**qS!`i7vxF?_Qb1Oz(X@0Mve-Hh>X48aiSO@zua3$e z_FIL>{RUQ7Eg_586p)o1&40st7rQ;II9o#EI&#j6iKT!4o-DSo!dpTHTNIELT0LFf zmn^ohOk6?+nH7-bt>xNPB8x4oHJ6ZJQb3lQKWP6Qve?3cbqOgL6p&@R4w~JREVi)f zT|^dOv1NBupGX#4SUN8uUuhLM%e-qD)R8QM{`{!gQTEPZiN-MM73g+=@l@}*h< zS?bTB_+r;Dq67q$hfGp84%i4l0wosEGA)k8`ki}~~ z&v%o>7780AI{$t zF$(-eWC0e$GpjB6i!6xIB|tt0bF_(`U}b&< zBC-Gr;@>V$tVI^YXnG)VwlpYkLimaL%gKTmof9O^CQ8Rs2$}r0hAfEDenCVo+v??9 z_K8ipE)~tQ3@rhf(^J3}j+KA=*%g$mLZm(mef;MP}VBu>&&4 zX_%LVgzTq;4EbyR#v+i3_e0PSLqx9rS5V*#cItoI47>JNn5%|}T!wcX#7}E}TMv3V z=g2PDH6L9mPFf^nyb=;t8u}lEUE2)I-$O($D6diA6w>c?stB3K&|~AdO+>yo$jdqW zfyH|~&zHRTA55&TVNN3qiSI|F)fS1<4;fmbQhXzrh$TX3S0W+b^PVF=WRbBq7N3Ag zd2Z%;A|bJ|LELvgWJv9j&6mK0S6XJAA|XHX9#21H@E4C?+=dmq@hF`&OUPA9oI&5% zPN0hRpn+MqNXT+-g{?zv^TtN-brl2ifsv5DPt<-}7fN}qm4#VnJj_f+MDkLl+&}U6 zwBu%$!z(2(X39N_xudi{nB(P6hb&fB^2BhgwnT-akoyPb4trP*alB+I_cZ46)nbkp zRUKNQhJ$&;hwJjPt3!?O7r$IB!?E0IH*y?Wj_V$BNx8KamRstEgE?j~$BVmiuOQB@ zVmY?m%D4vPUNytPgH|!e3&e7Ncd#Nc2}dPlI}ck}8JU>lMP?E0)NpXG#K+6fm}TL? z-Bt$63~!eo}ib$vFPSh~#q5XTFf^8ib=$J$s9 zal9-#JsppMRxRb4;jCcSaNM`W@~P+ia{@UCeRyMXt@t6c}QTt31;G!@CR~lbD+q>A-b!T@I!N z5p!HAl6%U^)FNVzYe{nP8i0BdF~?OZ84b*E;D%YuaUDzgW6WLmNV!Z4+mF+3rgjl? z+zB8r7*+e;JMi2&AU6veTP@LuIPNr%`w(-ltYVJ)6y$pF`=DLIaVLY^+iK=!AmX^! zLGIsL=64|GxEn%lE;<)D)MAdiC*=NRU=9jmj{7T2M2!S`EU-U(UU8SB++%pPoTg?u z5JZop+~aPT@z5paxQo)El?LXdB<8r!($2Fs=Cvf|xTV|992c{7<2ihif90X#);?r= z`LfMLyl{t2fqjtzvvy=C_J>>MwwKHUjxzXP5az=dn7pemvLsxeQ4Lq03j2AQ-yNtn&wkL<;oTo7tOUR4T;!a(Kv_^Q)zbR4SagN)tY=UTw&!>Vk3jdd$S% zBSo!O=C|gpi)vxFn;K>uHbCY^i+5;~w(5G*+mKTm{VY~kAv0a)9oj1Fo-}e8p2V~%FEAb#^ymZ}HWz~S3x9|@3BQs?D=NY?s%o0gznCXYCt@p?(E)@s`ZKPFG~)S4DQ|7q65c*q4C z+h;5+Pi=s0sb{I!65f7`A0@oj;JDw#`S?QmSgX+B*gdP#BL& zBxW*5Ek!s0-USeTHTE`*G+OwX`p>=WHjApUk3ORq_VMVLgZQhF7sa z;F|dEC!V9&>$X^}>mTZxC2w$CGII71YP}_IaQs8)N*r2jQ)qDf#pJJ+Q4=tEgX6N3 zBXEW*&=>m_dUA*20maL0ZyV@}S{j}n+zN75q4Dpm_MIObhuncFz)GVAl9)>Tl8s*9Y>4pLokO&j~*#WZc3*@ra9 z*@nLHrOmLj1U)0RTVeKYv~P~^&Oa-{yz_YYK*;&d0b}p!AgjCgIhNJKw*8gi0p!>) zT}=a7Z>god&U(vQA#W2tX?LNObT|@r^bK`%)m>8sUz@vejqWPQs;u>{RoU_3r28q5 zv)2k)U9^x@-uo)ZD(|_WMX`9!0o+yx94ce*uBB4PWw$p&PPPNGDj6WFgvC2lQWd)^ zIRtVJ;kL?IzA98Z7u=A)1toJqEp@-@x3E}-ACm&~5+y>T1zO|m3 zH}?xY4LL^~kg>!K*5|&pUbyE9C-KeimsyCX z=SF#R>?19I8cYow<;}6bDn6qK^>LIp$G%Z|4yqIcw?cF5+yM&`sjH*BIrf(wqEIUm zDkwC^j-U2dC+hJiZ;pMjXPe2?>QUYt`^%fl&QQlkd2{Ts;YaENYy2p0jt$LkrBMGz zd2{Rx{i!K%r~>X+Uu;;7-xI}4PSj(^Cy^b`Qa4C>bL<>_!#&g#Qr;Z9Q_kAWaHxhx zp*i;6lzs0~i%5BM>{A)jm%*VrI)&!gpYKV+YV~@!U(>W=%WKv?fwjE$-3?$OtU&qY z%T;6ZNeUpBUtX(WzfF1qx%~1)t+9B*TH;5~;qKSumqoe{TtmtRx%_g_{429b9wC=s z&Q-Z5k;XzUznnDW(I66P$mN%tSA95#)E;vAh@t4>({ABE~Pnf&tOTjMfFizAm`?zZ9AMJDKx%P&u)?CMRb zAi4Zl8`Qbo;ggy9l2~fx?PJ!r2Zk<_6;k(xv_hcOp@ul>&T>> zTms7OBl;wu>`o(-fcowqFc)TSC&2CuF*|`k$Lb+V_I*G%q~(s)K?i<2!0&2%46iv> zZ%zLC1Ac3Kuj4hxYQcT_eY(FccdWLRUY5yk(&JlxpkwvUhDT%QPQTo-`thys7W8w0 z+_5_DVeoqT&4D>q`|dq5$v?L=V0Y%2r9q&>QiL(8Iu&`!9hO=)KY%slrv#8YEd4wD zSQRQal{+kbcHl8qIi7VWI4otItM(lgzRDez8lGMiOx3e;hoyTHKEQIsD`-OFRK4b~ zblB3X2-WeD!_rf;^sZ=m=4dqeXkRM+mpj|2b6n?0K_GXw8F8Qvmm$cVZ8m7jA0sV; z+}UQ&fI;t(AVThJbE(qy?xd=aJKL;S(Xu#6Gc2jEJKOAVU-%#CI~GsSzUE-|Vg27r zQPHE^!E9MM(>$u2lslMhZdmmsm0!vo%u|C8=@;vyl($W>Q@%IhZ}Pc+c0rX7ifQ7?Cn+BRUt zaVpD~J4Zb?7&cRFzuY+Dsg=#o@FCG(LTplN-vXlhS8>=SqJh-svvic zN<8vWI_VwAIqIy#yVkFcm9G02spwbk%yv`L?HN@L%bnT6HuuK@^>#J|XSP+3yVs=} zX1O!lg`;0Sp+ac6GuykWUqYywTJFr&bM7&G>0wE>+?nm!p2I0r*G&ur|j~(h!aKxHbd_BsMisO^|I{usJBmC5?-!T?nDWz-*j#!h=jaoti z8M!0Y{bEz&No6B<#Hu%>&rc-Pkvn2N2s+q^^giT><#KuV>o-rA^b066sjE|PKK!Rv zPaRd#%AF4z9$8X>%5mk+hqERKi>Rho?tECQ*dMd0Fj($<_{);v7OF0mJ0E7uJ=up! zl;zHcjUK;xi|U-o`S5q&v-gH!-~69FB#w|f&b<8Hz_#IO^O-vfMgnS$GI(M zZ`UWShum@Q(5w}gNH8LIoJ;xi+67Xb$Q|ddZX0YS>5ANOZk}svljG2)zT9{Kh!!TG^!ib zXe~~6gZ^(Xq5hWn$eL!WsnARAExh&AL^V~5E#8avyn@P0C^(*9`#FzF&h$4upf9fT z4wVqb>vxW$x;4Gs1p4J#@6dA3hF$M}L&a}$Z{a&ZRe&n!^kKNxW{Y>NE!sTGQY_`2 zu2S$84z53|0@d;*9mie1>s#xdx#_Nv8>k>q?k${huOznLooiL_7Pi+7x=*Ev`a9_9 z)X5`FBdN2s@vhtFsJ>C3tATj@B}e?W8vjVQrt}x@P?4nETlno5X9QJR%DsihjKlkM ztRrgt1MCVH;XP2pdJ4tOZNsSMQ|>LC=gGo8zu#LFyoEP6#^wO5!RxSa1KI(5C$FDq zovW(+8I`c=|J1;+THXUSthQ>bwn;wK!RqnVGOUkxzlQZS&#oWei;8LW6I772(ff=_ z+UR;aztdQXUqmfuu}_cdaaKtIhqcQmJ#&HWv)5YmkP9)l(0i zcMNBE0k^XQhhlvD6{|bfX$3x`F4+{kg%>;z-%OR;!|LEHhx?{6!qBqiN))|56coIL zKiu)47S)g^^~D1<-Xl#TX@a%y(dyX6__GjA9z_ZDuOT&*pY#3zkM!mhq) zbTj;$wr4ig)hE5DgQS5b@3sc1Cr;@$oQm_4B5)|(cfQiCFFP4fUr^em;4M6>Uw#P5 z1d;|=K>u7P5$d12rlhp|jkE?yTeL7N#VidCOVxO?)0UE8At_k}!$$dr-V;_WP^XaU zLI2DINxomGlJ=?oo^-DQNhjpq!ndj&LbU?ENilC>-|xoE-H`hrvR{XNK8Wo1W9EaX zU!;|0rC;QkbLXQ9RL&&tBoj0svl`Vz$verEUNj|$3ajLuWHxq67(&%q@=h{KFUB>Y z5-)itndX`wI#Zq4uqHUe_XO_@-?P21Z*-E1&E%bAre|M1OBHMKPBN*QsZXiwO+QKv zNwL1?gU--n)L+x7c23?&rrV3XxUJO|g-$Y+hPK3dKfGZp?<6zGumd~Yf1^?8BokY$ z8_sY&z66?kUh5>2_Pld@DoRY6J$yNku)F-u3HiUAc!;HYV@-)zVSE0o9(#yM8Tb9CeQh*yLTmYMmYO1y#YxyM8U~_uvqf z(#gAiEr@*a5!K_du3x^|4(gq;+Ix*b56tis>v^)rkb7XBI9)1;JT~MWn2%4--A+aw zau3XkYlJoA_96Gc9CE4OO|lG;dte&!etAH?BXSSSGXq|s_u(A;4B75=56lOzmf%|W zJy7m}xu$EYhh(F|JTQHQSTH%?EKyxF z@zFbfr(*G_76L?JDVFr}K{pTd`T9GmIFHgeuzQW$JJicEAnQ~uDqD~0WQ8a!m6F!# zZArI9eNMISQC)FcI^VY9G=q-j^q~U!sGhj3LB4Gb@vqoQzIiN0;=T_xJ2 z|4F6%QL(tKVZI@QW#)>@b4U*mH4wKo(zmTqnk^eAE+1$1T4)PXT~Mg8Co|&1-@Rz zx~15_=oAj|-+gntXg@ODcpuXDSRm%I0R2X?pXgX~=4hvwXi_q2%UE*#oefJpUFsszrAX#(D#-N_mZ`i&T4A{UqkP#npgohOe3w9 zJIp#e?SnqB;}-#b zikaBb=Q!5FhD&O;^o0es|LKObqAs@dr3tpLw&IeREiKf+cAW*cPMlxiSWyF{l_Ji? zI@oqpg-gV_R0G?-6>y0-m#bjgR0pJ$B+eBc*fzk7OT@Vv$C{aNNyE0V2FC!`E8%8K zX)f4$QHx6!wzSR$TQemiTvoTrjBtI`mCQpst+)q~nfWGIR0p*l<*m5HnyGJ9xp9mw zrT^i9gf1Ff(lO4hSgF6}t7Y;5T}9P8|W^*hS z)u}GJjDF>Kg9Nv5+Db;4zWQ)xcFFBKSyi;pzLV8W`|LYeWwp<~lht1P>^oU?HnZ>a z)pRq%PG2=QGxPM-nKR=}U&T6_Yl@w?SS=~*#O13%^>y1~HLAXDTdazeb=&gQxw4L0 zzUpkB$uXW(3= zj2fbko1kAwHCrkxK=dlS5*KG@npKPI+vxE5V!@^U$IUQnqzC$zF|)Bscquodg>(?D zSH%R0=(*G9f3#jT9VGm0hQ6V+R6_#^N8Ql32`$x9LF8jCa%vfi8po1w3n?D9R98jT z)4fxyxRhD~pIL+O4Kzi^#u_+4_n8})1h&)&-%jy;CVUWaHo+Q!wFc0RH?gs1_;x=* z3%*A7kwz>+-A`k!@H^KG8w}25TgYpzg^}e|Fa*mTajC5VjDNY|oz7~u)Lsk5B{~@L zOvRQus=23v1 z;arUR0py)^u%coKnT0^|^U}*;tLPh)Zjwkr>k&TfN7MSrkfBF5 zH@gMSqBze%-s6dHNYWnpkVVcJJ3Rqs5i_g%l{o9T6p$gGpI;LV&R_#89+r@5hXOMA z^q7|2!HFL@umV{^*0w1igRUO=trg_Ga zU&iP03o9$AmXM9q_&j!&!0p8Aq#V^IJ4;zueX=))Yk;$iz^atR+)$J-ipTApY_<1X z{JZDU4RF@RwN1#4YY8x*y+#2UdUb1sf}< z7Lh}ZN=W#k+9o$R%UM`ew#12FC*?;;IBkr{1EpjFEZKZdVPC6H5rteu@3Y?L6cC8i(IasHFEIln_Pz`YQ zbg*(?35nm4#hLqYmO332^cgtuZ7k<&>1gyGs8K+cyqB`^18{21tngUk9H3S}meAz6 znuD{unpH1L$T*b(viOX3|IP$wSAms4OGurdfDD@#{_!Djc6PHmY6%(RJ!^h@RP5q} z+5dpEqk|P=OUV9i1&Jh+?JRb@-aYe<6kO)BGeARjU`A$$CH;2fr6rQISj?Vw8m zS!BV(-gq_BdsuzA#5uyGfDEZr!@dWc2`*M-E+RMGSDI&V)tKc6!8z2%D%GW}yOcPC z_ILQ!0?r{8R_-n$zfM;xY#qu@Te=OLgEXvWUPNy0th9BZ!MxG6!8rht-$|Tl)ol`I zT1DSAzu(*+Hh}X(7pn#rIn%0H6_BB`8c(PS&WR>gQZ6B@S`?5){x^JgXK;?!vAT2# zS;ee?3@Li8eH1u9z=L zLRJ(MkPyBn6kltjEUZ>vLJCUzE;KrE?Okvhb*$iDMDnV6=SR4mKiyJ}YUiC()vSJA z%;D`Bd~WBLMJpYHTFmjPdgnCU^50Ufx8BWO1E1i{pfL^+*Jr$m<)+&JYwpEdb|3Fv zXI(H$?-#W!lV{BX=l5z>FfAc_>!iK*L)IKry5?xeZ)RZkk|bobRsmV#$8DpVLVjbs z&ErG*t}uR_)qhi8e-8N#%>KwowE}0g|E@0^3i);MhLDf5mr4Oyb?&^f!yvzQz&v{j z3dkyrgEGoOe$@bExJLn5`QuL~eF6FS)zxq7Z@U$cl_qBWZwus?claZu4!7@ zCU9;xu%dhk+0~+eEITG<>>zM%)UwKc3Hg>;0jXNQV_9`@u2(a8fQ0N~Qb3l8&1%~a zoK}Hp3?yV{g95U2rHvb$;9TowLInxgNvD7;rL$a%0q56drgo5!9kmL`lBMo6+X>Fq z1}2%1kR3D%$Pzz9mO2AY{Q7)|-N+Q!dro$HwF0uZqgek_;9Ra|;tdJePNjehtKH^i z32-hIm;yvXwnb|GPUQFMDE80gH8@X;mB~mXWNVKCvZ#L9*rniHWM*0v38`@_AVXIT zjpzu@1qLQ)k&yV^RlfBim$&up0?zqbrizh}wHyk_kk;9s;V1BUc=dYfmXI}-<{7*~ zua5`kXAY*%5s~{2>l8SH>SP4ryYOr)6Zwe9ox_wk;aEn#9-K4HOerKH5B{xE;1pII ztvVc>Gqg-@BqICv#Q>Z;@eDWAh&e72aqhAK+D3@E0-EYPoAuooa6WUel6MhVKvNxi z{pbqde1hkaf2zl4QUOhMzB=$@d2l{5v*LS^vw)^L6Z7A}xj#^|3VvzpMa#Xt%prNUF9S=j|xoSBq4X26p+P! zo-iL@n}@ATB_$!Fl#oRuJPYyDq|?l#RwA;1raF^e9q13vTm#cACgXY!JB_=-E=VDd8&SwK^rU)P_& zZxQ>fOv5H^y@yTVD8VP|LXZ=G>cPf3BC>#{I@MIJuHeklGBuruETE~*J;V1KAwLX} z3q8`-cln-6cJKYu(99(pjF2DdX8IfvSwK^reJ}6cg8UE%6ZuHUPHOKS`8Y#b>z+1* z{2(h+3W>-9n(CZX?HvsHf|<#UB+fcYoI$aT`&M0S)ZIbd!;C3uhjti0UOIiRekVG7pBjuOItt@p*xz!j! zei;+9K;pUA{YAdZ>irzOIzEPjX9VWg!BFfE1j(L6{2eC^6gClVDAn5U@7B?sU%)wU2DfPJO!AJb% znk}OxTR+h=U1bS(D?ev}D4A0I->Igq_iTL{W8 zECD-yQ0-5t`EmtPeg@eG4TYfm(iA|MWA>xGb-mHsNI4g>a~y@BT&N46#G4DO?u5U3 z!f$mcjg+55cCNb+lwSqTGT-4xIiY@eMmZ0%4-18$TpT#dAKZSF3F%R_kn#)2KB_JR zMkbTea=9E}56+rnw z>qq(7<}aEe%{u<#014WqpVT?r~i<04P?L4 zzBwh9qDg;2$Yuw%ALYJ_{j!kqYfKy7oD%EV0w{w$ev}p=;xJOCfjz|h=9E|z7eHB5 z8wZ1teR@O;>wByA!ew1D2_SuV+>%d;zQ3%TY?f}YCZa>PC#i}}x z@*A+1Ru_WuAg;v!XqIL19C<$)JnpL5g_KsXm(>=6((VqRtl)pfcV-Ow1}VP=fkoZSCUd`Y~StdG#eZJfP_G;EQr^LF)0Lq#U zKgtR{2Y-%~8^K;ncymfDo(!N=yZtEt7_|B}Qf>l!9rc@2Vg+UZWqrYq^0F;$JW_53 zdwuPjQ=%27^hd|Ck=l=P@t$e7ka7#y8yN~gdE6a9*;MOCY3ty!B4s+*o0-M9ps?u1I zay!`DsS81Q8du_fG|LV)KgurGW*tJx46t|97J~AuIe=2sbn$N#4QqWk8Y#a6dly3? zD9;%JD7*PzFHcT>)CehefW4ce5R~V&0hC(*GyYZ6Ty}B$9_(88n^R)VZ~$e5{~51$ zQF|UKZD8*yyg4Nn9tTiH`k(Pi10Fp?%AH`3QolJRRxbxo_7VKf_|tt2)*$6Bu=mjx zg7S(rfU>{Ak8)_&;_RbACfNI13qg6+96+fv`%!+ZerpL*W`P~cI^Os*5t@DnP~zLV z@9k{kt@zVOxf|>Q-G!k1GjNte9DbDNLppqmlzYIAKdrp^EdMeD%rf5XM|n1T-djky z7wie@LQwv#4WLZY`cW1QFOP#^`@o)LE(GOmbpWNo;72)V#g=PGxgYEXYau8-rU1$j zW|+gupnT>Dp!~q&NBQ7L@0CcI19p?S5R@+hXE{;j zM>)e%kv-K9fqkO25S0H0&T_K<8J}-j&YnaLuuparg7SZ^fE}Oef5yXB&1`~{xnQ5_ zesfCnbPu4MF8J;E!B5^jhm?6>pRRp#O0=C1pfs!fC>J#DuofwuU^g2IK^bffpq#Ds zqg*?xHNMEh^1(jaTnNh7b{ubK`mUE2(}!b_@-W!Ha20~Gs3BmM3v7OrBePPQBjpjW zFYpwCGE5slY4Lwv{x5ypQ>6R>>=s=iC`+gVDDg(2Z^x%JAJ79SkAi)vsSuQ<0%y5G z=SNxT{H(r6c?|3;EQO#f6FAG&CO^tUH|{4O<#DjDwiSXBzu}30^kFc~;z#*IKU*wP z{s{IoS0O0NxdSM_@%T~ReKB)CQl0?2RrBVQC;|$g+@SKK9M}9x9#WnJ`v%>cQ=*b6 zfO3=DkMc*|T{}{q0{doDAtki) z(gpSmTOlZGXaXp|clqu3xm!JIAmz_sx2Xz2SxXf_xy%2I8%~d4SEAEk&(suxQXM$U zJ^p9>!vn9nAmtgb@6i>4vTook_iOxid|AWLrbu}f?E6h`PKjc%fE~})`B6^X{O?7i z`~~dUmN%!&Yv2f=JY@5ue1FJz_JTYI_CuaRP&NvjWuD8AaW7^N`w>kcD4PY&@|enxGWFE#$w+wt?8kJ4plo3l#6P;YozVDE zjt^;39Vst@{e-Cyl&uT_ls{SgDBI>Ize392!2Xk~5R|R80hFh0ev~`Te)|w9FM<8E zrx28F184b*%a5|VV_E~G{2lD)RE3~yA2`eN9zV*jcC^F$Mq!u1enC?R%8u%Q9skYY zNBPr@^6aU81?-n}g`n&#G170kdHp>?NxXkq^#51i66#XY9~+oZmy-UTw(8A4$#DrGzOl57~ojikIw$?EH!H}v-M|qc$W0C2oKzuAHbSdd@>7|k6mhvtovH4&0Ce5b2ONnJ@d^!p1O6Uul4rYWA0mmLyh};rp^I0LPEg*ZBtGSvX(Tq3cPUxXZ}L~9Sd@1ux!APE zc9K2HyOjJhchvyWPWrl(Fg>8JO9@i~`nr@b4WPVBNrlo|SCgDg-lb%I_t97>hMG8e zmy%-US|~b0VV%57$$yrs3rL+O?^5#iwxhq2#82L(Wd5g9J|~@^yi3X9t`{RnY$)$i z0YtzAh!sdIrBPB}{oI?^4qC*1#SldP;4j#qJ>ny7u+9LF@s~lxoem za!TH%WWp=!1d?CLyOh}5oxn=f=4h>NHNV!Sq{p3sSn}CQQ0P(;{;(QW?6y{eyi19x zY1gSFNt1Ue*)@6&))Kb2;&u$!Jw)(M{nX3Uc$AoM2vmM zV!Qm0B_aPFmWy{4up5dNyN9TyA)R1z)k?$XLwB`8my*4zgmoksly@nq@br^dQXR^> zl(chKIzrM#d6$x(uaCm2&q%jlmlCEy^K~g<>N8)L5~e$gLw$}@gx+JcOvOIsbX(Z zo5?$oG(P?G3Q5-Fok&*CDvkAssC#3bNPHSPU-uPfii5hZ1a>KDJ8xby5-!QRl>Be< zBGg%o!W}FAdY6*PDJSt|HQJ-lrKD+gi^-(Jl6NU-+@=RgeNoIM?^3cgKM5;yQ4uEZ zQZi)P$0%x>Xj14>a@g&_7Yl0Bd|gVI-pbddgek3jT}qgqighXRQ&MfmE+ykl`1EEP zDtXV6!|4hANJt>>S+aJPttzPzLOyEyxy~X* zL_JTf(6huiK#vNd`S@}J8}zAVlU{P+ZB-SI|Mv^YJmft~M!)DikhCK5o+a~yQR7K) z5?jFyeVVHz!rovm~z1%vK}^lJ_i$FInjkX^P}MOMaX76pNfu zm?ZC6@~+G82)f;@baD|rdKUGQZc=}XGzOF{XV9Oe0k53dPklh;ZXtPJxk8G-wh{i zfV^kP%AOfI5*WyPmYf#e$t0D6yl2U6)jB*HE;Gn`mbAFlB%SmU@}4E>=I@B=S zw1T{6NqT+|zBi#vNZzwVXs0bfS|fSSlJ8rd?m&VidC!uSIcwe~)swtu$@r3ow~};9 z-m}DcGYSvX6P)2$JShuZ-jiZ+m9Lf6h(uiSo+UZ!-oY0$R^H2dmb_;ufzSDu0?2!o zEWc9*KjOVIfV^kP!Z~I3l8`{&v*hC!{}m%Og1l!*(l#NABn|SOC0FO4|AllB@}4D` zeN^*FT;c0k!UO}po+V5w;Okk!L;}8^B}^W`dX_L5vUr)wFQ@wGh`tPIl9^##eg%zp zsDiuvsE__7;VZM5%dhPHXqaEwmR2oqHK}K5sH%^!nz@gr?Rk>m(ok*RklLZYc(6O^ zcxkAv+qfRpBXqCX=k?D?_!IKmsv*CPjom5m+BneyS~>9~ zw{hY*7k;Q3Q`?|bAlCJn!E)^{vdh7s4URR6A0&JY(?~LS= zLn|i{S%8hxapA2ggg`4N2{~Vt4HRzwzH?IE z__0ENz*ZWG(a-d#=+>A|uW;mZv$%FzOSJ+rwEe#IKXK$!wS;V;Qa~2@W__pa9Ql|% zuxCeFbCfjTSF6fsBiPt0f(rTGG-&}xK5$FOrXB@k@QbeH@irFQ%li%q*~EK{{5XSl zo&N!Ux@5?^RteeItiTDS)dKzo&5*aX60)Jv9tm}4RcXkPf2$=V-m#SS$Y;4!^tkSm z4+w!)P7<=7Z;yP)&>82J4dBS4Rtbq01vzJty*C_sj^savvg29DrGN}6yDk{N`Qjcq zgAMeENVP)&89XKZ)<1+mD<=tA+opgF8ZjjO0U^-JNkS^yI2p!$mdKGW9TKvJ($Q&Ao)19&zsFwJc(}QWkn-If_`W3?CDq02`-}F7b8w+O?&%3$Ss@ zSg_#|N7gin+bO`tY4M4~mmFDLCn5{5ajG(EK^R9?)ksJzh!)T9JK1W;v~rS=1Jnx05{=50Jwyn+y(1yxR0_!A&#rww zlOwyb2X>X~trHZGVJoXu@5hmy-4Zg!qkt^7xa-%0II^QdLiYEbHNT@&bkewP$2hXR zRYEG;IQ7tk(gfLkGYQ$(p|JHLtMlJkMF?kWwS-i*aas}?wv;1Vu?O1s-c|+9;JWAk zyu*>r-4Zg|qJRwg+cgx$S9?=iEV0XF6YR`1}{?S90_wS#$<40L#>1yO3zgG zeD9|bb7bShad@=x56>mpIPqMpPR!9wHJ;Ns#9RUPOl{5I58=pnP3$p9fQ{Gifxid( z+cW*sG)z2`!&D-&0DGp}E!baS`(xj%aKDYBC-H`ruT0)({kh>jfgD3p6Ry3{SHSC@FKNpmSN{-gtQ&9#p1aX z2YbY$S%8hxd&QfIuk;UH60(~2ndxVw6nbM>&`%7(?zu_Gs@`X&AF{}-`BN@(VUf zewwQ2eIJ3HQ>xtO$WayvDJbnbDj%=E;7FrRM3RjY&wV6qhgu->+*GxQBO52m;THul zM>bBB!&{GHj&45k+$ZdToin-x$#c_fVy*xir=vZCGCA^lwS>gWtGL&GrZP3}X`mQj3oYjwX81eP%$VjyUXSG#T3|(GFD%&_^6$^pakv;LS z@bl=m^(tRZ`{wxTNM#$R>q8&Uc^&z-TYQ zJsb+i3K2(w_i*Gkw}k9&Q$Ut~n7)1?N2WU@WH+kkiIou}Yk+JqpO8c~w^6jT5#< zi_8*I<5ob1exI>_3`Z_7NXS+$1!R#w^p7TSQLd&NPe20&JWT^gsU2ku$U+l5CuK zZl{zZ8z-LIC0#w=U2B#0+|Pogc8W2d0daqxIoKmUI}5OJx|v}v!w~FNB6~z+0X9y* z-s$&(A=v#$_K3&=Y@FV`7yBVYu$!9fk!`(*Sz(^ldmAM=-A2ul<;wCs^>y`D{hvr5Z(ZFe&SyV1)Y+19&wpC5k5v+|`W zm)kQ0yIag25m|tZ(_QtUa}2?5IkQKG^nEAs02`-KI|lOi zSG01HII~;|N50I|32})G!G2W`kp;XP~$TZehos@XYmk6A<(VB>TQyKr!1mR3X-VB<8V%G#EML@Or|IeeGJyLG$w z4rb_pd&S3bWT;z27GUFadfTIXjtp@~$WCen&X9-oGsLY2Sw&<4Hcn;M)%%tq*e@_5 z^4&U0oI%fG#$RFx_A?H9WUr&9zUPu}k6`xZ$qg8S{T9Uj5LtkYlWj?^n<3Z_O6(Dl zWaGqQz+YzABj(7)iN%1w&q%qo6tP@smLlA0H-(rhW8yhl4uSCPT8hFT+({!sDF{F7 zpqvWA|FBY27Q+8BP*e`WZ}VJv2)}QpTm=Y!0 zLs2(~aPp`JL>%)_?rn&0*(i#Dh+lcs3nDI?C>IG4S1lApLByXbih4uDZ4X6#A>yHp zqW%!^LPt?7^aPHJgPz5BG#GkTGSTP|=!rjwvA=hqXH7mj6nd(4l#7R+^(+)6K+lF8 zr-z=cY?Moao^5z88G5#NQ*Ida>`Z}RfS%p06ut{R-*!_p9D4RrQ#1m4Mms1l=}oChj=KO4v`5K ziav$NWD`X*AaXdz&4kDgC?(8-$O%>oXG7#V$i-@kzJ$o-6bK6-a+Q_Bg%Fu$rf3mFTGbSN1(6%I6j>m0 zi<_dw5V_q!(GrOK-aye(h|JVdvg%A~0f>5s=j;$QoaY=6HIC=v4-hraLb#(4wZKZzF^F2Kq3Ae7t>CyHA!@aWa@f%=n&*Co=)NAxorY)~ zkIq0ezgH)mgJ`{jMt_B9gO;L;5Iv5s`x``0&{6IZL{H}H{tnU8T$H;E(VvZ85WUz!(G7@R%SZo$XseELHz9fhAN?DmH@hfz3!=Ai z+--=qt0{K}q8$c`?m_fX4Mh(j`mBzkM-Y9^P0=%mzG|ZAIYj@-NB@E7n=Z<|fap6O zivERY58?1WaR2>gmV*%Jf5t#j5$OMigQ8IAe}m(SLjS+rlq&}P?^-AdgZ>@|Ma7~2 zV~#5U{hwJWR}%XF%Wij;jJO?JYD~6=FI$D5?fAT{*5g#Po1et_H+Ja9mA@ ziF8n|7R2=7xY`iY-%2^G3ucr2)je%hzoPF(Wm!Mk9!M z&p}aRh#AMDCJ^(Hm2yoXW|obj<`6UAOi>Gnu^1?72{B7N6t#vJr;4IB5OahD0JPEqtQ5sUY^0W=IEalgQ#24_hZrat46(^9!0*cto9d=09%9FuDN2Oc4;>UG zLF{x5MZ+L=j)9_gA@)lfMJW)w(oIn+#ICVWGzwz*wHUqtU0pTh7J{w?3j|mUy0_F6 zEdgCO&axDAS~umEgRU2kR)cPkiE?W|_YRNNf^L|La^HY%G{<3`=XB1p9(1#HG`b0N zU-D=(=oYb*0N;UbvzemrLAOIi(N56qQB#x&I=h3S-JmA_wShYbnYF-90r$d7$%f7ANQ)@zH$HJ>{c^ zLHFE4xFewZ*G$n5pnIjF=qSVqeDoN^1@qD45ErVW(H|i$OheHLh%4cs=p@9IHBfX4 z;wrc(`U&DHTPbouTs6MN&k$GBM!7Q(S6@ZZS%_<7py(HfYs#Z@5Z8j^eucQ!9Cse# z+Byh#0pdEiDY^)8UDOm^f;g>#qTeAdf&~IxfwYhWDgyE2Xa+%{5If@z=E!RfYJ!H565Y_?JED(YkKtc-xMGYaL4c}TLNa(;) zLQoS(=x(8?DI|n*=4O!4n@7zdfxjCBwSa^;7vWk$!e9qQtso)ZL{V!<7;dGg4I~)V z6t#tf(JT;x+CjotEk*4iVZ5564v;X(Kv73Xm|~`=6C`}>pr|t>%&=0_1rlbtDS8VM z<~k_q3JLsOBd8lBEYeV}J0vXTbLjyI%XO3shlF)35Q2I_!UhXPy&z$;nxaTZ*s7%{ z8WMK!Tpvitv{9}fB<%B06axvlW{P4VA>TpKAV|2#`36J6?;Q6IB=B!pK|>+oriO6w zkZ{jRkscEMaZ!{62`~A&!yqx3ulp_}7U$7$NGz=)+z3c4tD`6d68SYJC>0Vb+bCy* z#A7dasA#tyjq6Lt6kmnXc;vt?}1c^>FjeZ4*hxsUW;9t-(NIYwxXgMTaf_}c5qT`@n%%c;aU&W)7p#NG+qd$XwtBIo1pwF;SbQbiP zeDoL4?=evB0_gKS6kP=U4=#!>f&K~$grMI+e@#u%RnU8E6kP-TV+}>uLH~lU@h9kC z@#rr|D(a!pn~+q3=l+JIGB(QHf~4{mif%(vC7!zjNmY67E+o}3(dd0hs>34>BsI`c z?g1o;=j|aRHFZ$#5hS&+QuG*-TAL|)0!jQ_1{Z~-Da0IH43a)}vC-fXkTjP^B_Zhx z8|6wt(gKbv4M`Ri<;paQA4V5Ih}*72)feVOSXzFzf>xMeAVLL>)!nz_5=D6j@=|$9(i#7&e2Cu7_c>EHt_aM%?1K z%`oCIO9{d0FyfV#qHT~;!a&h>NGZc}8IV%WOu6qMrLvo%9gtFk1w!!mkWyPqkquHB zus{gj1u4z=3^E}_qorIHq_kC2v>Q@7xhdKMDP4JPFQn+Sl-ma>{1a{Pen^QoQ|~7?GTKbJ97r)~DLMox6M4=7DdKU-g_P-P8qI?gvy~zzq|7l> zln*KMwGdPDM;C^rRXO}*{`O^1t~dhihhQaJOf3iA?1jcqBD?kf(1hGIY{}RnWA4I zRZvlM9#Z*xRPdjW+S)_88<5&wL(yN5%I|>&{|%|V)s(vhsr{@J-GK+!!&jki*CA5xRl6ro7jV4&y$q>ivs^bk^wZi*g3>S#4Zk0Euem7*t*YI0Nb z6jCRtDS8H};`w+Esne{K`v+1#<)bekb*6!G|3c~a5x|AW*o)fBygREvS4 z{~&d#l|>-{sa6L?L6EwU1wu$Lq;4@&6auN+926CS)Ez7kLP8;Rr(CQ&bvK`RA9AGLZTcO9>$=NIk8k zs4S%ZVy37Zq+Vcw5KN0I65Z6jg-OYYvJkL#oF_Q58siWTU7mq(1dfR1H#J zXeg=+<>+6{~!S!j*!V4TKrJ;3-WAI1LaGx_M-V4TB8 z!@)RDMQcQW@k@^D1;*7ZC4@wRF-=QR6d3t?N=P&q*E=ZJ8;qOzXdf_cHB+uH7&9Cc z^#h}g1wu%FFzzu?6a&Wn9*SbYXxC7r1EYhF#(~jkquc;69^trwU_8!82Z8Y<9~}%v z{#hVo2pG?5XpMKk_$$W^1>;2z<>JA3nU5xbk>8IE(Sz}xg+`OW_)tYrG8mueC>jRF z=X}%v#(!Oudl!uVaolhi8N^3Nz)1d{7m@-a!}w?_j4Y|6HO9lp_F9T2z{pN!iYCIy zw;U8rf{{H~AcTAfBYU|hnhYcRxheVxMvm}MGzCVEc2G1GMvk>nGz~`bcZiUWVdO`A zYoEZ#kJ+FQG95-vw@@?#M$R@-G!x#xt)^%eynoM1(f_q{_wg>)e;mj6PUjpq2Rl+p zrKyM{6BS7oau?1ha&vB05$WtEJKd{uo!uVQ*{*ZKIXiAz#5sp;W;=9WQ%Y1?!mnE` zH>Ep`C_;YQ`*ZyDe7rv2@3rOZ&brR##3Lv4lnamilcwA#YnPxrDC=XSyeR9ZqpDDL zqn28XvVmD>F7%_!7^P}a_M(k?31zhgYAMP#$aERXHtN{wP!^L`kFw1PwgAfBFj5UD zdpk%iM_EEotw7la25KeBK1x!nP_`pQy^ONfTSO3L$pqUgDBB~)TaB{+nb_8#to0W0 zD$4e2+18=#uu3(e?1+xq3G?G}8K1)ZtY{a^E?Jj^x%K|B8|GRgckF>Vpr!V~929*8 z^SUJ4H!z1)>N}WQ^wfTs-;|d5)yS>V4#51sB&Ua9ZoS3+1oI(jM_~R<*8L9isT9{8 zh55fUm4@X)X@9|@HL;z5jF!Kw63stc@1(dfu&Z|4VH!=cXWqkwT-$0mh}qN1D2SP>Iq9CMO_2S4g=K- zmM;?2b+CM+P<>%Jn1v>%A1uEmsQ$2=Fi-*GeYNw7Ydq9()oTo#&~LRjUsN{$g$ zXM$}CtW^eTDy%Q)sC!|p$wHGe4c4U!H67MKlDZGpRXS=0tg97jCah~kvtVr!&4zV@ zs0h|bn(K;TjoYXaSYOjprLex4qD-*9E4m-n5AwY=PBe15@Y-O+>Oj2f8e@amnSbveJ71lqp zNt0uP_0J&nD6Gd7Y9XvAb(9^}e}Yswtp7@T4Ayhmq{(?4HjT6=U~41oN!W6fEOVZM zt%II=8n%vF>KWKN+o(mbb;&}L^DGvwk!$rF7B(5!DzWfg+2O##M3T*kg&)aYHx}-e zsRs-9={WUb;nyZ=F%}+>b}yER>E%3QLA7dCD;CC z*vFXIg0N2rQm?>1Sx>EjeVVj&us>pAYlPjLrkY@vSM@o~u$Rk@^{_uF+5r1AvLgih zb5ZUH!(JJrBCxv^Y9s866I2xT7v;#CV6Ty*#9&{d<1`L?otA2WeYug^4ErjD+5)@0 zmdbez_I0xEb=aG;Lru;bu!m&Fo3L+G*xrIYmZ08-eRGt05B6_VDgpcV3bhsXRFZlh z_JaxP1K5AoQrlqv)j)j+`yaCRBiPdl+jiLhlD!|p{&#|H2kieEshzN&3sRq;TqAow zMR}VP+h-`xmA$)A-rmIaIm$amsU*s^LFx;XUnF~Xqx_N-+m|TsuBG;%{K_meIeSsA zGgA9devN_pAIf{n-mg&JH^KHb%KOXSZy-NZP0qI{zbVb>cPPKbKz)z$;aO;M_M^P@ zarXz5k4&+pP(DUa{fP4MI_dz*Cq}7*C@&0Bzo2}Mk@^$m&&ZB6$}6NDL;2z~r^iwL zqC)+Ja=(^3iSi|~?r)SgNc#unE2W)6`D)Q=l&@8}<6o3F>8LX(U!SJVqCBin=TIKi zQvabm7NpLje6v2QTn)!FM@vh{~@kF2{9%6-|S$sGV?wo2)t6sM_yP)tc` z5R~~*YA}>XQq;{*%5~H&P@WVGh2jXZ-3CSO@wvmGEH<&-4&_B1H5`iHNZkRY)<7Ac z)XSya2_>jy8wF)uni>sdLl&CcF;F(C)L1B+Q`7_~?};Wt`B?T&g0eHgsS(N^ncf3M z?%lc5q5Kx)bS9L)^;8imHBqV*mFA+xv0Ea%k~f|dyD3w zvQL_AJ}Ud`s0FAT9Hh*s9HCM+RC-O+qo{mAM=eC9ybjB?qjFn{tsIp*4nT z}C+DKKR@|>P>zyXzV!jTiDTyS)kb#6F%h&*syEmJQXy+u`U^pojgI0lHGhhtEJ zM|lB`TSYIzF)YdEgJYzu^TTnMiA{xLT#%}PW1^@Q4x_@h1djU*)KWO)6<+Q#IEu4L zle-d*r&X#6j-Y|s07qk#3d0diQxP~eYpIQJycVRQaJ-qIHo@_Z?1;hfp6qCW<6|AC zo8kDxKy86zm$cX5_`<~YCLCWIsJGzwUi1zee~8|NMuB(P1FfE zLptgtoEx*y=&nyoWjS0^YfT)lNv0bG6M z$X(#-uVw2B*G)<461axyscvwMPE(h`C9fXxy2CX|c3cjZQFdGb*S!g@yArM$vZDuF z@;-ImRdAV7oa*43BRhJ+HD6`B8m=;hx&|(r=vuhSZEU^ZlGm|$z2RD_~-xQ?luj)bfAHZ%&ZQ!*V5*BK+Hcfs|atQ!OO1va*^aOY&9 z$r}fEo=nHX-Ckjv0Cz_%bvN8vnNEbeOOkC8+?U97GTfIL*$Uxqy@eU!?h$310(Vc9 znhJL>8+8xdePqXUxCf@#?t^=3^#a`Tsvyq~_vr*%Ej&n5b?|7j(B##_ z)73x);JGwKHNbOulv)nYRkC9RJlBX;!gF1c(^c^FlN~R^qc^by;Tde8UV-P<6tx;2 z`PXdT8hGS&Q{JoajEZu)7M^ihY8^a9HmVUGlS(zgGe_1n!!tk0wjQ3c1hoMko9qa| zQ!YEg@H}bYGy=~e6SWZ@B|$~uaiyqD@GQe8et`ED z6ZIp!t@qFa@EY`N2jLwVqz=P7-a!2X??kySKf_y?O`5zT@J^BT3%vJ=eueiwnf?av z%p}+S4sVg@4|q!rY)9d}U)rDWwmyHP;hm>&dJNtLTIx8wX3<~pT4j0y-i6Xm!uyz! z>;8uKi3IfzyiZFz1@EF1+i7?!Y}CK-I-=AWc-=bcEWBQ6=iq%_^dG#fx3%-|`qSBU zZ8h-LiU99Y6Wayw)=6svZ-eMUcvl8F&4D+lr*h$4Bg%t!txVg(+a#?Wyc=v>*B;(* zis}GwR9Zf~aZyKjH_NmWyst;Ot~0!E8K^Gsewd~%f_F!f>I(0tGQAAm{nEO_d%(u& zs>R_ai_LDe-Tw!Wz9m87mmRUc{nP<4Hp?FLl! zPf-0)H9&MDss;wx2B2z?tka|F7CG`jR1Fo~gsNdCt{a4^;j(TBs^=NmZbP*tNex5w z!XR}!svl2L!%;1d8*N9RT7H&o4XE}-IlU9r%kN|jzc#T=LG|Bh>K;^|(^1plyD$q)+v)J-Ca4+kb<|O_;Je5~&4%w1JyinVl?loO z-*pOgKYaZ}55PAd$o3$7gACLh_-@rvbK$!!K|KWD9YJayd?S<8eE7yBsE6U3pim3o zn{1;Vfp4mgDuZuYkTSzJQ=u&I6(uMue5N2}gKv(GdKA9azx##oEs&`lKC{YcIefMh z^*DS_i=Ke*Ink5wITD;c1)oRsGRYYIrtiaY!&dW5-ISl zmT4t?uNydZ!1q>~a>DnXTq_rR@7vhi@O@;YJn-$%Q(pK!O;T0xeIBJ2!}q1Edmg@h zHntbw`&LI)!*^JreDM7u^27H>kWGc}n1QN+@1%~Zh3`~?dI`R>L23#78iiU4|Ai)M z8T@Ut(6p_Cze9?uhrd&T3c!D{T$cv;yUF!i4!^u!Yr6ve9x`1Cf6pj)tb+gAB=s`< zeY8{%{?_~DEAZc_XIlgRU?cS^{I`nM!ap?1whsQ=wNxYgcgVCE{xLze_3)2NQybvF zTUr?YDbhB=KO;NTw2i_)J4$VWzf`4S@INRm4*xu9E$}~L<8(9pRwK0q{)IAq4gM#z zY_G%rRFrxH{%6wEoA5suq~3<#o21@>zxBTVF8tLh+k5bR zK7fBknr$2WFDI!F;a?r4K7xO(wC(UWYuP@AKV+nKz`s#XeFFb`ChAl8-&d&5;NK>D zcfr3s#r8S;pXjJ0{JVnG7w~_PqISc-S4ZuIKPBxe_nvHuT4`&pz3AaZ%~KHx}#9XBso0>^#MI~0&44h`X8uIM%n&_>e5o@pwd2w4SKxuIKb>)LfCEdZVUalIn+=AqMJ3)Qr+ngHSWwL=8dBQ)y~AYN`#? zNYt!UsZpp2CaAHf*^r{fp=P6KJZfSlw#leT$c{qPY%{VMQS(ufx(79%>#2KD^F@@p z4>jKys2Ql)pM|E~Ow^=yNcm8^NTK|wRScAh zT1SF<3AHcis3oYa4pMcfT`Je59<>2c0JSS@oUTIcdRg}}Y9l7LAZnv2Y7J`NFi@|e z_N@f97Paq6TZh_&j;#^3?@Mb!?KWx6sQoBA%zhtq?e-M45zE3_YBQF7V4&W>vhP#W z+gSETf_ewb{xVYUV%Zrzl|Ws)Ahi{BT4^7kuDi;%4Rt+4AEK^zHf6scv2K8t+JU;8 zb<|GO-I}C!p>Cp$`W$uBWL*+<_o-}qP*)*sFX|Sj*!H2W+DLtkx+OO18`RZ_zC~R_ zHfh=&N8PC;^%v^S%8rw$*96)AMtvKZo<@BK1KU~DcaKv4q5f)ZR_!&Y?`xwjK>a{z z7ovWMv|Q91q_su;SZVE1KRL-A`KX^RtrO~trD;(=S6Ua;mr3i2`f@#YT!Q*%qSSS$ zZ%I&nQ2$zx>WBLGbyR=U@07haqJEd`7=ZfSDyMqX@6%H^q5gXVH3;=TnyA63Kb(c8 z{mrO9lA>-w{qG6tR@A3W)DYC4P^h7(KOLlQL;blFH4FhL)a?l5n5Yp5bj(82{!RqC zh(;oCv5{>Q0^JPMXau?|)LjVlkm(o%dIs6XBG5}qjYHtN1T`LkenDyi0yiqu-3Sbn zy%Q0**~T^rfg#c+BXGCMR*1mlEHv$>AaGBRnu@@EI_e$-W*ew`5hzipX$ah}r=}w? zM@QX;|d`fyZTUF#=E9*h&z1PD_;{P?@4k2zYeV{RliS zdH?}mHfh>Fh(N9En1jGFm2EBp4RXBs2&_r6J&ZtOkXnGidWEtg@P?kUA@EKXn)Z(( z@LrI59D!X%>InpP>!>FY*pr~1Mc|-Z#&Zb#tguxe@T-n;AaFcLIT1K%qFe}^%0koL zjlk&?ldXjr6Udl3y4Cd!8fuYpq0(E49qg9dqaZeNRrr3$Anp&^i> zmY`vUj#`R_sEJyJhPXmCqv4EP`}J6^5pBTo3k{rxusknCg|WOtf{J4KMWQ&??borj zU|s9|V>8zMXJp%g#*T8l*U;E{dwv~_t+(Pg(0G*`z$(BH)QKhz`@t!F4J{qSdsGVqhEKPla#%Ge$r)c!(sn5{p6YWCd zOEUc&jVq#@Ceipxg8BlDYfaRbXpAbeC0`UXum+Nk|#8X@fm zG>tT}{fMS+&)TmLi454v}o?3XX}FIYh_1Q zH20Hs37Q8goOVO=5G~am&3BlnE6_YTO7%eV-3IC^G*4EjtI<3yMO}mD83w8snv2rZ z4QO6uqx5K2P1H~{hvj&q(7Z=sn}p^w2I@Yn@1;`rWBtS+^$6D6Q`BQvzg$OEVEy}g zYBARTVxV5Y`eRzkj}5R<0c^NlS`ZsXi(bKov3gEdW5Wbl7s3X+!nPS3UJ6q0VZ(a~ z>SJv9Aw}&*sBMz^7NI_AYCl3Z2dT^#zYR}NKO%IemO6mYXq7sMkUal(IE2vnB->92 zO|?-!BQz~a9YJV@?Dz$t*|P3egi6w!{)W&4dg^zCX}5n1;qLRK4F z=Ifdk%JdjQau4lr9HFQ5oc@JS>(A%}LKU+2Z-l(rp(eirLI;D?#R#`IP?sRwQQD;l zYen4;vaUbE(`4O^2+xpp0}!5Vjoh_Pu2}ac!7aC zZbsNF>uy2VChKlR_;HyIL0Ens`9l$wXQBMt5U!A;3`5u<>uyKbt=DA!Z~BfQQ;-Gy+oOvfM`$|g{-`S{p5&pqQO+)ygo|=yE;S_Zr!oSOO2Euu)E_-JoEUy6aXCr({ z%V`n9XLM9C!t%_SUxG-1!d8k%SGf!mBHiW4_akzpfzt;N(V3_R5xGXDa}epBO`80< zi1bNO4^|CWV}i}ipazSwGfd)8)Zl2o*-3@$aEw17$P(E)Z>U0nW!faDK$_}A|j6}`A;D- zC&l(OBJ&c|Gl*EU)FMP4%|es^EF$(a^&BFPr>F`hnjpR zB2_YVA@V|s&5cNH7MgqyB1>fIMWimpR)xrNX^RnACGB}cR%TPV=qEcJ2>2uNh*tA=w+puY0lG6{d>1z|U z9h(m5sgJSgWSZK6O{cTaPy60A0zf4cBLG7FJe7)obE&H+935m#IDOilm8WB{Y2j)c3+C^ zJH%$$sP7Rgmg#=PN>#QLVy(|92M}9eWIKphS&BM@m^DfrM(nW!^)q76rl}){RqCnV z5PMPD?}+(j#~+B*N;`^JotEqVM6AI`9YbtQkUEaox)gN+v9L@}A{Lb$e{O7g17c@V zR6b(oO;kt3F9=ed5YI_bTEsiqr~K`!@oG zpKPM8MSMz->V^0;9n~B0878U^;wHJ=zKGA!vt5t)yeQQV@dYxy5pj8x?>GSQCybox z5r0~x1|t4!k{XP-M_T5KtlzY;jYNEFkQ#&dC(_0uzDqO?@jVKs;}QSHKutjWdlPjx z;y)y)iHILCQj-urtfM9){&SQvBL2I9nu7SBqN#`^0tKcXbZMjb%Ox1xh6`B>H+LW!E-^e{^PFi=0C3=BgkYqcLlIJhYKPem+Nkz0%Ilm?9bjCq zW6OtelkDgS;~OelCm6Ttsm>U=IY?zbk5=w^oid+CyHdy21(R9~)J2#Sk)w3Qq-L33 zj8P>fPBWj^=N4UxNw22ax}kK2j=Bt`)3eZY>W>{p~S9II+Q%BrFx>o zA}#Zo9F8dO|%&tjLnNMg6MybqaFkKg2liKa?-DT&F@gr>AOASzx4Up?sf(rqfGM zepaa^P~@?s(^6CpGqEj0rCz3WP_|{0rc*tXHCih3o^v=sHNbsRv>eLoLADjBc*R7m zL`A)hS_Su?Q7ZHMtCI{=5XzDymHGYE@uJmu5ji^9@+onvUQ zBsh(udPR_GL0RihVKc1vscc*D`u$PrHIyBd7OaKC9_>j?L|da4uL>txy)?)5U&q3&dw)1Iho zlZB>p<~M>qGf@>h3W<6YdK!#H-Zi(*sgWq_1Z*?CD)WDgx5(bd;2$Y^95wQK zyz>+APm*;{!e6Oy$5ZgjtK81-pyp2#%FmXgVK8+3iN^CzOrSQNO@_R*sy8Yq(4^ zzenI#IQ<*;xv8wQKxGA|+BT?2XOl+z4J>&omARK~)l%QV?Ke^1V_{mf9}TZ1*nU96 z4%v}HLsDV;5e@&dQ3uelKS&)!!(mx>2-Wwd*$zW_TcI+)L9tHS&rqY%GUs$0mG%p2 zCP%sMSNOk@_8a_XOl-eH{Xk3off~0;WzPL5NKt=6y}?MOp`JET$51m&bR6n3+4~pN zMba|oh|CRYGJmuuQM1rM{SEbw6qPw|A&zFl1n=SHCJIf3w4)` zI)|E?EHv8xpk66D5B1h)mIaw}PR?;}0Z=n0$#wzMSM*foJQS}&T?qBjEHniO;)d}jwNh)(* z%b*0Mg?h+96`*E>?9H6((vVG>f{UOk(z-%@SoU6wn#c8=UIKNbv`gWSY1uO8#K*8siMkRsOVU&is6F-6RZv4JrGt7zTIMX82?@5Vp{_Mi z*Fb&2MqLZFJWcgNO=Xbk4Yfq3*I`*Sn=}P|P_xcJ^+ionin<;(Euzf1IInSG!3`)n zBFF2GqIcvdH)8gAJ$DR1Q9EgR6fH5a4Mg!y19cOM)<&s8D4La|2BYYAX*Z*2tDfx^ z6n|i(Zbk7BEj0u)kEN-hDDvp2+fY19)(u1HNE6%bC_1dChNI{W8+8YY7HX*xDDAFL z29%CZQ+J|xje#18;(+WJh0-y4w$Uh-clZkKLUAO?HU`D=C|WQUr9Bn4aVVW6#~Y90 zyR~c+P<+4axEn?NY-|%z{HRPPq4;4V+hi1v5*4C&Sdz_%;;AY%1;vd9YAT9LwA4K) z`o~7yi_!;!)HIaN6HQ0)mMB~1Y^8e945)ofY?-r_8q(A(loTbY*(j=(X%UJR>Dh`= zv`ShDO8bgRQM6O`nozVc%60dnXughm0L3Fz>OmAwH&Sy@JUL0tMe$sjK7`U6(roik zTrSi3C^j3}9!Bw4QRZ_J#wcu$!2OqrDueSC*@En%CkHPbcf$ed4PA8}*;5nC~o`ko9?0pK}&IY!p5#KI* zpMmpbm2DB+=N0N%G`HTypF`8YC|d=Z?viy1nhH&9m1vrog{HuPrrANtiKa(nhYL-Q zWs|1Bjix8Hlm|_N6O2-fA=tlXX5c z-x=gOKbptsDHYA~Z?J+IjJr?AR*SK@S!fDg!q}cBY6-^n5-r8p{@J7{Scb9s1XYKz zLxNO2#@=qC0vJ0w3r#@-#*R%;%Q3bvNUgxwdrZ_yjGdi@reGDumWp1+*oT5_L5y8s zqF#YzHo6_fX8p($94al=$<9X7q5q#Dt3m4RwP%hg7z87;kZ z)OxhE{{3x0%k>Gi5L)`nQNn1^2iYQM8Dyh2qD7wR3ZfXdRL`~vlYiAxF^o&f-Z;kH zl4NVaxY4q1GscaGvTZ?gj*)r|;}$5?>limvrQXJdqBQjmLPPY_y9oViquxU(p`#KA zRm*fMLX)Juk5K<8rypR$;S{wE8v;SDJUL@b%0>Q?MJ&+7$IA zX5A*&eh-?KCfW9)sjrdRho+$k>VJrzN>g7UuF8(DQJo61eS>&|iTW1q0Xi!4Ubrht zeUEtS{cS(u?PU4`;y1`qGVhA7GIHIIh&>sk4xr*|8+8zyT3=fn!mKR{+hMq8WT7ee z2^EJ#KcnF-xwIp2s4Azwz_&k1{R*EwP5lP*$rSZF%6^p{f57U~vmJ%?DjW4DEazoM z<};XDAM1|6vQX9?hviZo*JVDJ$zY^TzjJp+q6@Ha zv&xqF_u$Sbbs-$9MLBSc(6Z%X%%BvNhglnvR9lSOX`|X>W9d#`%|48eFcP}%sW!{5d7No92{AHPD-i==q zWy`!9-)N&U@5XP?Q<-<;BeL!W#ABlVh;NBqF$ zZbIlUg&Ks=KL%TGG`~`D%22!-WCl-=tH^O+Ys7e<8&B8 zpUE}29ie2JZ8$=EWZfMIeI*)!(04XY4G5+5)SYOIr>T($Ii+P@;T4(KMq_SXkh%+V zyBMi4n0twq8jHD?C8=?kd!QRJNr>KPpt;<5w#g0(3jw(m!c@y;* z8aGIL9OFI{J%K3;<5egwYo<(8nE$2BD zb_{Y_fx@mSN(ND{Yh?g;haHh4B>=RRarBR4ptI zh+cyE0v+2DnA@4Cr7&w#)H0YaH&S&lUoDqb5A*d}wgAinW!eDq5ScEA*&x#uFppKa zZY9j}Z-XwYV4g11mtiiJX%OZ)Nv?YZ<}xF-8fLpp*TDR=v{zxS6s?81O3QWYVD^g| zVP2YKYl3-&N;Sj0MzkL0^+vW0Fh|o=2GvjqR?aj literal 0 HcmV?d00001 -- 2.43.0