From 6686d84a04c189beb4a78fccb9b1f93198d4d9ef Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Tue, 27 Jul 2010 00:00:17 +0000 Subject: [PATCH] *** empty log message *** --- trb_net_components.vhd | 96 ------------------------------------------ 1 file changed, 96 deletions(-) diff --git a/trb_net_components.vhd b/trb_net_components.vhd index cc674d8..c60ef3a 100644 --- a/trb_net_components.vhd +++ b/trb_net_components.vhd @@ -871,102 +871,6 @@ port( SFP_PRSNT_N_IN : in std_logic; -- SFP Present ('0' = SFP in place, '1' = no SFP mounted) SFP_LOS_IN : in std_logic; -- SFP Loss Of Signal ('0' = OK, '1' = no signal) SFP_TXDIS_OUT : out std_logic; -- SFP disable - ------------------------------------------------------------------------------------------- - ------------------------------------------------------------------------------------------- - -- PacketConstructor interface - IG_CTS_CTR_TST : out std_logic_vector(2 downto 0); - IG_REM_CTR_TST : out std_logic_vector(3 downto 0); - IG_BSM_LOAD_TST : out std_logic_vector(3 downto 0); - IG_BSM_SAVE_TST : out std_logic_vector(3 downto 0); - IG_DATA_TST : out std_logic_vector(15 downto 0); - IG_WCNT_TST : out std_logic_vector(15 downto 0); - IG_RCNT_TST : out std_logic_vector(16 downto 0); - IG_RD_EN_TST : out std_logic; - IG_WR_EN_TST : out std_logic; - IG_EMPTY_TST : out std_logic; - IG_AEMPTY_TST : out std_logic; - IG_FULL_TST : out std_logic; - IG_AFULL_TST : out std_logic; - PC_WR_EN_TST : out std_logic; - PC_DATA_TST : out std_logic_vector (7 downto 0); - PC_READY_TST : out std_logic; - PC_START_OF_SUB_TST : out std_logic; - PC_END_OF_DATA_TST : out std_logic; - PC_SUB_SIZE_TST : out std_logic_vector(31 downto 0); - PC_TRIG_NR_TST : out std_logic_vector(31 downto 0); - PC_PADDING_TST : out std_logic; - PC_DECODING_TST : out std_logic_vector(31 downto 0); - PC_EVENT_ID_TST : out std_logic_vector(31 downto 0); - PC_QUEUE_DEC_TST : out std_logic_vector(31 downto 0); - PC_BSM_CONSTR_TST : out std_logic_vector(3 downto 0); - PC_BSM_LOAD_TST : out std_logic_vector(3 downto 0); - PC_BSM_SAVE_TST : out std_logic_vector(3 downto 0); - PC_SHF_EMPTY_TST : out std_logic; - PC_SHF_FULL_TST : out std_logic; - PC_SHF_WR_EN_TST : out std_logic; - PC_SHF_RD_EN_TST : out std_logic; - PC_SHF_Q_TST : out std_logic_vector(7 downto 0); - PC_DF_EMPTY_TST : out std_logic; - PC_DF_FULL_TST : out std_logic; - PC_DF_WR_EN_TST : out std_logic; - PC_DF_RD_EN_TST : out std_logic; - PC_DF_Q_TST : out std_logic_vector(7 downto 0); - PC_ALL_CTR_TST : out std_logic_vector(4 downto 0); - PC_SUB_CTR_TST : out std_logic_vector(4 downto 0); - PC_BYTES_LOADED_TST : out std_logic_vector(15 downto 0); - PC_SIZE_LEFT_TST : out std_logic_vector(31 downto 0); - PC_SUB_SIZE_TO_SAVE_TST : out std_logic_vector(31 downto 0); - PC_SUB_SIZE_LOADED_TST : out std_logic_vector(31 downto 0); - PC_SUB_BYTES_LOADED_TST : out std_logic_vector(31 downto 0); - PC_QUEUE_SIZE_TST : out std_logic_vector(31 downto 0); - PC_ACT_QUEUE_SIZE_TST : out std_logic_vector(31 downto 0); - ------------------------------------------------------------------------------------------- - ------------------------------------------------------------------------------------------- - -- FrameConstructor interface - FC_WR_EN_TST : out std_logic; - FC_DATA_TST : out std_logic_vector(7 downto 0); - FC_H_READY_TST : out std_logic; - FC_READY_TST : out std_logic; - FC_IP_SIZE_TST : out std_logic_vector(15 downto 0); - FC_UDP_SIZE_TST : out std_logic_vector(15 downto 0); - FC_IDENT_TST : out std_logic_vector(15 downto 0); - FC_FLAGS_OFFSET_TST : out std_logic_vector(15 downto 0); - FC_SOD_TST : out std_logic; - FC_EOD_TST : out std_logic; - FC_BSM_CONSTR_TST : out std_logic_vector(7 downto 0); - FC_BSM_TRANS_TST : out std_logic_vector(3 downto 0); - ------------------------------------------------------------------------------------------- - ------------------------------------------------------------------------------------------- - -- FrameTransmitter interface - FT_DATA_TST : out std_logic_vector(8 downto 0); - FT_TX_EMPTY_TST : out std_logic; - FT_START_OF_PACKET_TST : out std_logic; - FT_BSM_INIT_TST : out std_logic_vector(3 downto 0); - FT_BSM_MAC_TST : out std_logic_vector(3 downto 0); - FT_BSM_TRANS_TST : out std_logic_vector(3 downto 0); - ------------------------------------------------------------------------------------------- - ------------------------------------------------------------------------------------------- - -- MAC interface - MAC_HADDR_TST : out std_logic_vector(7 downto 0); - MAC_HDATA_TST : out std_logic_vector(7 downto 0); - MAC_HCS_TST : out std_logic; - MAC_HWRITE_TST : out std_logic; - MAC_HREAD_TST : out std_logic; - MAC_HREADY_TST : out std_logic; - MAC_HDATA_EN_TST : out std_logic; - MAC_FIFOAVAIL_TST : out std_logic; - MAC_FIFOEOF_TST : out std_logic; - MAC_FIFOEMPTY_TST : out std_logic; - MAC_TX_READ_TST : out std_logic; - MAC_TX_DONE_TST : out std_logic; - ------------------------------------------------------------------------------------------- - ------------------------------------------------------------------------------------------- - -- pcs and serdes - PCS_AN_LP_ABILITY_TST : out std_logic_vector(15 downto 0); - PCS_AN_COMPLETE_TST : out std_logic; - PCS_AN_PAGE_RX_TST : out std_logic; - ------------------------------------------------------------------------------------------- - ------------------------------------------------------------------------------------------- -- debug ports ANALYZER_DEBUG_OUT : out std_logic_vector(63 downto 0) ); -- 2.43.0