From 69c788370893744086d4b21651dd998266deba0d Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Wed, 18 Jan 2023 16:40:04 +0100 Subject: [PATCH] add explicit inital value for register --- code/trb3sc_tools.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/code/trb3sc_tools.vhd b/code/trb3sc_tools.vhd index f5b3e4a..1f96992 100644 --- a/code/trb3sc_tools.vhd +++ b/code/trb3sc_tools.vhd @@ -87,7 +87,7 @@ signal flash_cs_s, flash_clk_s, flash_out_s : std_logic; signal debug_rx, debug_tx : std_logic; signal debug_status : std_logic_vector(31 downto 0); -signal additional_reg_i : std_logic_vector(31 downto 0); +signal additional_reg_i : std_logic_vector(31 downto 0) := x"00000000"; signal control_reg_i : std_logic_vector(15 downto 0); begin -- 2.43.0