From 6d81a5c132eb0cab5d85b85c5821142440825060 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Fri, 20 Dec 2024 17:29:30 +0100 Subject: [PATCH] more configuration options for trigger logic automatic switch off of SED for reboot --- code/trb3sc_tools.vhd | 9 +++++++-- 1 file changed, 7 insertions(+), 2 deletions(-) diff --git a/code/trb3sc_tools.vhd b/code/trb3sc_tools.vhd index b4d6751..b6bb548 100644 --- a/code/trb3sc_tools.vhd +++ b/code/trb3sc_tools.vhd @@ -15,7 +15,9 @@ entity trb3sc_tools is ADC_CMD_2 : std_logic_vector(19 downto 0) := x"1d3cb"; ADC_CMD_3 : std_logic_vector(19 downto 0) := x"1e5cb"; ADC_CMD_4 : std_logic_vector(19 downto 0) := x"2f3cb"; - ADC_CMD_T : std_logic_vector(19 downto 0) := x"1F393" + ADC_CMD_T : std_logic_vector(19 downto 0) := x"1F393"; + NUM_COINCIDENCES : integer range 1 to 24 := 24; + NUM_MULTIPLICITIES : integer range 1 to 3 := 3 ); port( CLK : in std_logic; @@ -228,6 +230,7 @@ THE_FLASH_REGS : entity work.load_settings THE_SED : entity work.sedcheck port map( CLK => CLK, + DISABLE_IN => PREPARE_FOR_RELOAD, ERROR_OUT => SED_ERROR_OUT, RELOAD_OUT => sed_reload_i, BUS_RX => bussed_rx, @@ -424,7 +427,9 @@ gen_TRIG_LOGIC : if INCLUDE_TRIGGER_LOGIC = 1 generate THE_TRIG_LOGIC : entity work.input_to_trigger_logic_record generic map( INPUTS => TRIG_GEN_INPUT_NUM, - OUTPUTS => TRIG_GEN_OUTPUT_NUM + OUTPUTS => TRIG_GEN_OUTPUT_NUM, + NUM_COINCIDENCES => NUM_COINCIDENCES, + NUM_MULTIPLICITIES => NUM_MULTIPLICITIES ) port map( CLK => CLK, -- 2.51.0