From 6f379ed5991b77531b5932adcc1b1d6e63b12afd Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Tue, 9 Aug 2022 13:06:31 +0200 Subject: [PATCH] fix ecp5 gbe_wrapper, remove _single from name for compatibility with ecp3 --- gbe_trb_ecp5/base/gbe_wrapper_single.vhd | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/gbe_trb_ecp5/base/gbe_wrapper_single.vhd b/gbe_trb_ecp5/base/gbe_wrapper_single.vhd index d9752f8..d6646b8 100644 --- a/gbe_trb_ecp5/base/gbe_wrapper_single.vhd +++ b/gbe_trb_ecp5/base/gbe_wrapper_single.vhd @@ -11,7 +11,7 @@ use work.trb_net_gbe_components.all; use work.trb_net_gbe_protocols.all; -entity gbe_wrapper_single is +entity gbe_wrapper is generic( DO_SIMULATION : integer range 0 to 1 := 0; INCLUDE_DEBUG : integer range 0 to 1 := 0; @@ -98,9 +98,9 @@ entity gbe_wrapper_single is STATUS_OUT : out std_logic_vector(15 downto 0); DEBUG_OUT : out std_logic_vector(127 downto 0) ); -end entity gbe_wrapper_single; +end entity gbe_wrapper; -architecture RTL of gbe_wrapper_single is +architecture RTL of gbe_wrapper is signal mac_ready_conf : std_logic; signal mac_reconf : std_logic; signal mac_an_ready : std_logic; @@ -678,12 +678,12 @@ begin GSC_INIT_DATA_OUT <= (others => '0'); GSC_INIT_PACKET_NUM_OUT <= (others => '0'); GSC_REPLY_READ_OUT <= '1'; - mlt_gsc_clk <= (others => '0'); - mlt_gsc_init_read <= (others => '0'); - mlt_gsc_reply_dataready <= (others => '0'); + mlt_gsc_clk <= '0'; + mlt_gsc_init_read <= '0'; + mlt_gsc_reply_dataready <= '0'; mlt_gsc_reply_data <= (others => '0'); mlt_gsc_reply_packet <= (others => '0'); - mlt_gsc_busy <= (others => '0'); + mlt_gsc_busy <= '0'; end generate NOSCTRL_MAP_GEN; SCTRL_MAP_GEN : if (LINK_HAS_SLOWCTRL /= "0000") generate -- 2.43.0