From 708d446742fb8162cf8e9761a34021ce24096827 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Thu, 10 Nov 2022 16:57:29 +0100 Subject: [PATCH] merge i2c_slim2 from blackcat branch to master --- interface/i2c_slim2.vhd | 158 ++++++++++++++++++++++++---------------- 1 file changed, 94 insertions(+), 64 deletions(-) diff --git a/interface/i2c_slim2.vhd b/interface/i2c_slim2.vhd index 249c351..568b1aa 100644 --- a/interface/i2c_slim2.vhd +++ b/interface/i2c_slim2.vhd @@ -11,6 +11,7 @@ port( I2C_GO_IN : in std_logic; -- startbit to trigger I2C actions ACTION_IN : in std_logic; -- '0' -> write, '1' -> read WORD_IN : in std_logic; -- '0' -> byte, '1' -> word + DIRECT_IN : in std_logic; -- '0' -> normal access, '1' -> direct read I2C_SPEED_IN : in std_logic_vector(5 downto 0); -- speed adjustment (to be defined) I2C_ADDR_IN : in std_logic_vector(7 downto 0); -- I2C address byte (R/W bit is ignored) I2C_CMD_IN : in std_logic_vector(7 downto 0); -- I2C command byte (sent after address byte) @@ -26,7 +27,7 @@ port( SCL_IN : in std_logic; SCL_OUT : out std_logic; -- Debug - BSM_OUT : out std_logic_vector(4 downto 0) + BSM_OUT : out std_logic_vector(3 downto 0) ); end entity i2c_slim2; @@ -37,7 +38,7 @@ type STATES is (SLEEP, LOADA, GSTART, SENDA, LOADC, SENDC, LOADD, SENDD, GSTOP, INC, DONE, FAILED, LOADD2, SENDD2); signal CURRENT_STATE, NEXT_STATE: STATES; -signal bsm : std_logic_vector( 4 downto 0 ); +signal bsm : std_logic_vector(3 downto 0); signal phase : std_logic; -- '0' => first phase, '1' => second phase of read cycle signal start_x : std_logic; @@ -57,6 +58,8 @@ signal load_dh_x : std_logic; signal load_dh : std_logic; signal load_dl_x : std_logic; signal load_dl : std_logic; +signal clr_data_x : std_logic; +signal clr_data : std_logic; signal sdone : std_logic; -- acknowledge signal from GenStart module signal sok : std_logic; -- status signal from GenStart module @@ -140,7 +143,7 @@ begin end process THE_SYNC_PROC; -- lower limit of speed -i2c_speed <= i2c_speed_in & "000"; +i2c_speed <= i2c_speed_in & b"010"; -- Read phase indicator THE_PHASE_PROC: process( CLOCK ) @@ -148,7 +151,7 @@ begin if( rising_edge(CLOCK) ) then if( RESET = '1' ) then phase <= '0'; - elsif( CURRENT_STATE = INC ) then + elsif( (CURRENT_STATE = INC) ) then phase <= '1'; elsif( (CURRENT_STATE = DONE) or (CURRENT_STATE = SLEEP) ) then phase <= '0'; @@ -166,44 +169,48 @@ begin start <= '0'; dostart <= '0'; dobyte <= '0'; - i2c_done <= '0'; running <= '0'; load_dh <= '0'; load_dl <= '0'; + clr_data <= '1'; valid <= '0'; + i2c_done <= '0'; else CURRENT_STATE <= NEXT_STATE; start <= start_x; dostart <= dostart_x; dobyte <= dobyte_x; - i2c_done <= i2c_done_x; running <= running_x; load_dh <= load_dh_x; load_dl <= load_dl_x; + clr_data <= clr_data_x; valid <= valid_x; + i2c_done <= i2c_done_x; end if; end if; end process STATE_MEM; -- Transition matrix -TRANSFORM: process(CURRENT_STATE, i2c_go_in, sdone, sok, phase, bdone, bok, action_in, word_in) +TRANSFORM: process(CURRENT_STATE, I2C_GO_IN, sdone, sok, phase, bdone, bok, ACTION_IN, WORD_IN) begin NEXT_STATE <= SLEEP; start_x <= '0'; dostart_x <= '0'; dobyte_x <= '0'; - i2c_done_x <= '0'; running_x <= '1'; load_dh_x <= '0'; load_dl_x <= '0'; + clr_data_x <= '0'; valid_x <= '0'; + i2c_done_x <= '0'; errors_x <= x"00"; save_x <= '0'; case CURRENT_STATE is when SLEEP => - if( i2c_go_in = '1' ) then + if( I2C_GO_IN = '1' ) then NEXT_STATE <= LOADA; save_x <= '1'; + clr_data_x <= '1'; else NEXT_STATE <= SLEEP; running_x <= '0'; @@ -232,12 +239,22 @@ begin dostart_x <= '1'; end if; when SENDA => - if ( (bdone = '1') and (bok = '1') and (action_in = '0') ) then - NEXT_STATE <= LOADC; -- I2C write, send command - elsif( (bdone = '1') and (bok = '1') and (action_in = '1') and (phase = '0') ) then - NEXT_STATE <= LOADC; -- I2C read, send command - elsif( (bdone = '1') and (bok = '1') and (action_in = '1') and (phase = '1') ) then - NEXT_STATE <= LOADD; -- I2C read, send 0xff dummy byte + if ( (bdone = '1') and (bok = '1') and (ACTION_IN = '0') and (DIRECT_IN = '0') ) then + NEXT_STATE <= LOADC; -- I2C normal write + elsif( (bdone = '1') and (bok = '1') and (ACTION_IN = '1') and (DIRECT_IN = '0') and (phase = '0') ) then + NEXT_STATE <= LOADC; -- I2C normal read, address stage (same for byte and word) + elsif( (bdone = '1') and (bok = '1') and (ACTION_IN = '1') and (phase = '1') and (WORD_IN = '0') ) then + NEXT_STATE <= LOADD2; -- I2C normal read (byte), data stage + elsif( (bdone = '1') and (bok = '1') and (ACTION_IN = '1') and (phase = '1') and (WORD_IN = '1') ) then + NEXT_STATE <= LOADD; -- I2C normal read (word), data stage + elsif( (bdone = '1') and (bok = '1') and (ACTION_IN = '0') and (DIRECT_IN = '1') and (WORD_IN = '0') ) then + NEXT_STATE <= LOADD2; -- I2C direct write (byte) + elsif( (bdone = '1') and (bok = '1') and (ACTION_IN = '0') and (DIRECT_IN = '1') and (WORD_IN = '1') ) then + NEXT_STATE <= LOADD; -- I2C direct write (word) + elsif( (bdone = '1') and (bok = '1') and (ACTION_IN = '1') and (DIRECT_IN = '1') and (WORD_IN = '0') ) then + NEXT_STATE <= LOADD2; -- I2C direct read (byte) + elsif( (bdone = '1') and (bok = '1') and (ACTION_IN = '1') and (DIRECT_IN = '1') and (WORD_IN = '1') ) then + NEXT_STATE <= LOADD; -- I2C direct read (word) elsif( (bdone = '1') and (bok = '0') and (phase = '0') ) then NEXT_STATE <= FAILED; -- first address phase failed errors_x <= x"20"; @@ -255,11 +272,11 @@ begin when LOADC => NEXT_STATE <= SENDC; when SENDC => - if ( (bdone = '1') and (bok = '1') and (action_in = '0') and (word_in = '0') ) then + if ( (bdone = '1') and (bok = '1') and (ACTION_IN = '0') and (WORD_IN = '0') ) then NEXT_STATE <= LOADD2; -- I2C byte write, prepare data - elsif( (bdone = '1') and (bok = '1') and (action_in = '0') and (word_in = '1') ) then + elsif( (bdone = '1') and (bok = '1') and (ACTION_IN = '0') and (WORD_IN = '1') ) then NEXT_STATE <= LOADD; -- I2C word write, prepare data - elsif( (bdone = '1') and (bok = '1') and (action_in = '1') ) then + elsif( (bdone = '1') and (bok = '1') and (ACTION_IN = '1') ) then NEXT_STATE <= GSTOP; -- I2C read, first phase ends dostart_x <= '1'; elsif( (bdone = '1') and (bok = '0') ) then @@ -274,19 +291,12 @@ begin when LOADD => NEXT_STATE <= SENDD; when SENDD => - if ( (bdone = '1') and (bok = '1') and (action_in = '0') and (word_in = '0') ) then - NEXT_STATE <= GSTOP; -- I2C write, byte access, done - dostart_x <= '1'; - elsif( (bdone = '1') and (bok = '1') and (action_in = '0') and (word_in = '1') ) then - NEXT_STATE <= LOADD2; -- I2C write, word access, last byte to send - elsif( (bdone = '1') and (action_in = '1') and (word_in = '0') ) then - NEXT_STATE <= GSTOP; -- I2C read, byte access, data phase - dostart_x <= '1'; - load_dl_x <= '1'; - elsif( (bdone = '1') and (action_in = '1') and (word_in = '1') ) then + if ( (bdone = '1') and (bok = '1') and (ACTION_IN = '0') ) then + NEXT_STATE <= LOADD2; -- I2C write, word access, last byte to send + elsif( (bdone = '1') and (ACTION_IN = '1') ) then NEXT_STATE <= LOADD2; -- I2C read, word access, last byte to receive load_dh_x <= '1'; - elsif( (bdone = '1') and (bok = '0') and (action_in = '0') ) then + elsif( (bdone = '1') and (bok = '0') and (ACTION_IN = '0') ) then NEXT_STATE <= FAILED; -- I2C write, first data phase failed errors_x <= x"04"; save_x <= '1'; @@ -298,14 +308,14 @@ begin when LOADD2 => NEXT_STATE <= SENDD2; when SENDD2 => - if ( (bdone = '1') and (bok = '1') and (action_in = '0') ) then + if ( (bdone = '1') and (bok = '1') and (ACTION_IN = '0') ) then NEXT_STATE <= GSTOP; -- I2C write, done dostart_x <= '1'; - elsif( (bdone = '1') and (action_in = '1') ) then + elsif( (bdone = '1') and (ACTION_IN = '1') ) then NEXT_STATE <= GSTOP; -- I2C read, data phase dostart_x <= '1'; load_dl_x <= '1'; - elsif( (bdone = '1') and (bok = '0') and (action_in = '0') ) then + elsif( (bdone = '1') and (bok = '0') and (ACTION_IN = '0') ) then NEXT_STATE <= FAILED; -- I2C write, data phase failed errors_x <= x"02"; save_x <= '1'; @@ -315,16 +325,20 @@ begin dobyte_x <= '1'; end if; when GSTOP => - if ( (sdone = '1') and (action_in = '0') ) then + if ( (sdone = '1') and (ACTION_IN = '0') ) then NEXT_STATE <= DONE; i2c_done_x <= '1'; valid_x <= '1'; - elsif( (sdone = '1') and (action_in = '1') and (phase = '1') ) then + elsif( (sdone = '1') and (ACTION_IN = '1') and (phase = '1') ) then NEXT_STATE <= DONE; i2c_done_x <= '1'; valid_x <= '1'; - elsif( (sdone = '1') and (action_in = '1') and (phase = '0') ) then + elsif( (sdone = '1') and (ACTION_IN = '1') and (phase = '0') and (DIRECT_IN = '0') ) then NEXT_STATE <= INC; + elsif( (sdone = '1') and (ACTION_IN = '1') and (DIRECT_IN = '1') ) then + NEXT_STATE <= DONE; + i2c_done_x <= '1'; + valid_x <= '1'; else NEXT_STATE <= GSTOP; dostart_x <= '1'; @@ -332,6 +346,7 @@ begin when INC => NEXT_STATE <= LOADA; when FAILED => + -- emergency STOP condition to correctly free the bus if( sdone = '1' ) then NEXT_STATE <= DONE; i2c_done_x <= '1'; @@ -365,50 +380,65 @@ begin end if; end process THE_ERROR_PROC; +---- DONE bit: set by FSM completion, cleared by start of FSM +--THE_DONE_PROC: process( CLOCK ) +--begin +-- if( rising_edge(CLOCK) ) then +-- if ( RESET = '1' ) then +-- i2c_done <= '0'; +-- elsif( CURRENT_STATE = LOADA ) then +-- i2c_done <= '0'; +-- elsif( CURRENT_STATE = DONE ) then +-- i2c_done <= '1'; +-- end if; +-- end if; +--end process THE_DONE_PROC; + -- Output decoding DECODE: process(CURRENT_STATE) begin case CURRENT_STATE is - when SLEEP => bsm <= b"00000"; -- 00 - when LOADA => bsm <= b"00001"; -- 01 - when GSTART => bsm <= b"00010"; -- 02 - when SENDA => bsm <= b"00011"; -- 03 - when LOADC => bsm <= b"00100"; -- 04 - when SENDC => bsm <= b"00101"; -- 05 - when LOADD => bsm <= b"00110"; -- 06 - when SENDD => bsm <= b"00111"; -- 07 - when GSTOP => bsm <= b"01000"; -- 08 - when INC => bsm <= b"01001"; -- 09 - when FAILED => bsm <= b"01010"; -- 0a - when DONE => bsm <= b"01011"; -- 0b - when LOADD2 => bsm <= b"01100"; -- 0c - when SENDD2 => bsm <= b"01101"; -- 0d - when others => bsm <= b"11111"; -- 1f + when SLEEP => bsm <= b"0000"; -- 0 + when LOADA => bsm <= b"0001"; -- 1 + when GSTART => bsm <= b"0010"; -- 2 + when SENDA => bsm <= b"0011"; -- 3 + when LOADC => bsm <= b"0100"; -- 4 + when SENDC => bsm <= b"0101"; -- 5 + when LOADD => bsm <= b"0110"; -- 6 + when SENDD => bsm <= b"0111"; -- 7 + when GSTOP => bsm <= b"1000"; -- 8 + when INC => bsm <= b"1001"; -- 9 + when FAILED => bsm <= b"1010"; -- a + when DONE => bsm <= b"1011"; -- b + when LOADD2 => bsm <= b"1100"; -- c + when SENDD2 => bsm <= b"1101"; -- d + when others => bsm <= b"1111"; -- f end case; end process DECODE; -- We need to load different data sets ---LOAD_DATA_PROC: process( CLOCK, RESET, CURRENT_STATE, action_in, phase) LOAD_DATA_PROC: process( CLOCK ) begin if( rising_edge(CLOCK) ) then if ( RESET = '1' ) then i2c_byte <= (others => '1'); - elsif( (CURRENT_STATE = LOADA) and (phase = '0') ) then + elsif( (CURRENT_STATE = LOADA) and (phase = '0') and (DIRECT_IN = '0') ) then i2c_byte <= i2c_addr_in(7 downto 1) & '0' & '1'; -- send write address, receive ACK + elsif( (CURRENT_STATE = LOADA) and (phase = '0') and (DIRECT_IN = '1') and (ACTION_IN = '0') ) then + i2c_byte <= i2c_addr_in(7 downto 1) & '0' & '1'; -- send write address, receive ACK + elsif( (CURRENT_STATE = LOADA) and (phase = '0') and (DIRECT_IN = '1') and (ACTION_IN = '1') ) then + i2c_byte <= i2c_addr_in(7 downto 1) & '1' & '1'; -- send read address, receive ACK elsif( (CURRENT_STATE = LOADA) and (phase = '1') ) then i2c_byte <= i2c_addr_in(7 downto 1) & '1' & '1'; -- send read address, receive ACK - elsif( (CURRENT_STATE = LOADC) and (action_in = '0') ) then - i2c_byte <= i2c_cmd_in(7 downto 0) & '1'; -- send command byte (WRITE), receive ACK - elsif( (CURRENT_STATE = LOADC) and (action_in = '1') ) then - i2c_byte <= i2c_cmd_in(7 downto 0) & '1'; -- send command byte (READ), receive ACK - elsif( (CURRENT_STATE = LOADD) and (action_in = '0') ) then + elsif( (CURRENT_STATE = LOADC) ) then + i2c_byte <= i2c_cmd_in(7 downto 0) & '1'; -- send command byte (read/write), receive ACK + elsif( (CURRENT_STATE = LOADD) and (ACTION_IN = '0') ) then i2c_byte <= i2c_dw_in(15 downto 8) & '1'; -- send data byte, receive ACK - elsif( (CURRENT_STATE = LOADD2) and (action_in = '0') ) then + elsif( (CURRENT_STATE = LOADD2) and (ACTION_IN = '0') ) then i2c_byte <= i2c_dw_in(7 downto 0) & '1'; -- send data byte, receive ACK - elsif( (CURRENT_STATE = LOADD) and (action_in = '1') ) then + elsif( (CURRENT_STATE = LOADD) and (ACTION_IN = '1') ) then i2c_byte <= x"ff" & '0'; -- send 0xff byte, send ACK - elsif( (CURRENT_STATE = LOADD2) and (action_in = '1') ) then + elsif( (CURRENT_STATE = LOADD2) and (ACTION_IN = '1') ) then i2c_byte <= x"ff" & '1'; -- send 0xff byte, send NACK end if; end if; @@ -490,8 +520,8 @@ end process THE_SDA_PROC; THE_STORE_READ_H_PROC: process( CLOCK ) begin if( rising_edge(CLOCK) ) then - if ( RESET = '1' ) then - i2c_drw(15 downto 8) <= (others => '0'); + if ( clr_data = '1' ) then + i2c_drw(15 downto 8) <= (others => '1'); elsif( rising_edge(CLOCK) ) then if( load_dh = '1' ) then i2c_drw(15 downto 8) <= i2c_dr(8 downto 1); @@ -504,8 +534,8 @@ end process THE_STORE_READ_H_PROC; THE_STORE_READ_L_PROC: process( CLOCK ) begin if( rising_edge(CLOCK) ) then - if ( RESET = '1' ) then - i2c_drw(7 downto 0) <= (others => '0'); + if ( clr_data = '1' ) then + i2c_drw(7 downto 0) <= (others => '1'); elsif( rising_edge(CLOCK) ) then if( load_dl = '1' ) then i2c_drw(7 downto 0) <= i2c_dr(8 downto 1); -- 2.43.0