From 72acd1e65de7a7657481a85f1760a1640ac60a0c Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Thu, 26 May 2011 15:02:03 +0000 Subject: [PATCH] *** empty log message *** --- design2/trigger_handler.vhd | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/design2/trigger_handler.vhd b/design2/trigger_handler.vhd index 55a49cb..7f8ce80 100644 --- a/design2/trigger_handler.vhd +++ b/design2/trigger_handler.vhd @@ -60,7 +60,7 @@ end entity; architecture trigger_handle_trg_arch of trigger_handler is - constant WAIT_AFTER_BEGRUN : unsigned(11 downto 0) := x"7D0"; +-- constant WAIT_AFTER_BEGRUN : unsigned(11 downto 0) := x"7D0"; type state_type is (IDLE, BEGRUN, DO_REINIT, DO_REINIT2, TIMING_TRIGGER, CALIB_TRIGGER, DO_READOUT, RELEASE_LVL1, WAIT_FOR_FINISHED_STORING); @@ -75,7 +75,7 @@ architecture trigger_handle_trg_arch of trigger_handler is signal finished_cycle_i : std_logic; signal lvl1_release_i : std_logic; - signal timer : unsigned(11 downto 0); + signal timer : unsigned(3 downto 0); signal timer_clear : std_logic; signal state_bits : std_logic_vector(3 downto 0); @@ -181,7 +181,7 @@ begin current_state <= BEGRUN; when TIMING_TRIGGER => - if timer = x"02" then + if timer = x"2" then current_state <= DO_READOUT; start_readout_i <= '1'; end if; -- 2.43.0