From 73ddefd7a822241a2bd94abe5ae1739d28087de1 Mon Sep 17 00:00:00 2001 From: palka Date: Wed, 16 Jan 2008 14:35:47 +0000 Subject: [PATCH] err --- optical_link/flexi_PCS_synch.vhd | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/optical_link/flexi_PCS_synch.vhd b/optical_link/flexi_PCS_synch.vhd index 529b652..884017b 100644 --- a/optical_link/flexi_PCS_synch.vhd +++ b/optical_link/flexi_PCS_synch.vhd @@ -49,7 +49,8 @@ architecture flexi_PCS_synch of flexi_PCS_synch is TX_FORCE_DISP : out std_logic_vector(1 downto 0); DATA_VALID_IN : in std_logic; DATA_VALID_OUT : out std_logic; - FLEXI_PCS_STATUS : out std_logic_vector(15 downto 0) + FLEXI_PCS_STATUS : out std_logic_vector(15 downto 0); + MED_PACKET_NUM_OUT : out std_logic_vector(1 downto 0) ); end component; begin -- 2.43.0