From 796bbfe7bc940dd4e25bd52e427e134af611a7f5 Mon Sep 17 00:00:00 2001 From: Manuel Penschuck Date: Mon, 3 Nov 2014 09:50:52 +0100 Subject: [PATCH] CBMNet: Remove OBuf as not required anymore --- cbmnet/code/cbmnet_readout.vhd | 48 +++++++++++++++++------------ cbmnet/code/cbmnet_readout_obuf.vhd | 4 +-- 2 files changed, 30 insertions(+), 22 deletions(-) diff --git a/cbmnet/code/cbmnet_readout.vhd b/cbmnet/code/cbmnet_readout.vhd index d66ff4e..fa97033 100644 --- a/cbmnet/code/cbmnet_readout.vhd +++ b/cbmnet/code/cbmnet_readout.vhd @@ -308,25 +308,33 @@ begin DEBUG_OUT => debug_frame_packer_i ); - THE_OBUF: CBMNET_READOUT_OBUF - port map ( - CLK_IN => CBMNET_CLK_IN, -- std_logic; - RESET_IN => reset_combined_125_i, -- std_logic; - - -- packer - PACKER_STOP_OUT => obuf_stop_i, -- out std_logic; - PACKER_START_IN => frame_packer_start_i, -- in std_logic; - PACKER_END_IN => frame_packer_end_i, -- in std_logic; - PACKER_DATA_IN => frame_packer_data_i, -- in std_logic_vector(15 downto 0); +-- THE_OBUF: CBMNET_READOUT_OBUF +-- port map ( +-- CLK_IN => CBMNET_CLK_IN, -- std_logic; +-- RESET_IN => reset_combined_125_i, -- std_logic; +-- +-- -- packer +-- PACKER_STOP_OUT => obuf_stop_i, -- out std_logic; +-- PACKER_START_IN => frame_packer_start_i, -- in std_logic; +-- PACKER_END_IN => frame_packer_end_i, -- in std_logic; +-- PACKER_DATA_IN => frame_packer_data_i, -- in std_logic_vector(15 downto 0); +-- +-- -- cbmnet +-- CBMNET_STOP_IN => CBMNET_DATA2SEND_STOP_IN, -- in std_logic; +-- CBMNET_START_OUT => obuf_start_i, -- out std_logic; +-- CBMNET_END_OUT => obuf_end_i, -- out std_logic; +-- CBMNET_DATA_OUT => CBMNET_DATA2SEND_DATA_OUT, -- out std_logic_vector(15 downto 0); +-- +-- DEBUG_OUT => debug_obuf_i -- out std_logic_vector(31 downto 0) +-- ); + debug_obuf_i <= x"deadbeaf"; + obuf_stop_i <= CBMNET_DATA2SEND_STOP_IN; + obuf_start_i <= frame_packer_start_i; + obuf_end_i <= frame_packer_end_i; + CBMNET_DATA2SEND_DATA_OUT <= frame_packer_data_i; - -- cbmnet - CBMNET_STOP_IN => CBMNET_DATA2SEND_STOP_IN, -- in std_logic; - CBMNET_START_OUT => obuf_start_i, -- out std_logic; - CBMNET_END_OUT => obuf_end_i, -- out std_logic; - CBMNET_DATA_OUT => CBMNET_DATA2SEND_DATA_OUT, -- out std_logic_vector(15 downto 0); - - DEBUG_OUT => debug_obuf_i -- out std_logic_vector(31 downto 0) - ); + + CBMNET_DATA2SEND_START_OUT <= obuf_start_i; CBMNET_DATA2SEND_END_OUT <= obuf_end_i; ---------------------------------------- @@ -361,7 +369,7 @@ begin if obuf_start_i='1' and CBMNET_DATA2SEND_STOP_IN='0' then cbm_stat_transmitting_i <= '1'; - cbm_stat_frame_length_i <= 1; + cbm_stat_frame_length_i <= (0 => '1', others => '0'); cbm_stat_hwords_sent_i <= cbm_stat_hwords_sent_i + 1; elsif CBMNET_LINK_ACTIVE_IN='0' or obuf_end_i='1' then cbm_stat_transmitting_i <= '0'; @@ -373,7 +381,7 @@ begin -- and cross over to TrbNet clock domain PROC_CBM_SYNC: process is - variable ack_delay : std_logic; + variable ack_delay : std_logic := '0'; begin wait until rising_edge(CBMNET_CLK_IN); diff --git a/cbmnet/code/cbmnet_readout_obuf.vhd b/cbmnet/code/cbmnet_readout_obuf.vhd index ff27260..4073cac 100644 --- a/cbmnet/code/cbmnet_readout_obuf.vhd +++ b/cbmnet/code/cbmnet_readout_obuf.vhd @@ -143,10 +143,10 @@ begin when OBTAIN_FULL_BUFFER => if fifo_get_filled_i(0) = '1' then read_fifo_next_i <= 0; - rfsm_next_i <= DELAY_WHILE_STOP; + rfsm_next_i <= WAIT_WHILE_STOP; elsif fifo_get_filled_i(1) = '1' then read_fifo_next_i <= 1; - rfsm_next_i <= DELAY_WHILE_STOP; + rfsm_next_i <= WAIT_WHILE_STOP; end if; when DELAY_WHILE_STOP => -- 2.43.0