From 7b375679f03108c5fea651218e30af959535b399 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Mon, 13 Jun 2022 12:04:14 +0200 Subject: [PATCH] update broadcast addresses depending on design --- cbmrich/config.vhd | 2 +- template/config.vhd | 5 ++++- 2 files changed, 5 insertions(+), 2 deletions(-) diff --git a/cbmrich/config.vhd b/cbmrich/config.vhd index e24a910..0edc8fd 100644 --- a/cbmrich/config.vhd +++ b/cbmrich/config.vhd @@ -46,7 +46,7 @@ package config is --Address settings constant INIT_ADDRESS : std_logic_vector := x"F570"; - constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"81"; + constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"85"; constant INCLUDE_UART : integer := c_YES; --300 slices constant INCLUDE_SPI : integer := c_YES; --300 slices diff --git a/template/config.vhd b/template/config.vhd index 2825860..c91c178 100644 --- a/template/config.vhd +++ b/template/config.vhd @@ -45,7 +45,7 @@ package config is --Address settings constant INIT_ADDRESS : std_logic_vector := x"F570"; - constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"81"; + constant BROADCAST_SPECIAL_ADDR : std_logic_vector; constant INCLUDE_UART : integer := c_YES; --300 slices constant INCLUDE_SPI : integer := c_YES; --300 slices @@ -95,6 +95,9 @@ package body config is constant CLOCK_FREQUENCY : integer := CLOCK_FREQUENCY_ARR(USE_120_MHZ); constant MEDIA_FREQUENCY : integer := MEDIA_FREQUENCY_ARR(USE_120_MHZ); + constant BROADCAST_SPECIAL_ADDR : std_logic_vector := std_logic_vector(to_unsigned(132-SERDES_NUM*3,8)); --81 (SFP) or 84 (Backplane) + + function generateIncludedFeatures return std_logic_vector is variable t : std_logic_vector(63 downto 0); begin -- 2.43.0