From 83364613e1112e38f403b891d2a5fec6fef0e357 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Wed, 12 Apr 2023 14:05:48 +0200 Subject: [PATCH] add reasonable default speed for common I2C --- code/common_i2c.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/code/common_i2c.vhd b/code/common_i2c.vhd index a68036f..6ee8350 100644 --- a/code/common_i2c.vhd +++ b/code/common_i2c.vhd @@ -20,7 +20,7 @@ end entity; architecture Behavioral of common_i2c is - signal i2c_reg_0 : std_logic_vector(31 downto 0); + signal i2c_reg_0 : std_logic_vector(31 downto 0) := x"00000020"; signal i2c_reg_1 : std_logic_vector(31 downto 0); signal i2c_reg_2 : std_logic_vector(31 downto 0); signal i2c_reg_4 : std_logic_vector(31 downto 0); -- 2.43.0