From 883bbd2ec7e5ed857d06d7f715019382f75cd2ff Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Wed, 15 Dec 2021 08:44:56 +0100 Subject: [PATCH] debug lines deconnected for NCD routing --- cts/trb3sc_cts.vhd | 41 +++--------------------------- tdctemplate/trb3sc_tdctemplate.vhd | 21 +++------------ 2 files changed, 8 insertions(+), 54 deletions(-) diff --git a/cts/trb3sc_cts.vhd b/cts/trb3sc_cts.vhd index 9c1f2c2..f3b9cdf 100644 --- a/cts/trb3sc_cts.vhd +++ b/cts/trb3sc_cts.vhd @@ -19,17 +19,14 @@ entity trb3sc_cts is CLK_SUPPL_PCLK : in std_logic; --125 MHz for GbE CLK_CORE_PCLK : in std_logic; --Main Oscillator CLK_EXT_PLL_LEFT : in std_logic; --External Clock - --Additional IO -- HDR_IO : inout std_logic_vector(10 downto 1); BACK_LVDS : inout std_logic_vector( 1 downto 0); BACK_GPIO : inout std_logic_vector( 3 downto 0); - SPARE_IN : in std_logic_vector( 1 downto 0); INP : in std_logic_vector(31-12*USE_RJADAPT downto 0); RJ_IO : out std_logic_vector( 3 downto 0); --0, inner RJ trigger output REFOUT : out std_logic_vector( 8*USE_RJADAPT-1 downto 0); - --LED LED_GREEN : out std_logic; LED_YELLOW : out std_logic; @@ -40,14 +37,12 @@ entity trb3sc_cts is LED_WHITE : out std_logic_vector( 1 downto 0); LED_SFP_GREEN : out std_logic_vector( 1 downto 0); LED_SFP_RED : out std_logic_vector( 1 downto 0); - --SFP SFP_LOS : in std_logic_vector( 1 downto 0); SFP_MOD0 : in std_logic_vector( 1 downto 0); SFP_MOD1 : inout std_logic_vector( 1 downto 0) := (others => 'Z'); SFP_MOD2 : inout std_logic_vector( 1 downto 0) := (others => 'Z'); SFP_TX_DIS : out std_logic_vector( 1 downto 0) := (others => '0'); - LED_HUB_LINKOK : out std_logic_vector(8 downto 1); LED_HUB_RX : out std_logic_vector(8 downto 1); LED_HUB_TX : out std_logic_vector(8 downto 1); @@ -56,25 +51,21 @@ entity trb3sc_cts is HUB_MOD2 : inout std_logic_vector(8 downto 1); HUB_TXDIS : out std_logic_vector(8 downto 1); HUB_LOS : in std_logic_vector(8 downto 1); - --Serdes switch PCSSW_ENSMB : out std_logic; PCSSW_EQ : out std_logic_vector( 3 downto 0); PCSSW_PE : out std_logic_vector( 3 downto 0); PCSSW : out std_logic_vector( 7 downto 0); - --ADC ADC_CLK : out std_logic; ADC_CS : out std_logic; ADC_DIN : out std_logic; ADC_DOUT : in std_logic; - --SPI DAC_OUT_SDO : out std_logic_vector(6 downto 5+2*USE_RJADAPT); DAC_OUT_SCK : out std_logic_vector(6 downto 5+2*USE_RJADAPT); DAC_OUT_CS : out std_logic_vector(6 downto 5+2*USE_RJADAPT); DAC_IN_SDI : in std_logic_vector(6 downto 5+2*USE_RJADAPT); - --Flash, 1-wire, Reload FLASH_CLK : out std_logic; FLASH_CS : out std_logic; @@ -82,10 +73,9 @@ entity trb3sc_cts is FLASH_OUT : in std_logic; PROGRAMN : out std_logic; ENPIRION_CLOCK : out std_logic; - TEMPSENS : inout std_logic; - + TEMPSENS : inout std_logic --Test Connectors - TEST_LINE : out std_logic_vector(15 downto 0) +-- TEST_LINE : out std_logic_vector(15 downto 0) ); attribute syn_useioff : boolean; @@ -438,35 +428,12 @@ gen_PCSB : if USE_BACKPLANE = c_NO and USE_ADDON = c_NO generate tx_dlm_i <= dlm_send_qq; --- THE_SYNC_PROC: process( clk_sys ) --- begin --- if( rising_edge(clk_sys) ) then --- tx_dlm_i <= cts_output_multiplexers_i(0); --- end if; --- end process THE_SYNC_PROC; - - --HDR_IO(10 downto 1) <= (others => '0'); --- HDR_IO(10) <= debug_i(17); --- HDR_IO(9) <= debug_i(16); --- HDR_IO(8) <= '0'; --- HDR_IO(7) <= '0'; --- HDR_IO(6) <= '0'; --- HDR_IO(5) <= '0'; --- HDR_IO(4) <= '0'; --- HDR_IO(3) <= '0'; --- HDR_IO(2) <= rx_dlm_i; --- HDR_IO(1) <= tx_dlm_i; - --- TEST_LINE(11 downto 0) <= debug_i(11 downto 0); --- TEST_LINE(15 downto 12) <= debug_i(15 downto 12); --- TEST_LINE(15 downto 12) <= tx_reset_state; +-- HDR_IO(10 downto 1) <= (others => '0'); +-- TEST_LINE(15 downto 0) <= (others => '0'); -- just for testing destroy_link_i <= common_ctrl_reg(88); - -- just for testing --- tx_dlm_i <= common_ctrl_reg(89); - end generate; --------------------------------------------------------------------------- diff --git a/tdctemplate/trb3sc_tdctemplate.vhd b/tdctemplate/trb3sc_tdctemplate.vhd index bc6eef7..ed22588 100644 --- a/tdctemplate/trb3sc_tdctemplate.vhd +++ b/tdctemplate/trb3sc_tdctemplate.vhd @@ -67,9 +67,9 @@ entity trb3sc_tdctemplate is FLASH_OUT : in std_logic; PROGRAMN : out std_logic; ENPIRION_CLOCK : out std_logic; - TEMPSENS : inout std_logic; + TEMPSENS : inout std_logic --Test Connectors - TEST_LINE : out std_logic_vector(15 downto 0) -- Flex connector +-- TEST_LINE : out std_logic_vector(15 downto 0) -- Flex connector ); @@ -306,21 +306,8 @@ end generate; SFP_TX_DIS(0) <= '0' when USE_GBE = 1 else '1'; - --HDR_IO(10 downto 1) <= (others => '0'); --- HDR_IO(10) <= debug_i(17); --- HDR_IO(9) <= debug_i(16); --- HDR_IO(8) <= '0'; --- HDR_IO(7) <= '0'; --- HDR_IO(6) <= '0'; --- HDR_IO(5) <= '0'; --- HDR_IO(4) <= '0'; --- HDR_IO(3) <= '0'; --- HDR_IO(2) <= rx_dlm_i; --- HDR_IO(1) <= '0'; - - TEST_LINE(15 downto 0) <= (others => '0'); --- TEST_LINE(11 downto 0) <= debug_i(11 downto 0); --- TEST_LINE(15 downto 12) <= tx_reset_state; +-- HDR_IO(10 downto 1) <= (others => '0'); +-- TEST_LINE(15 downto 0) <= (others => '0'); --------------------------------------------------------------------------- -- Endpoint -- 2.43.0