From 884ce30eb2d524b483ff3c622f84af47acb653b2 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Fri, 26 Aug 2022 13:05:47 +0200 Subject: [PATCH] add more constraints as tools get more "intelligent" --- releases/tdc_v2.3/Stretcher.vhd | 3 ++- releases/tdc_v2.3/Stretcher_A.vhd | 4 +++- releases/tdc_v2.3/Stretcher_B.vhd | 3 ++- 3 files changed, 7 insertions(+), 3 deletions(-) diff --git a/releases/tdc_v2.3/Stretcher.vhd b/releases/tdc_v2.3/Stretcher.vhd index 104bf4c..03cfaac 100644 --- a/releases/tdc_v2.3/Stretcher.vhd +++ b/releases/tdc_v2.3/Stretcher.vhd @@ -33,7 +33,8 @@ architecture behavioral of Stretcher is signal pulse_a_out : std_logic_vector(CHANNEL*DEPTH-1 downto 0); signal pulse_b_in : std_logic_vector(CHANNEL*DEPTH-1 downto 1); signal pulse_b_out : std_logic_vector(CHANNEL*DEPTH-1 downto 1); - + attribute syn_hier : string; + attribute syn_hier of behavioral : architecture is "fixed"; begin -- behavioral GEN : for i in 1 to CHANNEL generate diff --git a/releases/tdc_v2.3/Stretcher_A.vhd b/releases/tdc_v2.3/Stretcher_A.vhd index ed3bd69..2c6ccd1 100644 --- a/releases/tdc_v2.3/Stretcher_A.vhd +++ b/releases/tdc_v2.3/Stretcher_A.vhd @@ -40,7 +40,9 @@ architecture behavioral of Stretcher_A is attribute syn_preserve of pulse : signal is true; attribute NOMERGE : string; attribute NOMERGE of pulse : signal is "KEEP"; - + attribute syn_hier : string; + attribute syn_hier of behavioral : architecture is "fixed"; + begin -- architecture behavioral pulse <= transport PULSE_IN after 3 ns; diff --git a/releases/tdc_v2.3/Stretcher_B.vhd b/releases/tdc_v2.3/Stretcher_B.vhd index f5569e7..cde8027 100644 --- a/releases/tdc_v2.3/Stretcher_B.vhd +++ b/releases/tdc_v2.3/Stretcher_B.vhd @@ -40,7 +40,8 @@ architecture behavioral of Stretcher_B is attribute syn_preserve of pulse : signal is true; attribute NOMERGE : string; attribute NOMERGE of pulse : signal is "KEEP"; - + attribute syn_hier : string; + attribute syn_hier of behavioral : architecture is "fixed"; begin -- architecture behavioral pulse <= transport PULSE_IN after 3 ns; -- 2.43.0