From 88ca2248952d208e3cd6a54d41cdf0c1cd8dba0f Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Fri, 19 Feb 2010 14:41:32 +0000 Subject: [PATCH] *** empty log message *** --- optical_link/trbv2_tlk_api.vhd | 142 +++++++++++++++++++++++ optical_link/trbv2_tlk_api_fifo.ngc | 3 + optical_link/trbv2_tlk_api_fifo.vhd | 150 ++++++++++++++++++++++++ special/sram_is61.vhd | 169 ++++++++++++++++++++++++++++ trb_net16_hub_base.vhd | 20 +++- trb_net16_hub_streaming_port.vhd | 6 +- 6 files changed, 486 insertions(+), 4 deletions(-) create mode 100644 optical_link/trbv2_tlk_api.vhd create mode 100644 optical_link/trbv2_tlk_api_fifo.ngc create mode 100644 optical_link/trbv2_tlk_api_fifo.vhd create mode 100644 special/sram_is61.vhd diff --git a/optical_link/trbv2_tlk_api.vhd b/optical_link/trbv2_tlk_api.vhd new file mode 100644 index 0000000..4bdce44 --- /dev/null +++ b/optical_link/trbv2_tlk_api.vhd @@ -0,0 +1,142 @@ +library IEEE; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +library ieee; +library work; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use work.all; + +entity trbv2_tlk_api is + + port ( + RESET : in std_logic; + CLK : in std_logic; + TLK_CLK : in std_logic; + TLK_ENABLE : out std_logic; + TLK_LCKREFN : out std_logic; + TLK_LOOPEN : out std_logic; + TLK_PRBSEN : out std_logic; + TLK_RXD : in std_logic_vector(15 downto 0); + TLK_RX_CLK : in std_logic; + TLK_RX_DV : in std_logic; + TLK_RX_ER : in std_logic; + TLK_TXD : out std_logic_vector(15 downto 0); + TLK_TX_EN : out std_logic; + TLK_TX_ER : out std_logic; + DATA_OUT : out std_logic_vector(15 downto 0); + DATA_IN : in std_logic_vector(15 downto 0); + DATA_VALID_IN : in std_logic; + DATA_VALID_OUT : out std_logic; + TLK_API_REGISTER_00 : out std_logic_vector(31 downto 0) + ); +end trbv2_tlk_api; +architecture trbv2_tlk_api of trbv2_tlk_api is + component trbv2_tlk_api_fifo + port ( + din : IN std_logic_VECTOR(17 downto 0); + rd_clk : IN std_logic; + rd_en : IN std_logic; + rst : IN std_logic; + wr_clk : IN std_logic; + wr_en : IN std_logic; + dout : OUT std_logic_VECTOR(17 downto 0); + empty : OUT std_logic; + full : OUT std_logic; + rd_data_count : OUT std_logic_VECTOR(9 downto 0); + wr_data_count : OUT std_logic_VECTOR(9 downto 0)); + end component; + signal fifo_din_a : std_logic_vector(17 downto 0); + signal fifo_dout_a : std_logic_vector(17 downto 0); + signal fifo_rst_a : std_logic; + signal fifo_rd_en_a : std_logic; + signal fifo_rd_data_count_a : std_logic_vector(9 downto 0); + signal fifo_wr_data_count_a : std_logic_vector(9 downto 0); + signal fifo_empty_a : std_logic; + signal fifo_full_a : std_logic; + signal fifo_din_m : std_logic_vector(17 downto 0); + signal fifo_dout_m : std_logic_vector(17 downto 0); + signal fifo_rst_m : std_logic; + signal fifo_rd_en_m : std_logic; + signal fifo_rd_data_count_m : std_logic_vector(9 downto 0); + signal fifo_wr_data_count_m : std_logic_vector(9 downto 0); + signal fifo_empty_m : std_logic; + signal fifo_full_m : std_logic; + signal reset_fifo_counter : std_logic_vector(7 downto 0); +begin + ----------------------------------------------------------------------------- + -- api to media + ----------------------------------------------------------------------------- + fifo_din_a <= TLK_RX_ER & TLK_RX_DV & TLK_RXD; + + OPTICAL_TO_FIFO_TO_API: trbv2_tlk_api_fifo + port map ( + din => fifo_din_a, + rd_clk => CLK, + rd_en => fifo_rd_en_a , + rst => fifo_rst_a , + wr_clk => TLK_RX_CLK, + wr_en => '1', + dout => fifo_dout_a, + empty => fifo_empty_a, + full => fifo_full_a, + rd_data_count => fifo_rd_data_count_a, + wr_data_count => fifo_wr_data_count_a); + SYNCH_DATA_OUT: process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' then + DATA_VALID_OUT <= '0'; + DATA_OUT <= x"0000"; + else + DATA_VALID_OUT <= not(fifo_dout_a(17)) and fifo_dout_a(16); + DATA_OUT <= fifo_dout_a(15 downto 0); + end if; + end if; + end process SYNCH_DATA_OUT; + + ----------------------------------------------------------------------------- + -- media to api + ----------------------------------------------------------------------------- + fifo_din_m <= '0' & DATA_VALID_IN & DATA_IN; + + API_TO_FIFO_TO_OPTICAL: trbv2_tlk_api_fifo + port map ( + din => fifo_din_m, + rd_clk => TLK_CLK, + rd_en => fifo_rd_en_m , + rst => fifo_rst_m , + wr_clk => CLK, + wr_en => '1', + dout => fifo_dout_m, + empty => fifo_empty_m, + full => fifo_full_m, + rd_data_count => fifo_rd_data_count_m, + wr_data_count => fifo_wr_data_count_m); + + TLK_TXD <= fifo_dout_m (15 downto 0); + TLK_TX_EN <= fifo_dout_m(16); + TLK_TX_ER <= '0'; + TLK_LOOPEN <= '0'; + TLK_LCKREFN <= '1'; + TLK_ENABLE <= '1'; + TLK_PRBSEN <= '0'; + + ----------------------------------------------------------------------------- + -- all + ----------------------------------------------------------------------------- + RESET_FIFO_COUNTER_PROC: process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' or fifo_dout_a(17) = '1' then + reset_fifo_counter <= x"00"; + elsif reset_fifo_counter < x"f0" then + reset_fifo_counter <= reset_fifo_counter + 1; + end if; + end if; + end process RESET_FIFO_COUNTER_PROC; + fifo_rst_m <= '1' when reset_fifo_counter < x"40" else '0'; + fifo_rst_a <= '1' when reset_fifo_counter < x"40" else '0'; + fifo_rd_en_m <= '1' when reset_fifo_counter > x"46" else '0'; + fifo_rd_en_a <= '1' when reset_fifo_counter > x"46" else '0'; +end trbv2_tlk_api; diff --git a/optical_link/trbv2_tlk_api_fifo.ngc b/optical_link/trbv2_tlk_api_fifo.ngc new file mode 100644 index 0000000..d0694d3 --- /dev/null +++ b/optical_link/trbv2_tlk_api_fifo.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.4e +$7gf41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?1:30?4(79o1:<7GAPTV9TWI@WLG[XTQ[HSGPL9?=878=7<>5IORVP?VUGNUNA]ZV_UJQAVNXIZYN^Y26:1<12>772@D[YY4_RNE\AHVSQV^C^H]G_OGDEQC;13:5=h5>0;KMTPR=X[ELSK[CL^VKV@UO400;2?;4118JJUSS2YXDKRHZLM]WLWCT@VKX_H\[<883:73<990BB][[:QPLCZ@RDEU_D_K\H^LFCDRB400;2LHW]]0{~biPelrw}ZrozlycSl}|esv?=?69:?1:<7GAPTV9twi`Wlg{xtQ{hsgplZhboh~n044?>0g8557<>5IORVP?vugnUmyabPtipfwmYimnki1750?3`?46=G\^[YY4KECWD[WBXXG^Y044?>0a85511b924?IR\Y__6_JPD@VB[FIRF]626=0>c:33>JSSX\^1[_QKAUC\GJSI\531<3?6;029KPRW]]0omyoPcnwmp9?=878?7<>5OTVSQQ0g855772F__\XZ5dd`vcZquWyd~R~cur3\s9?=878?7<>5OTVSQQ0g855772F__\XZ5re]geqgXkfex1750?3`?46=G\^[YY4xr^fbpdYdg|d044?>0685020?699=1:97GAPTV9EABUJ5;?6=0>2:3;>LHW]]0OEC2>7;2=57=603CE\XZ5dhl?52<768>0=54@UURVP?BH]]6:;7>115925863;;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ11:625>2=AGZ^X7JFP@>0>58692>1EC^ZT;FJTG:4294:<6:5IORVP?QBI591<3??;58JJUSS2^OI0>4?>0080?IR\Y__6IA_A=194;753=0DYY^ZT;FLTG:4294m79xcx52eb4730$;;=68=;7;7?3?>>812867?0808=32<1?<246OKDSC?4;?89B@ATF48;556OKDSC?578>3HNO^L2>3?`8EABUI5;?6=06;@FGVD:6<720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?06;@FGVG:6;7h0MIJ]B=37>58>3HNO^O2>4?:8EABUJ5;546OKDS@?6;>GCL[H7:364AEFQF91902KOH_L38?:8EABUJ535o6OCMNQW[@KW\Ph0MAC@SU]EQIJ>3HYRBNQ]EF18FP4?3K_XSD@IO09@e>EF[JKOID@Na:ABWFGCM@DIn6MNSBCGAJSSIk1HM^MNDDMVPG4EKCK90OA\6;BMNILRSMM=0O_KNTDF2?A`0:FFFPAXZMU[BY\32?33?ACE]NUYHR^ATS>0:46028@@DROVXOS]@[R=:=57=CMK_LS_JPPOVQ8<<768:0HHLZG^PG[UHSZ535==5KECWD[RTXXG^Y0=0>0:FFFPAX_[U[BY\31?33?ACE]NU\^R^ATS>1:46028@@DROV]YS]@[R=5=55=CMK_LSZ\PPOVQ8=86:2NNNXIPWS]SJQT;13:5==5KECWD[RTXXG^Y040=;EK5?AOF494<7IGN<02=3>BNI5;:2:5KI@>26;108;EKB8429?2NBM1?:>69GMD:6>7=0HDO316<4?AOF4825;6JFA=3::3=CAH6:2:5KI@>14;1<08;EKB8749?2NBM1<<>69GMD:5<7=0HDO324<4?AOF4;<5;6JFA=04:2=CAH694394DHC?6<813MCJ0?08;EKB866912NBM1=>:1<4?AOF4:;5:6JFA=1=2>BNI5>5:6JFA=7=2>BNI5<5:6JFA=5=2>BNI525:6JFA=;=2>BNJ5:5;6JFB=33:2=CAK6:=394DH@?57803MCI0<=17:FJF9736>1OEO2>5?58@LD;9?4<7IGM<05=3>BNJ5;32:5KIC>2=;01OEO2=3?58@LD;:=4<7IGM<37=3>BNJ58=2:5KIC>13;1508;EKA87?9>2NBN1<17:FJF957601OEO2<1;2=3>BNJ59:2;5KIC>0:3=CAK6?2;5KIC>6:3=CAK6=2;5KIC>4:3=CAK632;5KIC>::3=CAG6;2:5KIO>24;169GMK:6<7=0HD@314<4?AOI48<556JFN=34>5803MCE0<916:FJJ979>2NBB1<16:FJJ959>2NBB1:16:FJJ939>2NBB1816:FJJ919>2NBB1616:FJJ9?9?2NB\L2?>69GMUG;97=0HD^N<3<:?AOWI591<394DHRB86803MC[N1>17:FJTG:66>1OE]L32?;8@LVE4:0;2:5KIQ@?7;01OCL2>3?58@JG;9=4<7IAN<07=3>BHI5;=2:5KO@>23;12NDM1?17:FLE9476>1OCL2=1?58@JG;:;4<7IAN<31=3>BHI58?2:5KO@>11;1;08;EMB8719?2NDM1<7>69GKD:517<0HBO32?58@JG;;9427IAN<2394;169GKG:697=0HBL313<4?AIE4895;6J@B=37:2=CGK6:9394DN@?53803MEI0<917:FLF97?6>1OCO2>9?48@JD;97=0HBL321<4?AIE4;;5;6J@B=01:2=CGK69?394DN@?61803MEI0?;17:FLF9416>1OCO2=7?58@JD;:14<7IAM<3;=2>BHJ585;6J@B=13:<=CGK68=7>17:FLF9566?1OCO2<>79GKG:36?1OCO2:>79GKG:16?1OCO28>79GKG:?6?1OCO26>69GKGYUMN=0HB^N<1<4?AIWI5;5;6J@P@>1:<=CGYK7?7>17:FLTD:46>1OC]L30?58@JVE484<7IA_B=0==>BHXK686=08;EMSF959?2NDYY2?>99GKPR;99437IAZT=32:==CG\^7=?07;EMVP974611OCXZ315<;?AIR\5;>255KOTV?538f3ME^X1?8:1<;?AIR\5;<2:5KOTV?5;169GKPR;=7=0HB[[<7<4?AIR\5=5;6J@UU>;:2=CG\^753;4ELRW]6=BFH90ICL=;G06?CGK[L90JIM;;GF@A6=ALY>0JI^J4:DE65264IOKWTZ6702CEEY^P00:8MKOSXV:946GAIUR\46>;8:KMMQVX8<20ECG[P^25<>OIA]ZT<:74IOKWWQGSM>1BBDZP0158MKOSW9;<7D@FT^213>OIA]U;?:5FNHV\411J7:KMMQY7N>1BBDZP1158MKOSW8;<7D@FT^313>OIA]U:?:5FNHV\511769JJLRX91=0ECG[_0;4?LHN\V;J;6GAIU]2F2=NF@^T=N94IOKW[4B03@DBXR?J7:KMMQY6N>1BBDZP2158MKOSW;;<7D@FT^013>OIA]U9?:5FNHV\611N94IOKW[7B03@DBXR1BBDZP3158MKOSW:;<7D@FT^113>OIA]U8?:5FNHV\711;8;HLJPZ51?2CEEYQ<769JJLRX;1=0ECG[_2;4?LHN\V9J;6GAIU]0F2=NF@^T?N94IOKW[6B03@DBXR=J7:KMMQY4N?1BBDZPA79JJLRXJ01BBDZPFHNF7>OI^880ECXJRU]@HNYCGHUYIJ?=;HLUAWRXKEATHBLPRDE0?LIE:2FB:6B@AEGG3>JHO@IJ@95CUU37?ISS:=1GYY=;;MWW00=J]QIRo6CnjnpUawunggi0Ad``rWgqwlii:2D:?6@>059M55533G;;895A1177?K77>=1E==9;;O33<1=I99387C?>4:L25521368J474<2D:=9:4N0360>H69?>0B259M57633G;9=95A1307?K75?=1E=?6;;O31=6=I9:>0B<=?4:L27423268J453<2D:?8:4N0150>H6;090B<:;;O3741=I9=;?7C?;259M51533G;?895A1577?K73>=1E=99;;O37<6=I9<90B<8<;O347>H60:1E=4<4N318J7643G8:?6@=239M17=I>;1E;?5A829M<6587C6:3:L;26=I0>90B56<;O::6>H>;2D2=>5A9218J<3a3GHTNX]_IO]SVJVT?2DNXZA]K59MKKC63F80CF64OWGQBIIP92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_Kn;QPLCZCJX]S:86^]OF]FIUR^W]BYI^F30?37?UTHOVOF\YWPTIPFWM:668>0\_AH_DOSP\YS@[OXD1<1159SVJAXMDZ_URZGRDQK8686<2ZYCJQJMQVZ[QNUMZB783?;;QPLCZCJX]STXE\JSI>6:42VUGNUNA]ZV_UJQAVN;07;=7]\@G^GNTQ_X\AXN_E26:1<20>VUGNUNA]ZV_UJQAVN;17;i7]\@G^GNTQ_X\AXN_EQNSRGQP9699k1[^BIPELRW]ZROZLYCSL]\ESV?5;7e3YXDKRKBPU[\PMTB[AUJ_^K]T=0=5g=WZFMTI@^[Y^VKV@UOWHYXI_Z33?3a?UTHOVOF\YWPTIPFWMYF[ZOYX1:11c9SVJAXMDZ_URZGRDQK[DUTM[^793?m;QPLCZCJX]STXE\JSI]BWVCU\5<5=o5_RNE\AHVSQV^C^H]G_@QPAWR;?7;i7]\@G^GNTQ_X\AXN_EQNSRGQP9>99m1[^BIPELRW]ZROZLYCSL]\ESV?=?699k1[^BIPELRW]ZROZLYCSL]\ESV?=;7e3YXDKRKBPU[\PMTB[AUEIJO[E=2=5g=WZFMTI@^[Y^VKV@UOWGOLMYK31?3a?UTHOVOF\YWPTIPFWMYIMNK_I1<11c9SVJAXMDZ_URZGRDQK[KC@I]O7?3?m;QPLCZCJX]STXE\JSI]MABGSM5>5=o5_RNE\AHVSQV^C^H]G_OGDEQC;=7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9099k1[^BIPELRW]ZROZLYCSCKHAUG?3;7e3YXDKRKBPU[\PMTB[AUEIJO[E=:=5a=WZFMTI@^[Y^VKV@UOWGOLMYK39;2=5g=WZFMTI@^[Y^VKV@UOWGOLMYK39?;8TWI@WO_G@<=4PSMD[CSKDV^C^H]G<1<27>VUGNUMYABPTIPFWM:66890\_AH_GWOHZROZLYC0?0>3:RQKBYA]EFTXE\JSI>0:45018TWI@WO_G@RZGRDQK8386;2ZYCJQIUMN\PMTB[A6<2<=4PSMD[CSKDV^C^H]G<9<21>VUGNUMYABPTIPFWM:>294:?6^]OF]EQIJX\AXN_E26>0c8TWI@WO_G@RZGRDQK[DUTM[^7<3?n;QPLCZ@RDEU_D_K\H^CPW@TS484:m6^]OF]EQIJX\AXN_EQNSRGQP9499h1[^BIPFTNO[QNUMZBTM^]JRU>0:4ga:RQKBYA]EFTXE\JSI]BWVCU\5<5=l5_RNE\BPJKW]BYI^FPARQFVQ:068k0\_AH_GWOHZROZLYCSL]\ESV?<;7d3YXDKRHZLM]WLWCT@VKX_H\[<883:4ga:RQKBYA]EFTXE\JSI]MABGSM5;5=l5_RNE\BPJKW]BYI^FPNDEBP@:568k0\_AH_GWOHZROZLYCSCKHAUG?7;7f3YXDKRHZLM]WLWCT@VDNKLZJ<5<2e>VUGNUMYABPTIPFWMYIMNK_I1;11`9SVJAXN\FGSYF]ERJ\J@AF\L6=2028VAYCI]KTOB[AT=1=55=ULVNJXLQLOTLW818682XOSIO[A^ALQKR;=7;;7_JPD@VB[FIRF]6=2<>4RE]GEQGXKF_EX191119Q@ZBF\HUHCX@[<9<26>TCWMK_MRM@UOV?=?69991YHRJNT@]@KPHS404>7_JPEOa8V@GCW^COXEQNc:PFEAYPAM^CSO84RDE@AD0<[H\NHLZN129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI86ZVPDg8Q5)`mgUj#hhar;8QKBBZOFD[l5ZSDP\EIOF[j1^_H\PVHQJFIC23_KGEI=4VBA:?SOB_V^R\H84WDC?4;0<_LK7=384WDC?6;><_LK7?7>16:UFE959>2]NN1>16:UFF979>2]NN1<18:UFF95=87<0[HL33?`8SWOSMVLB^^Zl;VPJP@YJGMOTMn5XRHVF[HICMVHo7Z\FTD]TMAROWHn0[_G[E^UJ@QNXJ?1\^ROLK79TVZEKC8:0[_QKAUC\GJSI\5:5==5XR^FBPDYDG\D_0<0>0:UQ[AGSIVIDYCZ32?33?RTXLH^JSNAZNU>0:46<_[UOMYOPCNWMP929991\^RJNT@]@KPHS4<4:<6Y]_ECWEZEH]G^7:3??;VP\@DRFWJE^BY28>028SWYCI]KTOB[AT=:=57=PZVNJXLQLOTLW8<<768:0[_QKAUC\GJSI\53596Y]_DL2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK6?]IUKP<0T^ZCIC58\VRXOGN<7U][_WA@f>^XKFXNSD@IO028\ZEHZLUBBKAPLHQW2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl`?djjgz~Ti`~{yc9bhhit|Vl~`a84b`ahqub)e9geqgXkfex%<&d:fbpdYdg|d$>'k;ecweZeh}g~#8$j4d`vb[firf}">%i5kauc\gjsi|!<"h6jnt`]`kphs >#o7io{a^alqkr/0 n0hlzn_bmvjq.>!m1omyoPcnwmp969n2njxlQlotlw8<<76>1ondzjrs3;?ace}nUyhR~ats]shpu6W{6;2<64dd`vcZtcWyd~R~cur3\v979911oio{h_sf\tkruWyf~bbj|mT~iQnup\tist9Vx7?3?7;egaqbYulVzexQltq2[w:36820hhlzg^pg[uhszVzgy~?Pr=7=5==cmklSjPpovq[ujr{8Uy0;0>8:fffpaXzmU{by|Ppmwp5Zt;?7;37ikmuf]q`Zvi|{U{`x}>_s>;:4g008``droVxoS}`{r^p?0;753moiyjQ}d^rmpwYu4<4:>6jjbte\vaYwf}xT~181139gags`W{nT|cz}_s>4:44008``droVxoS}`{r^p?=;753moiyjQ}d^rmpwYp494:>6jjbte\vaYwf}xT{1?1139gags`W{nT|cz}_v>1:442:fffpaXzmU{by|Pw=7=57=cmklSjPpovq[r:16880hhlzg^pg[uhszV}7;3?=;egaqbYulVzexQx<9<20>bbj|mT~iQnup\s9?=87;97ikmuf]q`Zvi|{U|040>8:fffpaX{U{by|Ppmwp5Zq;87;37ikmuf]tvZvi|{U{`x}>_v>2:4>0:8``droV}yS}`{r^roqv7X5<5=55kecwd[rtXxg~yS}bzs0]t828602nnnxiPws]sjqtXxex=Ry38?3b?ace}nU|~R~ats]shpu6W~626=0>8:fffpaX{U{by|Ppmwp5Zq;17;37ikmuf]tvZvi|{U{`x}=_v>3:4>0:8``droV}yS}`{r^roqv4X5?5=55kecwd[rtXxg~yS}bzs3]t838602nnnxiPws]sjqtXxex>Ry37?3;?ace}nU|~R~ats]shpu5W~632::442:fffpaX{U{by|Pr=0=57=cmklSz|Ppovq[w:46880hhlzg^uq[uhszVx783?=;egaqbYpzVzexQ}<4<26>bbj|mT{Qnup\v9099;1oio{h_vp\tkruW{6<2<<4dd`vcZquWyd~R|38?37?ace}nU|~R~ats]q8<<76880hhlzg^uq[uhszVx753?=;egaqbYpzVzexQx<1<26>bbj|mT{Qnup\s9799;1oio{h_vp\tkruW~692<<4dd`vcZquWyd~Ry33?31?ace}nU|~R~ats]t8186:2nnnxiPws]sjqtX5?5=?5kecwd[rtXxg~ySz29>008``droV}yS}`{r^u?3;753moiyjQxr^rmpwYp414:86jjbte\swYwf}xT{1750?31?ace}nU|~R~ats]t8<813mce$='9;ekm,4/03mce$<>&7:fjj-76!>1oec&>2(58`lh/9:#<7iga(06*3>bnf!;>%:5kio*22,1'9;ekm,1/13mce$8'9;ekm,3/13mce$:'9;ekm,=/13mce$4'9;ekm85803mce0<>17:fjj9766>1oec2>2?58`lh;9:4<7iga<06=3>bnf5;>2:5kio>22;?69gmk:6?7<0hd`31?48`lh;:7<0hd`33?48`lh;<7<0hd`35?48`lh;>7<0hd`37?48`lh;07<0hd`39?58`jss 9#<7iazt)3*<>bh}}":<$64dnww,47.02ndyy&>2(:8`jss 89"46j`uu*20,> 20hb{{(05*3>bh}}"9%:5kotv+7,13:==cg|~7==07;emvp976611ocxz313<;?air|5;8255kotv?518?3me~x1?:>99gkpr;9?4j7iazt=34>58?3me~x1?8>69gkpr;97=0hb{{<3<4?air|595;6j`uu>7:2=cg|~79394dnww83803me~x1917:flqq:?6>1ocxz39?:8aaoa:gmo96kbpu{15>`nnfUlick}aumq[s5X;%*Hckheo":*7o6>2lbjbQheogqeqiuW9T?Ro|4:dvhi>7g8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw31?52?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRmv<0<\vq0b3geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az878092dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}949W{~=i6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq595;<5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp682R|{6d9mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2;>638jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw34?]qp3c^pw2`=ig{glic lnu`oo*abfViex heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>;:27?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[iss494TECXP07d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSua}<0<5b>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>1:3`7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSua}<8<46>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>::Zts><1eccheo,`jqdkc&mnbRmats,dakYulViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx1>1649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop979><1eccheo,`jqdkc&mnbRmats,dakYulViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx1<1649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop959><1eccheo,`jqdkc&mnbRmats,dakYulViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx1:1649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop939><1eccheo,`jqdkc&mnbRmats,dakYulViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx181649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop919><1eccheo,`jqdkc&mnbRmats,dakYulViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx161649mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9?9>:1eccheo,`jqdkc&mnbRmats,dakYulViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|dS=8<;omqibci&jdnae gdl\gkru&noeSjPcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]226=ig{glic lnu`oo*abfViex heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-`kphsW;<87ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ<629mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[1043geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U>:>5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_740?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY0>:1eccheo,`jqdkc&mnbRmats,dakYulViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|dS58<;omqibci&jdnae gdl\gkru&noeSjPcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]:31=ig{glic lnu`oo*abfViex heo]q`Zei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~494<86``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw31?54?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~hhzdmnb#matcnh+bciWjd~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;:7Uyx::4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=32=ig{glic lnu`oo*abfViex heo]q`Zei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~4:4T~y9;;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>7:215Sz84:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;103geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2R|{759mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8380?2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7:3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}919?>1eccheo,`jqdkc&mnbRmats,dakYulViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir0:0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161_sv4f>hhzdmnb#matcnh+bciWjd~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[iss494TECXP0678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:66>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc2=>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:46>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc2;>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:26>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc29>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:06>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc27>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:>6>20bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc26>^pw2g=ig{glic lnu`oo*abfViex heo]q`Zei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'[oxyaz30?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~38m;omqibci&jdnae gdl\gkru&noeSjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Qavsk|595:o5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;<7k1eccheo,`jqdkc&mnbRmats,dakYulViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?2;0e3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=5=2g=ig{glic lnu`oo*abfViex heo]q`Zei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'[oxyaz38?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~01eccheo,`jqdkc&mnbRmats,dakYulViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]12<=ig{glic lnu`oo*abfViex heo]q`Zei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ<689mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U?:45aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY2>01eccheo,`jqdkc&mnbRmats,dakYulViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]52<=ig{glic lnu`oo*abfViex heo]q`Zei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ8689mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U3:45aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY>>l1eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\g|:76?o0bb|bgdl-gkredb%licQlnup-c`hX{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;97=:7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~484T~y8j;omqibci&jdnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir0?081:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1_sv5a>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=34=ig{glic lnu`oo*abfViex heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:Zts>l1eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\g|:36>;0bb|bgdl-gkredb%licQlnup-c`hX{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;<7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7939>;omqibci&jdnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir080Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRmv<7<45>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}919?81eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\g|:06Vx:h5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp632:?4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs743Q}t678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSa{{<1<\MKPX8?l0bb|bgdl-gkredb%licQlnup-c`hX{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu484=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYg{692;h4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0>09f:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc2;>7d8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSua}<4<5b>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>5:3`6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYg{622R|{649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop969><1eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx1?1649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop949><1eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx1=1649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop929><1eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx1;1649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop909><1eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx191649mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9>9><1eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx171629mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[5043geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U::>5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_340?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY4>:1eccheo,`jqdkc&mnbRmats,dakYpzViex!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|dS98<;omqibci&jdnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]626=ig{glic lnu`oo*abfViex heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-`kphsW?<87ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ8629mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[=043geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U2;95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRmv<1<40>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;97=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>>^pw31=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~4;4<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw32?]qp226:Zts?=1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir0;087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?2;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu191769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az828Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot27>658jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}9>9W{~?0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc2>>678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:56>?0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc2<>678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:36>?0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc2:>678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:16>?0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc28>678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:?6>?0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc26>6:8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]{kw:>6Vx:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;87k1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?6;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=1=2g=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'[oxyaz34?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~01eccheo,`jqdkc&mnbRmats,dakYpzViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]32<=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ>689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U9:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY4>01eccheo,`jqdkc&mnbRmats,dakYpzViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]72<=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ:689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U=:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY0>01eccheo,`jqdkc&mnbRmats,dakYpzViexQltq2+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu];2<=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}>/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ6759mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8580<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=398;omqibci&jdnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>2:Zts?=1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#@m`uov\gjsi|Vir0?087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?6;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1=1769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az868Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2;>658jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxex>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|dSnaznu]`}929W{~<86``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|dSnw35?54?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~?!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)JkfexRm`uov\g|:26Vx;95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkfexRmv<7<43>hhzdmnb#matcnh+bciWjd~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f;>7Uyx::4nnpnc`h)kg~i`f!heo]`jqt)oldT{Qlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=5=32=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}=/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'DidyczPcnwmpZe~4>4T~y9;;omqibci&jdnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>;:212:230:236:234:23::2>k1eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#_k|umv?0;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}Uc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=7=2g=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}=/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'[oxyaz36?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~?!heo]amkYdf}Uc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umzgx1916c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7438m;omqibci&jdnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUinQmio]`jq(edmUiecQlnu-Qavsk|535:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY7>01eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]22<=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}=/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ=689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U8:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY3>01eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]62<=ig{glic lnu`oo*abfViex heo]tvZei|{U{`x}=/fgm[goiWjdSya.ckm[fhsW}e{#jka_cq`[goiWjd"obk_ckm[fhs'je~byQ9689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U<:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY?>01eccheo,`jqdkc&mnbRmats,dakYpzViexQltq1+bciWkceSn`{_ums*goiWjdSya/fgm[gudWkceSn`{.cng[goiWjd#naznu]:76=ig{glic ilcnh+HgclVkgxR|k_ecweZeh}g~TeRokdrwa456799987ca}mfgm*cjedb%FmijPamv\vaYci}kTob{at^k\eabt}k:;<=?=329mkwk`mg$m`obd/Lcg`Zgk|VxoSio{a^alqkrXaVkoh~{m012351543geyajka.gnahn)JimnTmazPre]geqgXkfexRgPaefpqg6789;=??5aosodak(adkf`#@okd^copZtcWmkmRm`uov\mZgclzi<=>?2208jjtjold%jalck.Ob`aYfd}UyhRjnt`]`kphsW`Ujhi}zb12341553geyajka.gnahn)JimnTmazPre]geqgXkfexRgPaefpqg6789<8>6``rlefj+`kjea$Aljk_`nw[wbXlh~jSnaznu]j[dbc{|h;<=>7369mkwk`mg$m`obd/Lcg`Zgk|VxoSio{a^alqkrXaVkoh~{m0123[f;979;7ca}mfgm*cjedb%FmijPamv\swYci}kTob{at^k\eab789::<>>4nnpnc`h)nehgg"Cnde]bhqYpzVnjxlQlotlw[lYflm:;<=?=319mkwk`mg$m`obd/Lcg`Zgk|V}ySio{a^alqkrXaVkoh=>?00604>hhzdmnb#hcbmi,IdbcWhfSz|Pd`vb[firf}UbSljk0123534a3geyajka.gnahn)JimnTmazPws]geqgXkfexRgPaef34565:o1eccheo,ehgjl'DkohRoct^uq[agsiVidyczPi^cg`5678=8m7ca}mfgm*cjedb%FmijPamv\swYci}kTob{at^k\eab789:=>k5aosodak(adkf`#@okd^copZquWmkmRm`uov\mZgcl9:;<5=;;omqibci&ofi`f!Baef\eirX{UomyoPcnwmpZoXimn;<=>Pcx>2:7>1299mkwk`mg$m`obd/LqvfZrjxVzexQ}_ekebZe~484946``rlefj+`kjea$A~{m_uos[uhszVxThdhi_b{?6;4?3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTot2<>3:8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcYdq5>5>55aosodak(adkf`#@}zb^vntZvi|{UySigif^az808502dd~`ijn/dofim(EziSyc_qlwvZtXl`lmSnw36?0;?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xkp6<2?64nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]`}9>9:01eccheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=2=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x1?1289mkwk`mg$m`obd/LqvfZrjxVzexQ}_ekebZjr|585>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp959:01eccheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=6=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x1;1289mkwk`mg$m`obd/LqvfZrjxVzexQ}_ekebZjr|5<5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp919:01eccheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=:=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x171289mkwk`mg$m`obd/LqvfZrjxVzexQ}_ekebZ~hz5:5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv979:01eccheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=0=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVrd~1=1289mkwk`mg$m`obd/LqvfZrjxVzexQ}_ekebZ~hz5>5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv939:01eccheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=4=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVrd~191289mkwk`mg$m`obd/LqvfZrjxVzexQ}_ekebZ~hz525>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv9?9:11eccheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRmv<1<1<>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWjs7=3<7;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\g|:56;20bb|bgdl-bidkc&GxyoQ{mq]sjqtXVnbjkQly=1=6==ig{glic ilcnh+HurjV~f|R~ats]t[aoanVir090=8:llvhabf'lgnae Mrwa[qkwWyd~RyPdhde[f;=7837ca}mfgm*cjedb%FxlPtlr\tkruW~UoekhPcx>5:7>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWe0?0=9:llvhabf'lgnae Mrwa[qkwWyd~RyPdhde[iss4:4956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_mww818512dd~`ijn/dofim(EziSyc_qlwvZqXl`lmSa{{<4<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWe0;0=9:llvhabf'lgnae Mrwa[qkwWyd~RyPdhde[iss4>4956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_mww8=8512dd~`ijn/dofim(EziSyc_qlwvZqXl`lmSa{{<8<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWqey0=0=9:llvhabf'lgnae Mrwa[qkwWyd~RyPdhde[}iu484956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_ymq878512dd~`ijn/dofim(EziSyc_qlwvZqXl`lmSua}<2<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWqey090=9:llvhabf'lgnae Mrwa[qkwWyd~RyPdhde[}iu4<4956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_ymq838512dd~`ijn/dofim(EziSyc_qlwvZqXl`lmSua}<6<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWqey050=9:llvhabf'lgnae Mrwa[qkwWyd~RyPdhde[}iu404:=6``rlefj+`kjea$B<>>1:llvhabf'lgnae N0325>hhzdmnb#hcbmi,J44692dd~`ijn/dofim(F89:=6``rlefj+`kjea$B<:>1:llvhabf'lgnae N0725>hhzdmnb#hcbmi,J40692dd~`ijn/dofim(F8=:=6``rlefj+`kjea$B<6>1:llvhabf'lgnae N0;25>hhzdmnb#hcbmi,J76692dd~`ijn/dofim(F;;:=6``rlefj+`kjea$B?<>1:llvhabf'lgnae N3125>hhzdmnb#hcbmi,J72692dd~`ijn/dofim(F;?:<6``rlefj+`kjea$B;??;omqibci&ofi`f!A7028jjtjold%jalck.L;55=ig{glic ilcnh+K?402dd~`ijn/dofim(keafci!lx/pg|*Kg{U{by|Pgb]{kw6789UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"jw/LzlvZvi|{UloRv`r1235ZTb{|f=>64nnpnc`h)nehgg"mcklmg+f~)zmr$Aua}_qlwvZadWqey<=>=_Sgpqir6;11eccheo,ehgjl'jf`abj cy,q`})JpfxT|cz}_fa\|jt7899T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#|kx.O{kwYwf}xTknQwos2341YUmzgx<=7;omqibci&ofi`f!lljol`*e&{ns#@v`r^rmpwY`kVrd~=>?5^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-va~(EqeyS}`{r^e`[}iu89:=S_k|umv27==ig{glic ilcnh+fjlefn$ou }dy-N|jtXxg~ySjmPxnp3451XZly~`y?<8:llvhabf'lgnae cminka)dp'xot"Cwos]sjqtXojUsc>?09]Qavsk|88?7ca}mfgm*cjedb%h`fc`d.a{*wb'YD_^RLP0368jjtjold%jalck.aoohic'jr%~iv POVQ[GY6:=1eccheo,ehgjl'jf`abj cy,q`})WF]XTNR<=4:llvhabf'lgnae cminka)dp'xot"^ATS]A[6433geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHT8?:4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]661=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJV<986``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_607?kiuenoe"kbmlj-`hnkhl&is"jw/QLWVZDX0;>0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQ62`9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>=13c8jjtjold%jalck.aoohic'jr%~iv POVQ[GYg{:;<>l5aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^zlv567<;h0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos234175i2dd~`ijn/dofim(keafci!lx/pg|*VI\[UISua}01266g=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJVrd~=>?500b?kiuenoe"kbmlj-`hnkhl&is"jw/QLWVZDXpfx;<=8<0:llvhabf'lgnae cminka)dp'xot"^ATS]A[}iu89:==1^QT566?0732[VQ5:k1eccheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123274f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?0151f>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>813c8jjtjold%jalck.aoohic'jr%~iv POVQ[GYg{:;<5;5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb>3:702dd~`ijn/dofim(keafci!lx/pg|*vi|{Ulo1=1279mkwk`mg$m`obd/bnhijb(kq$yhu!nup\cf:36;<0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjm35?05?kiuenoe"kbmlj-`hnkhl&is"jw/qlwvZad4?49:6``rlefj+`kjea$oaeboe-`|+tcp&zexQhc=5=63=ig{glic ilcnh+fjlefn$ou }dy-sjqtXoj632?84nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa?=;423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS=<:;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[4423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS?<:;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[6423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS9<:;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[0423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS;<:;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[2423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS5<:;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[<4>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<30?0:?kiuenoe"kbmlj-`hnkhl&is"jw/qlwvZadWq87=3<6;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[}4;:7827ca}mfgm*cjedb%h`fc`d.a{*wb'yd~Ril_y0?7;4>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<34?0:?kiuenoe"kbmlj-`hnkhl&is"jw/qlwvZadWq8793<6;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[}4;>7827ca}mfgm*cjedb%h`fc`d.a{*wb'yd~Ril_y0?3;4>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<38?0:?kiuenoe"kbmlj-`hnkhl&is"jw/qlwvZadWq8753<7;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[}4X8;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]26==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>R<=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~5W:837ca}mfgm*cjedb%h`fc`d.a{*wb'yd~Ril_y0\07>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{858502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv31?0;?kiuenoe"kbmlj-`hnkhl&is"jw/qlwvZadWq692?64nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|959:11eccheo,ehgjl'jf`abj cy,q`})wf}xTknQw<5<1<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr793<7;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[}:16;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx=5=6==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs050=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~;178<7ca}mfgm*cjedb%h`fc`d.a{*wb'yd~Ril_y]362=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS<<8;omqibci&ofi`f!lljol`*e&{ns#}`{r^e`[}Y5:>1eccheo,ehgjl'jf`abj cy,q`})wf}xTknQw_204?kiuenoe"kbmlj-`hnkhl&is"jw/qlwvZadWqU?>:5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{[0403geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSuQ9269mkwk`mg$m`obd/bnhijb(kq$yhu!nup\cfYW>8<7ca}mfgm*cjedb%h`fc`d.a{*wb'yd~Ril_y];62=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS4o5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{kw67888i7ca}mfgm*cjedb%h`fc`d.a{*wb'yd~Ril_ymq4565:k1eccheo,ehgjl'jf`abj cy,q`})wf}xTknQwos23464e3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSua}01276g=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsc>?040a?kiuenoe"kbmlj-`hnkhl&is"jw/qlwvZadWqey<=>92c9mkwk`mg$m`obd/bnhijb(kq$yhu!nup\cfYg{:;<:PRdqvhq7402dd~`ijn/dofim(keafci!lx/uq|*Kg{U{by|Pgb]{kw6788UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZvi|{UloRv`r1236ZTb{|f=>64nnpnc`h)nehgg"mcklmg+f~){r$Aua}_qlwvZadWqey<=><_Sgpqir6;11eccheo,ehgjl'jf`abj cy,tv})JpfxT|cz}_fa\|jt789>T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#y}x.O{kwYwf}xTknQwos2340YUmzgx<=7;omqibci&ofi`f!lljol`*e&~xs#@v`r^rmpwY`kVrd~=>?6^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-sw~(EqeyS}`{r^e`[}iu89:XZly~`y?=4:llvhabf'lgnae cminka)dp'}yt"^ATS]A[5433geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT=?:4nnpnc`h)nehgg"mcklmg+f~){r$\CZ]_C]161=ig{glic ilcnh+fjlefn$ou xry-SJQTXJV9986``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_507?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDX=;>0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQ9259mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ15<2dd~`ijn/dofim(keafci!lx/uq|*VI\[UIS5<;;omqibci&ofi`f!lljol`*e&~xs#]@[R^@\=7g?010a?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<=>>2`9mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ~hz9:;=?l4nnpnc`h)nehgg"mcklmg+f~){r$\CZ]_C]{kw6788;9m6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4565:k1eccheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r123644f3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?0111f>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEWqey<=><23c8jjtjold%jalck.aoohic'jr%{v POVQ[GYg{:;<9:>l5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567=;h0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQwos234075i2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012575=ig{glic ilcnh+fjlefn$ou xry-SJQTXJVrd~=>?603\WR6482dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012554YT_89;7ca}mfgm*cjedb%h`fc`d.a{*rt'YD_^RLPxnp345069VY\>?l4nnpnc`h)nehgg"mcklmg+f~){r$\CZ]_C]{kw678?89m6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4560:k1eccheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r123344f3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?01:1f>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEWqey<=>71348jjtjold%jalck.aoohic'jr%{v povq[be;878=7ca}mfgm*cjedb%h`fc`d.a{*rt'yd~Ril<0<12>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k585>;5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>0:702dd~`ijn/dofim(keafci!lx/uq|*vi|{Ulo181279mkwk`mg$m`obd/bnhijb(kq$|~u!nup\cf:06;<0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjm38?05?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZad404996``rlefj+`kjea$oaeboe-`|+qup&zexQhc^211>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV;996``rlefj+`kjea$oaeboe-`|+qup&zexQhc^011>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV9996``rlefj+`kjea$oaeboe-`|+qup&zexQhc^611>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV?996``rlefj+`kjea$oaeboe-`|+qup&zexQhc^411>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV=996``rlefj+`kjea$oaeboe-`|+qup&zexQhc^:11>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV3956``rlefj+`kjea$oaeboe-`|+qup&zexQhc^z1858512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<0<1=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr90?0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~54:4956``rlefj+`kjea$oaeboe-`|+qup&zexQhc^z1818512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<4<1=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr90;0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~54>4956``rlefj+`kjea$oaeboe-`|+qup&zexQhc^z18=8512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<8<1<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr9S=<7;omqibci&ofi`f!lljol`*e&~xs#}`{r^e`[}4X9;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3]16==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>R==8:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~5W=837ca}mfgm*cjedb%h`fc`d.a{*rt'yd~Ril_y0\17>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{848502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv32?0;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq682?64nnpnc`h)nehgg"mcklmg+f~){r$|cz}_fa\|929:11eccheo,ehgjl'jf`abj cy,tv})wf}xTknQw<4<1<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr7:3<7;omqibci&ofi`f!lljol`*e&~xs#}`{r^e`[}:06;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx=:=6==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs040=7:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~X8;=0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx^313>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrT>?94nnpnc`h)nehgg"mcklmg+f~){r$|cz}_fa\|Z55?2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRvP4358jjtjold%jalck.aoohic'jr%{v povq[beXpV?9;6``rlefj+`kjea$oaeboe-`|+qup&zexQhc^z\271hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrd~=>?03`8jjtjold%jalck.aoohic'jr%{v povq[beXpfx;<=?=b:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~hz9:;>?l4nnpnc`h)nehgg"mcklmg+f~){r$|cz}_fa\|jt78999n6``rlefj+`kjea$oaeboe-`|+qup&zexQhc^zlv567<;h0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPxnp34535j2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv`r12327dhhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrd~=>?80d8jjtjold%jalck.pg[agsiVidyczPi^22b>hhzdmnb#hcbmi,vaYci}kTob{at^k\54`:j6``rlefj+`kjea$~iQkauc\gjsi|VcT9f:llvhabf'lgnae re]geqgXkfexRgP70d8jjtjold%jalck.pg[agsiVidyczPi^:2b>hhzdmnb#hcbmi,vaYci}kTob{at^k\=76<5<1b>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`7(~8U;Sl`k012357`_2]bja6789;9j6``rlefj+`kjea$xe|boe-baii`aj%hmh? v0]7[dhc89:;=?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvwim}6;2?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvwim}6:2?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvwim}692?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvwim}682>k4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.grgdub&kcl"`b[1_-bvr)eocyy=m;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-fufgtm'hbk#ccpZ3^*hoc&dir?o5aosodak(adkf`#yf}mnf,e`jho`i$olk=/ds`evc)j`m%aa~T2\,nma(jkp9i7ca}mfgm*cjedb%dc`d.cfhjank&iji?!jqbcpa+dno'gg|V=R.lkg*he~;k1eccheo,ehgjl'}byabj adnlcle(kho9#hlarg-fla)eezP8P bie,ng|403geyajka.gnahn)s`{gdh"ojlnejg*efm;%}=1>1269mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+s7;978<7ca}mfgm*cjedb%dc`d.cfhjank&iji?!y1=0=62=ig{glic ilcnh+qnuefn$mhb`gha,gdc5';7?3<8;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-u5929:o1eccheo,ehgjl'}byabj adnlcle(kho9#{?P0^cm`567888m7ca}mfgm*cjedb%dc`d.cfhjank&iji?!y1^3\ekb789::>k5aosodak(adkf`#yf}mnf,e`jho`i$olk=/w3\6Zgil9:;<<>2g9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+s7X?000g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz30?0g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz31?0g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz32?0g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz33?1f?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)byjkxi#lfg/oot^6Z&oy"`hfrrv0f>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(mxijh mif,nhu]6U'gbh#cly2`8jjtjold%jalck.vkvhic'hlgcjgl/bce5*cvkhyn"ogh.lns_7[)e`n%anwl4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.grgdub&kcl"`b[5_-ilb)ejs9;6``rlefj+`kjea$xe|boe-bbii`aj%hmk? v0>3:71<2<13>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~86?2?h4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.t2[5Yffm:;<=?=f:llvhabf'lgnae tipnka)fneelen!lag3,r4Y6Whdo<=>?13d8jjtjold%jalck.vkvhic'hlgcjgl/bce5*p6W;Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~8U8Sl`k012357`3<8;omqibci&ofi`f!{hsol`*gadfmbo"mnf3-u5959:>1eccheo,ehgjl'}byabj agnlcle(khl9#{?34?0e?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)q9V:Tmcj?01226c=ig{glic ilcnh+qnuefn$mkb`gha,gd`5';T=Road123444a3geyajka.gnahn)s`{gdh"oilnejg*efn;%}=R55aosodak(adkf`#yf}mnf,aii`aj%NA]ZV_OMMV@A5j2dd~`ijn/dofim(|axfci!jlnejg*CJX]STBB@]EF]j571/bcqv|hb|5:5>l5aosodak(adkf`#yf}mnf,aii`aj%h="mnrs{maq:66;k0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%hm|vndv?6;4f3geyajka.gnahn)s`{gdh"kcofk`+f7(khxyuck{<2<0f>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+`wdizo%ndi!mmrX4X(a{}$fjd||t2:8jjtjold%jalck.vkvhic'lfdkdm c0-fufgtm'hbk#ccpZ3^*hoc&dir?55aosodak(adkf`#yf}mnf,aii`aj%h="k~c`qf*go`&df{W?S!mhf-if402dd~`ijn/dofim(|axfci!jlnejg*e6'l{hm~k!bhe-iiv\;T$fei bcx1;?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,atef{l$iej blqY7Y+knl'ghu?:4nnpnc`h)nehgg"zgrlmg+`jho`i$o0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%}=1:12b9mkwk`mg$m`obd/ujqijb(meelen!l1.t2[5Yffm:;<=?=c:llvhabf'lgnae tipnka)bdfmbo"m>/w3\5Zgil9:;<<n5aosodak(adkf`#yf}mnf,aii`aj%h="x>_5]bja6789;9m6``rlefj+`kjea$xe|boe-fhjank&i9#no}rxlfp969:h1eccheo,ehgjl'}byabj emmdmf)d:&ij~waeu>2:7g064nnpnc`h)nehgg"zgrlmg+`jho`i$o?!jqbcpa+dno'gg|V?R.lkg*he~;11eccheo,ehgjl'}byabj emmdmf)d:&ozol}j.ckd*hjwS;W%adj!mb{0<>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+`wdizo%ndi!mmrX7X(jam$fot=7;omqibci&ofi`f!{hsol`*ckgnch#n< epabw`(ean$f`}U;]/oj`+kdq;>0bb|bgdl-bidkc&~c~`ak/dnlcle(k;%}=1>1259mkwk`mg$m`obd/ujqijb(meelen!l2.t28485<2dd~`ijn/dofim(|axfci!jlnejg*e5';7>3<;;omqibci&ofi`f!{hsol`*ckgnch#n< v0>0:725>n5aosodak(adkf`#yf}mnf,aii`aj%h>"x>_1]bja6789;9o6``rlefj+`kjea$xe|boe-fhjank&i9#{?P1^cm`567888h7ca}mfgm*cjedb%dc`d.gokbod'j8$z;5aosodak(adkf`#yf}mnf,bii`aj%YM@QIUMN\m7b3:7b2:7b1:7b0:6c1eccheo,ehgjl'}byabj fmmdmf)dgdz:#{?31?04?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q9585>:5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/w3?7;403geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=1:12g9mkwk`mg$m`obd/ujqijb(neelen!lolr2+s7X8Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q9V;Tmcj?01226c=ig{glic ilcnh+qnuefn$jaahib-`khv6';T>Road123444a3geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=R=Paof34566:o1eccheo,ehgjl'}byabj fmmdmf)dgdz:#{?P4^cm`567888o7ca}mfgm*cjedb%dc`d.dokbod'jef|?!laspzj`r;878o7ca}mfgm*cjedb%dc`d.dokbod'jef|?!laspzj`r;978o7ca}mfgm*cjedb%dc`d.dokbod'jef|?!laspzj`r;:78o7ca}mfgm*cjedb%dc`d.dokbod'jef|?!laspzj`r;;79n7ca}mfgm*cjedb%dc`d.dokbod'jef|?!jqbcpa+dno'gg|V>R.gqw*h`nzz~8n6``rlefj+`kjea$xe|boe-ehjank&ida}< epabw`(ean$f`}U>]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"k~c`qf*go`&df{W?S!mhf-if4j2dd~`ijn/dofim(|axfci!ilnejg*ehey8$i|mnsd,amb(jdyQ8Q#cfd/o`}6dhhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(~86;2?94nnpnc`h)nehgg"zgrlmg+cjho`i$obc2.t28485?2dd~`ijn/dofim(|axfci!ilnejg*ehey8$z<2=>358jjtjold%jalck.vkvhic'ofdkdm cnos6*p64:49;6``rlefj+`kjea$xe|boe-ehjank&ida}< v0>7:7`_`lg45679;l0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"x>_3]bja6789;9j6``rlefj+`kjea$xe|boe-ehjank&ida}< v0]0[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+cjho`i$obc2.t2[1Yffm:;<=?=6:llvhabf'lgnae tipnka)adfmbo"m`mq]eqij6:?1eccheo,ehgjl'}byabj fmmdmf)dgdzTjxbc2328jjtjold%jalck.vntZvi|{UySigif=2=65=ig{glic ilcnh+qkwWyd~RyPdhde858582dd~`ijn/dofim(yxnabj R@O\SWYBF8;m7ca}mfgm*cjedb%|~Rjnt`]`kphsW`U;=k5aosodak(adkf`#z|Pd`vb[firf}UbS;m7ca}mfgm*cjedb%|~Rjnt`]`kphsW`U3=k5aosodak(adkf`#z|Pd`vb[firf}UbS4?8;omqibci&`di`f!}d^pppZ`e9h1eccheo,jjgjl'{nT~~zPiov\44>R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP0a8jjtjold%ahcmlj-wiuYuidUyhRka1e9mkwk`mg$fi`lck.vntZtfeVxoSh`>8:muaw`kg~k0|ah_dosp|733yxdkRkbpu{\pmtb{a";%<:4psmd[`kw|pUdk|h)3*51=wzfmTi`~{y^vkv`uo ;#:86~}of]fiur~W}byi~f'3(37?uthoVof|ywPtipfwm.3!8>0|ah_dosp|Ys`{oxd%;&159svjaXmdzuRzgrdqk,3/6<2zycjQjmqvz[qnumzb#;$?;;qplcZcjx}sTxe|jsi*;-42vugnUna}zv_ujqavn;97;?7}|`g^gntqX|axne2=>068twi`Wlg{xtQ{hsgpl9599=1{~biPelrw}Zrozlyc090>4:rqkbYbey~rSyf}erj?1;733yxdkRkbpu{\pmtb{a6=2<:4psmd[`kw|pUdk|h=5=51=wzfmTi`~{y^vkv`uo414::6~}of]fiur~W}byi~f39;2=51=wzfmTi`~{y^vkv`uo404:n6~}of]fiur~W}byi~fParqfvq.7!8h0|ah_dosp|Ys`{oxdRo|sdpw,4/6j2zycjQjmqvz[qnumzbTm~}jru*1-4dvugnUna}zv_ujqavnXizyn~y&;)0`8twi`Wlg{xtQ{hsgplZgt{lx$8'>b:rqkbYbey~rSyf}erj\evubz}"=%#:n6~}of]fiur~W}byi~fParqfvq.?!8h0|ah_dosp|Ys`{oxdRo|sdpw,3:4dvugnUna}zv_ujqavnXizyn~y2=>0`8twi`Wlg{xtQ{hsgplZgt{lx0>0>b:rqkbYbey~rSyf}erj\evubz}6?2;:4bvugnUna}zv_ujqavnXflmjxh&?)0`8twi`Wlg{xtQ{hsgplZhboh~n$<'>b:rqkbYbey~rSyf}erj\j`af|l"9%vugnUna}zv_ujqavnXflmjxh&7)0`8twi`Wlg{xtQ{hsgplZhboh~n$4'>b:rqkbYbey~rSyf}erj\j`af|l6;27:4dvugnUna}zv_ujqavnXflmjxh29>0`8twi`Wlg{xtQ{hsgplZhboh~n0:0>b:rqkbYbey~rSyf}erj\j`af|l6325rne\bpjkW}byi~f'1(30?uthoVl~`aQ{hsgpl-4.9:1{~biPftno[qnumzb#?$?<;qplcZ`rdeUdk|h)6*56=wzfmTjxbc_ujqavn/= ;87}|`g^dvhiYs`{oxd%8&129svjaXn|fgSyf}erj+3,743yxdkRhzlm]wlwct`!2"=>5rne\bpjkW}byi~f'9(30?uthoVl~`aQ{hsgpl9699:1{~biPftno[qnumzb7=3?<;qplcZ`rdeUdk|h=0=56=wzfmTjxbc_ujqavn;;7;87}|`g^dvhiYs`{oxd1:1129svjaXn|fgSyf}erj?1;743yxdkRhzlm]wlwct`5<5=>5rne\bpjkW}byi~f37?30?uthoVl~`aQ{hsgpl9>99<1{~biPftno[qnumzb757>1129svjaXn|fgSyf}erj?=;7f3yxdkRhzlm]wlwct`Vkxh|{(1+2e>vugnUmyabPtipfwmYf{zoyx%?&1`9svjaXn|fgSyf}erj\evubz}"9%3:4ga:rqkbYa}efTxe|jsi]bwvcu|595=l5rne\bpjkW}byi~fParqfvq:368k0|ah_gwohZrozlycSl}|esv?1;7f3yxdkRhzlm]wlwct`Vkxh|{<7<2e>vugnUmyabPtipfwmYf{zoyx1911`9svjaXn|fgSyf}erj\evubz}63268k0|ah_gwohZrozlycSckhaug+4,7f3yxdkRhzlm]wlwct`Vdnklzj(0+2e>vugnUmyabPtipfwmYimnki%<&1`9svjaXn|fgSyf}erj\j`af|l"8%2:4g0>a:rqkbYa}efTxe|jsi]mabgsm5>5=l5rne\bpjkW}byi~fPndebp`:268k0|ah_gwohZrozlycSckhaug?2;7f3yxdkRhzlm]wlwct`Vdnklzj<6<2e>vugnUmyabPtipfwmYimnki1611b9svjaXn|fgSyf}erj\j`af|l626=0>a:rqkbYa}efTxe|jsi]mabgsm535:6|k_bnh55=ulVnjxlQlotlw,5/682xoSio{a^alqkr/9 ;;7jPd`vb[firf}"9%<>4re]geqgXkfex%=&119q`Zbf|hUhcx`{(5+24>tcWmkmRm`uov+1,773{nThlzn_bmvjq.1!8:0~iQkauc\gjsi|!="==5}d^fbpdYdg|d$5'>0:pg[agsiVidycz'9(33?wbXlh~jSnaznu>3:46028vaYci}kTob{at=7=55=ulVnjxlQlotlw838682xoSio{a^alqkr;?7;;7jPd`vb[firf}632<<4re]geqgXkfex1750?33?wbXlh~jSnaznu>::0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus9;1~ox=_unh[dvnWocmc?m4ts`u6ZrkcVk{eRhffn]emciXoldn~lz`r^t0[6Y{}U:56{addpehjq23kgei84ws]bgn0<{Uh`f??;vp\`drfWje~by&?)028swYci}kTob{at)3*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkfex%;&119tvZbf|hUhcx`{(7+24>quWmkmRm`uov+3,773~xThlzn_bmvjq.?!8:0{Qkauc\gjsi|!3"==5xr^fbpdYdg|d0=0>0:uq[agsiVidycz31?33?rtXlh~jSnaznu>1:46<{UomyoPcnwmp959991|~Rjnt`]`kphs4=4:<6y}_ecweZeh}g~793??;vp\`drfWje~by29>028swYci}kTob{at=5=55=pzVnjxlQlotlw8=86:2}ySio{a^alqkr;13:5==5xr^fbpdYdg|d040:;vp\ak0<{Uyy?>;Âɾ¹Ã¸ÐÄʹ̰²Þ·³»g8Õǹ×Ä·ÝηÄγÅÄCDu770k1KLu<6c;D90?7|[?k1?9?55;306155;3;2mk7tn3;6>4=i:0<186*=9281621290:??:<2282=d`f3Z<26>:9:1827724::0:5lhj;e4`b?6=93;p_;o535391?74:=99?7?6ag;8 7?62:>87{Z=6383>4<62;>=w^8n:262>0<6;;>8>>4>9`d:?!41m3;jo6X=9581p7e:3;0y;l4Z4g90~7b28l1>84=3;'631=>jl0(?7>:260?_4>:38p?9=53519yl0di3:17b8me;29 7642?i37c<:7a;?k47:3;07b8mc;29 7642?i37c<:7a;?k47:3907b8ma;29 7642?i37c<:7a;?k47:3?07b8m8;29 7642?i37c<:7a;?k47:3=07b8m6;29 7642?i37c<:7a;?k47:3307d8ja;29?j0cm3:1(?><:7g;?k47:3:07b8kd;29 7642?o37c<:7g;?k47:3807b8kb;29 7642?o37c<:7g;?k47:3>07b8k9;29 7642?o37c<:7g;?k47:3<07b8k7;29 7642?o37c3:1(?><:7g;?k47:3207b8k5;29 7642?o37c<:215?k47:3:07b==4;29 7642:9=7c<:215?k47:3807b==2;29 7642:9=7c<:215?k47:3>07b==0;29 7642:9=7c<:215?k47:3<07b=>e;29 7642:9=7c<:215?k47:3207b==c;29 7642:9=7c<:215?k47:3k07b==a;29 7642:9=7c<:215?k47:3i07b==8;29 7642:9=7c<:215?k47:3o07b==6;29 7642:9=7c<:215?k47:3;;76a<1b83>!47;398:6`=03825>=n;9:1<7*=028054=i:981<65f2gd94?"58:08=<5a21095>=n:oo1<7*=028054=i:981>65f2gf94?"58:08=<5a21097>=n:oi1<7*=028054=i:981865f2g`94?"58:08=<5a21091>=n:ok1<7*=028054=i:981:65f2g;94?"58:08=<5a21093>=n;921<7*=028054=i:981465f31594?"58:08=<5a2109=>=n;9<1<7*=028054=i:981m65f31794?"58:08=<5a2109f>=n;9>1<7*=028054=i:981o65f31194?"58:08=<5a2109`>=n;981<7*=028054=i:981i65f31394?"58:08=<5a2109b>=n:o21<7*=028054=i:981==54i3d4>5<#:991?47<3`5;c05`?6=93:1?:188yg72<3:1=7>50zJ12g=#:?=1=8:4o070>5<46=9l0o675=980::7k51782b?4228o1h7<<:039556243-;mm7?ie:m1=2<722c=oo4?::k57`<72-8;?78;8:l147<732c=?i4?:%037?0302d9;:k57f<72-8;?78;8:l147<532c=?o4?:%037?0302d94?:%037?0>l2d9l2d9l2d9l2d9l2d932c=ol4?::m571<722e=?>4?::k1=d<722e=nh4?:%037?0d02d932c=9>4?:%037?02l2d932c=il4?::m5``<72-8;?78j8:l147<732e=hi4?:%037?0b02d9;:m5`f<72-8;?78j8:l147<532e=ho4?:%037?0b02d932c95o4?::k5ag<722e=oi4?::m5`6<722e=h<4?::k5gf<722e8>84?:%037?54>2d9>4?:%037?54>2d9<4?:%037?54>2d92d9i4?:%037?54>2d932e8>o4?:%037?54>2d944?:%037?54>2d9:4?:%037?54>2d92d90:9l74e=83.9<>4<379m654=9810e>>?:18'655=;8;0b?>=:198m7`a290/>==53038j7652810e?hj:18'655=;8;0b?>=:398m7`c290/>==53038j7652:10e?hl:18'655=;8;0b?>=:598m7`e290/>==53038j7652<10e?hn:18'655=;8;0b?>=:798m7`>290/>==53038j7652>10e>>7:18'655=;8;0b?>=:998m660290/>==53038j7652010e>>9:18'655=;8;0b?>=:`98m662290/>==53038j7652k10e>>;:18'655=;8;0b?>=:b98m664290/>==53038j7652m10e>>=:18'655=;8;0b?>=:d98m666290/>==53038j7652o10e?h7:18'655=;8;0b?>=:028?l4a?3:1(?><:232?k47:3;:76a=9983>>o5100;66g9a983>!47;36`=0383?>o1i>0;6)h58;0:76g9a783>!47;36`=0381?>o1i<0;6)h58;0876g9a583>!47;36`=0387?>o1i:0;6)h58;0>76g9a383>!47;36`=0385?>o1i80;6)h58;0<76g9a183>!47;36`=038;?>o11o0;6)h58;0276a=a783>!47;38j46`=0383?>i5i<0;6)h58;0:76a=a583>!47;38j46`=0381?>i5i:0;6)h58;0876a=a383>!47;38j46`=0387?>i5i80;6)h58;0>76a=a183>!47;38j46`=0385?>i51o0;6)h58;0<76a=9d83>!47;38j46`=038;?>i51m0;6)h58;0276a=a883>>o1>10;6)h58;0;76g96683>!47;3<<>6`=0382?>o1>?0;6)h58;0976g96483>!47;3<<>6`=0380?>o1>=0;6)h58;0?76g96283>!47;3<<>6`=0386?>o1>;0;6)h58;0=76g96083>!47;3<<>6`=0384?>o1>90;6)h58;0376g95g83>!47;3<<>6`=038:?>o1j=0;66l=8g83>4<729q/>;951468L7>b3A8=n6a>5283>>{e:?21<7k>:0g7>76?sA8=n6*=668001=]=l0:?v?l:0f952<603;26<=51482e?7528h1>94>4;596757>5;n7a>5<o0;66a=7d83>>i6<>0;66g=8c83>>i5>00;66a:a;29?l43l3:17b?if;29?j41k3:17b?:1;29?j47=3:17d<8f;29?j45?3:17b<:3gb?k47:3;07b<:3gb?k47:3907b<:3gb?k47:3?07b<:3gb?k47:3=07b<:3gb?k47:3307b7c<:3d6?k47:3;07b7c<:3d6?k47:3907b7c<:3d6?k47:3?07b7c<:3d6?k47:3=07b7c<:3d6?k47:3307b<:3`0?k47:3;07b<:3`0?k47:3907b<:3`0?k47:3?07b<:3`0?k47:3=07b<:3`0?k47:3307b<:3`f?k47:3;07b<:3`f?k47:3907b<:3`f?k47:3?07b<:3`f?k47:3=07b<:3`f?k47:3307b<:3a:?k47:3;07b3:1(?><:3a:?k47:3907b<:3a:?k47:3?07b<:3a:?k47:3=07b<:3a:?k47:3307b6::18'655=0=1e>=<50:9l<6<72-8;?76;;o036?7<3f296=4+2119<1=i:981>65`8083>!47;32?7c7290/>==5859m654=<21d;k4?:%037?>33g8;>7;4;n5f>5<#:991495a21092>=h?m0;6)=<58:9l3g<72-8;?76;;o036??<3f83m7>5$320>7>>3g8;>7>4;n0;5$320>7>>3g8;>7<4;n0;2?6=,;:86?66;o036?5<3f8397>5$320>7>>3g8;>7:4;n0;0?6=,;:86?66;o036?3<3f83?7>5$320>7>>3g8;>784;n0;6?6=,;:86?66;o036?1<3f83=7>5$320>7>>3g8;>764;n0;4?6=,;:86?66;o036??<3f8o97>5$320>7b33g8;>7>4;n0g7?6=,;:86?j;;o036?7<3f8o>7>5$320>7b33g8;>7<4;n0g5?6=,;:86?j;;o036?5<3f8o<7>5$320>7b33g8;>7:4;n0`b?6=,;:86?j;;o036?3<3f8hi7>5$320>7b33g8;>784;n0``?6=,;:86?j;;o036?1<3f8ho7>5$320>7b33g8;>764;n0`f?6=,;:86?j;;o036??<3f=j6=4+21193<=i:981<65`7983>!47;3=27c==5789m654=:21d;;4?:%037?1>3g8;>7=4;n56>5<#:991;45a21090>=h?=0;6)=<56:9l37<72-8;?796;o036?1<3f=:6=4+21193<=i:981465`7183>!47;3=27c<:3fe?k47:3:07b<:3fe?k47:3807b<:3fe?k47:3>07b<:3fe?k47:3<07b<:3fe?k47:3207b<:01`?k47:3:07b?<:01`?k47:3807b?<9;29 764289h7c<:01`?k47:3>07b?<7;29 764289h7c3:1(?><:01`?k47:3<07b?<5;29 764289h7c3:1(?><:01`?k47:3207b?;5;29 764289h7c<:01`?k47:3k07b?;3;29 764289h7c<:01`?k47:3i07b?;1;29 764289h7c<:01`?k47:3o07b?<:01`?k47:3;;76a>3583>!47;3;8o6`=03825>=h:<21<7*=028112=i:981<65`24494?"58:099:5a21095>=h:65`24694?"58:099:5a21097>=h:<91<7*=028112=i:981865`24094?"58:099:5a21091>=h:<;1<7*=028112=i:981:65`24294?"58:099:5a21093>=h:=l1<7*=028112=i:981465`25g94?"58:099:5a2109=>=n:8h1<7*=02815d=i:981<65f20;94?"58:09=l5a21095>=n:821<7*=02815d=i:981>65f20594?"58:09=l5a21097>=n:8<1<7*=02815d=i:981865f20794?"58:09=l5a21091>=n:8>1<7*=02815d=i:981:65f20194?"58:09=l5a21093>=n:881<7*=02815d=i:981465f20394?"58:09=l5a2109=>=nl;0;6)=<51:9jgc<72-8;?7j>;o036?4<3`in6=4+2119`4=i:981?65fce83>!47;3n:7c==5d09m654==21boo4?:%037?b63g8;>784;hab>5<#:991h<5a21093>=nlk0;6)=<59:9j`<<72-8;?7j>;o036?g<3`n36=4+2119`4=i:981n65fd683>!47;3n:7c==5d09m654=l21bh84?:%037?b63g8;>7k4;hf7>5<#:991h<5a2109b>=nl:0;6)=:038?l4303:1(?><:364?k47:3:07d<;6;29 7642;><7c<:364?k47:3807d<;4;29 7642;><7c<:364?k47:3>07d<;2;29 7642;><7c<:364?k47:3<07d<;0;29 7642;><7c<:364?k47:3207d<<7c<:31`?k47:3:07d<<:31`?k47:3807d<<9;29 7642;9h7c<:31`?k47:3>07d<<7;29 7642;9h7c3:1(?><:31`?k47:3<07d<<5;29 7642;9h7c<:31`?k47:3207d<<3;29 7642;9h7c<:312?k47:3:07d<<0;29 7642;9:7c<:312?k47:3807d<=e;29 7642;9:7c<:312?k47:3>07d<=c;29 7642;9:7c<:312?k47:3<07d<=a;29 7642;9:7c<:312?k47:3207d<=8;29 7642;9:7c3:1(?><:306?k47:3:07d<=4;29 7642;8>7c<:306?k47:3807d<=2;29 7642;8>7c<:306?k47:3>07d<=0;29 7642;8>7c<:306?k47:3<07d<>e;29 7642;8>7c<:306?k47:3207d<>c;29 7642;8>7c<:32e?k47:3:07d<:32e?k47:3807d<:32e?k47:3>07d<:32e?k47:3<07d<:32e?k47:3207d50z&122<6==1C>5k4H34a?j72;3:17pl=9183>4<729q/>;9527f8L7>b3A8=n6a=0183>>{e>;i1<7:50;2x 70028>n7E<7e:J12g=O=j1/=;<51:k6b?6=3`5<26=44}c41b?6==3:15;h030?6=3f8?57>5;|`56`<72=0;6=u+275951c<@;2n7E<9b:J6g>"6>;0:7d;i:188m3d=831b>=:50;9l61?=831vn;5<7s-8=;7?;f:J1<`=O:?h0D8m4$041>4=n=o0;66g94;29?l0e2900e?>;:188k72>2900qo:=e;291?6=8r.9::4>4g9K6=c<@;5;n07=?6=3th?>i4?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<1<75f6983>>o1j3:17b<;9;29?xd3;80;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`76c<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl;3183>0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th??94?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<1<75f6983>>o1j3:17b<;9;29?xd3;;0;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`776<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl;3483>0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th??;4?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<1<75f6983>>o1j3:17b<;9;29?xd38>0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c50z&122<6j81C>5k4H34a?l022900e5850;9j60`=831d=kj50;9~f17>29086=4?{%053?4?l2B94h5G27`8m33=831b=lo50;9l5cb=831vn9>n:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi8N5>k1b:84?::k2ed<722e:ji4?::a05e=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`75g<72:0;6=u+27596=b<@;2n7E<9b:k51?6=3`;jm7>5;n3e`?6=3th?5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<5<:o7>53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<5<5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=;96=4;:183!41?3;i=6F=8d9K63d>o5=o0;66a>fe83>>{e<8o1<7=50;2x 7002;2o7E<7e:J12g=n><0;66g>a`83>>i6nm0;66sm40694?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl;1g83>6<729q/>;9529f8L7>b3A8=n6g95;29?l7fi3:17b?id;29?xd39?0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c50z&122<50m1C>5k4H34a?l022900e50z&122<65k4H34a?!71:3;0e8h50;9j21<722c=47>5;h4a>5<26=44}c1e`?6==3:1>o1j3:17d0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th8jk4?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<1<75f6983>>o1j3:17b<;9;29?xd3890;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`741<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl;0383>0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th?<>4?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<1<75f6983>>o1j3:17b<;9;29?xd38<0;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`743<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl1<729q/>;951c38L7>b3A8=n6g95;29?l>12900e?;i:188k4`c2900qo=k8;290?6=8r.9::4>b09K6=c<@;8h50;9l5cb=831vn>k6:180>5<7s-8=;7<7d:J1<`=O:?h0e;;50;9j5dg=831d=kj50;9~f6bf290?6=4?{%053?7e92B94h5G27`8m33=831b4;4?::k11c<722e:ji4?::a7`g=8391<7>t$344>7>c3A83i6F=6c9j20<722c:ml4?::m2ba<722wi?im50;694?6|,;<<6;I0;a>N5>k1b:84?::k;2?6=3`8>j7>5;n3e`?6=3th8io4?:283>5}#:?=1>5j4H3:f?M41j2c=97>5;h3be?6=3f;mh7>5;|`0``<72=0;6=u+27595g7<@;2n7E<9b:k51?6=3`2=6=44i37e>5<5<5<54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm3dg94?5=83:p(?88:3:g?M4?m2B9:o5f6483>>o6ih0;66a>fe83>>{e;l>1<7:50;2x 70028h:7E<7e:J12g=n><0;66g76;29?l42n3:17b?id;29?xd4mo0;6>4?:1y'631=:1n0D?6j;I05f>o1=3:17d?na;29?j7al3:17pl1<729q/>;951c38L7>b3A8=n6g95;29?l>12900e?;i:188k4`c2900qo=i0;297?6=8r.9::4=8e9K6=c<@;50z&122<50m1C>5k4H34a?l022900e4e9K6=c<@;5<55;294~"5>>0:8k5G29g8L70e3-;=>7:4i4d94?=n>=0;66g9b;29?l47<3:17b<;9;29?xd4i80;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`0=c<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th8m94?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<1<75f6983>>o1j3:17b<;9;29?xd4i;0;684?:1y'631=9=n0D?6j;I05f>"6>;0:7d;i:188m32=831b:54?::k5f?6=3f8?57>5;|`0e6<72<0;6=u+275951b<@;2n7E<9b:&227<63`?m6=44i7694?=n>10;66g9b;29?j4313:17pl0<729q/>;9515f8L7>b3A8=n6*>6382?l3a2900e;:50;9j2=<722c=n7>5;n07=?6=3th8m;4?:483>5}#:?=1=9j4H3:f?M41j2.::?4>;h7e>5<1<75f6983>>o1j3:17b<;9;29?xd4?>0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c50z&122<6j81C>5k4H34a?l022900e5850;9j60`=831d=kj50;9~f6>>29086=4?{%053?4?l2B94h5G27`8m33=831b=lo50;9l5cb=831vn>9n:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi?5o50;194?6|,;<<6?6k;I0;a>N5>k1b:84?::k2ed<722e:ji4?::a72e=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`05;n3e`?6=3th8;h4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<5<53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<5<5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj:296=4;:183!41?3;i=6F=8d9K63d>o5=o0;66a>fe83>>{e;1o1<7=50;2x 7002;2o7E<7e:J12g=n><0;66g>a`83>>i6nm0;66sm39694?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl<8g83>6<729q/>;9529f8L7>b3A8=n6g95;29?l7fi3:17b?id;29?xd40?0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c83:1?7>50z&122<50m1C>5k4H34a?l022900e50z&122<65k4H34a?!71:3;0e8h50;9j21<722c=47>5;h4a>5<26=44}c1`a?6==3:1>o103:17d8m:188k72>2900qo=lf;291?6=8r.9::4>4e9K6=c<@;5<55;294~"5>>0:8i5G29g8L70e3-;=>7?4i4d94?=n>=0;66g98;29?l0e2900c?:6:188yg5c93:197>50z&122<65k4H34a?!71:3;0e8h50;9j21<722c=47>5;h4a>5<26=44}c1g6?6==3:1>o103:17d8m:188k72>2900qo=k3;291?6=8r.9::4>4e9K6=c<@;5<55;294~"5>>0:8i5G29g8L70e3-;=>7?4i4d94?=n>=0;66g98;29?l0e2900c?:6:188yg5c=3:197>50z&122<65k4H34a?!71:3;0e8h50;9j21<722c=47>5;h4a>5<26=44}c1g2?6==3:1>o103:17d8m:188k72>2900qo=n7;290?6=8r.9::4>b09K6=c<@;8h50;9l5cb=831vn>o7:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi?o750;194?6|,;<<6?6k;I0;a>N5>k1b:84?::k2ed<722e:ji4?::a7dg=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`0fd<72:0;6=u+27596=b<@;2n7E<9b:k51?6=3`;jm7>5;n3e`?6=3th8mn4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<5<53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<5<5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj:h;6=4;:183!41?3;i=6F=8d9K63d>o5=o0;66a>fe83>>{e;kn1<7=50;2x 7002;2o7E<7e:J12g=n><0;66g>a`83>>i6nm0;66sm3c094?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl6<729q/>;9529f8L7>b3A8=n6g95;29?l7fi3:17b?id;29?xd4j=0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c50z&122<50m1C>5k4H34a?l022900eb09K6=c<@;8h50;9l5cb=831vn>m?:180>5<7s-8=;7<7d:J1<`=O:?h0e;;50;9j5dg=831d=kj50;9~f6e629086=4?{%053?4?l2B94h5G27`8m33=831b=lo50;9l5cb=831vn>8k:186>5<7s-8=;7?;d:J1<`=O:?h0(<8=:09j1c<722c=87>5;h4;>5<>o1<3:17d87:188m3d=831d>9750;9~f60a290>6=4?{%053?73l2B94h5G27`8 405281b9k4?::k50?6=3`<36=44i7`94?=h:=31<75rb253>5<2290;w)<97;37`>N50l1C>;l4$041>4=n=o0;66g94;29?l0?2900e;l50;9l61?=831vn>9>:186>5<7s-8=;7?;d:J1<`=O:?h0(<8=:09j1c<722c=87>5;h4;>5<>o1<3:17d87:188m3d=831d>9750;9~f614290>6=4?{%053?73l2B94h5G27`8 405281b9k4?::k50?6=3`<36=44i7`94?=h:=31<75rb257>5<2290;w)<97;37`>N50l1C>;l4$041>4=n=o0;66g94;29?l0?2900e;l50;9l61?=831vn>9::186>5<7s-8=;7?;d:J1<`=O:?h0(<8=:09j1c<722c=87>5;h4;>5<>o1<3:17d87:188m3d=831d>9750;9~f620290?6=4?{%053?7e92B94h5G27`8m33=831b4;4?::k11c<722e:ji4?::a71>=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`01<<72:0;6=u+27596=b<@;2n7E<9b:k51?6=3`;jm7>5;n3e`?6=3th88l4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<5<m7>53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<5<5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj:>n6=4;:183!41?3;i=6F=8d9K63d>o5=o0;66a>fe83>>{e;<0;66g>a`83>>i6nm0;66sm34294?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl<5e83>6<729q/>;9529f8L7>b3A8=n6g95;29?l7fi3:17b?id;29?xd4=;0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c50z&122<50m1C>5k4H34a?l022900eb09K6=c<@;8h50;9l5cb=831vn>;i:180>5<7s-8=;7<7d:J1<`=O:?h0e;;50;9j5dg=831d=kj50;9~f631290?6=4?{%053?7e92B94h5G27`8m33=831b4;4?::k11c<722e:ji4?::a736=8391<7>t$344>7>c3A83i6F=6c9j20<722c:ml4?::m2ba<722wi?;?50;194?6|,;<<6?6k;I0;a>N5>k1b:84?::k2ed<722e:ji4?::a1f`=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`6`5<72=0;6=u+27595g7<@;2n7E<9b:k51?6=3`2=6=44i37e>5<5<5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm5e194?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl:e383>1<729q/>;951c38L7>b3A8=n6g95;29?l>12900e?;i:188k4`c2900qo;j3;290?6=8r.9::4>b09K6=c<@;8h50;9l5cb=831vn8k;:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi9h;50;694?6|,;<<6;I0;a>N5>k1b:84?::k;2?6=3`8>j7>5;n3e`?6=3th>i;4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<5<54;294~"5>>0:8h5G29g8L70e3-;=>7:4i4d94?=n>k0;66g=0583>>i5<00;66sm5gc94?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl:fc83>1<729q/>;951c38L7>b3A8=n6g95;29?l>12900e?;i:188k4`c2900qo;ic;290?6=8r.9::4>b09K6=c<@;8h50;9l5cb=831vn8hk:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi9kk50;694?6|,;<<6;I0;a>N5>k1b:84?::k;2?6=3`8>j7>5;n3e`?6=3th=5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<5<54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:>o5=o0;66a>fe83>>{e>8:1<7:50;2x 70028h:7E<7e:J12g=n><0;66g76;29?l42n3:17b?id;29?xd1980;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c50z&122<65k4H34a?!71:3;0e8h50;9j2g<722c9<94?::m10<<722wi95>50;694?6|,;<<6;I0;a>N5>k1b:84?::k;2?6=3`8>j7>5;n3e`?6=3th>4<4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<5<7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:>o5=o0;66a>fe83>>{e=1>1<7:50;2x 70028h:7E<7e:J12g=n><0;66g76;29?l42n3:17b?id;29?xd21:0;694?:1y'631=9k;0D?6j;I05f>o1=3:17d69:188m73a2900c<3:187>50z&122<6j81C>5k4H34a?l022900e5850;9j60`=831d=kj50;9~f0?2290?6=4?{%053?7e92B94h5G27`8m33=831b4;4?::k11c<722e:ji4?::a1<0=83>1<7>t$344>4d63A83i6F=6c9j20<722c3:7>5;h06b?6=3f;mh7>5;|`6=2<72=0;6=u+27595g7<@;2n7E<9b:k51?6=3`2=6=44i37e>5<5<5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm5`:94?2=83:p(?88:0`2?M4?m2B9:o5f6483>>o?>3:17d<:f;29?j7al3:17pl:a883>1<729q/>;951c38L7>b3A8=n6g95;29?l>12900e?;i:188k4`c2900qo;na;290?6=8r.9::4>b09K6=c<@;8h50;9l5cb=831vn8l6:187>5<7s-8=;7?m1:J1<`=O:?h0e;;50;9j<3<722c99k4?::m2ba<722wi9oo50;694?6|,;<<6;I0;a>N5>k1b:84?::k;2?6=3`8>j7>5;n3e`?6=3th>no4?:583>5}#:?=1=o?4H3:f?M41j2c=97>5;h:5>5<5<54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:>o5=o0;66a>fe83>>{eh7E<7e:J12g=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn9o7:187>5<7s-8=;7?;c:J1<`=O:?h0(<8=:09j1c<722c=47>5;h4a>5<26=44}c6b3?6=<3:1>o1j3:17b<;9;29?xd3i?0;694?:1y'631=9=i0D?6j;I05f>"6>;0:7d;i:188m3>=831b:o4?::m10<<722wi8l;50;694?6|,;<<6<:l;I0;a>N5>k1/=;<51:k6b?6=3`<36=44i7`94?=h:=31<75rb5c7>5<3290;w)<97;37g>N50l1C>;l4$041>4=n=o0;66g98;29?l0e2900c?:6:188yg2f;3:187>50z&122<65k4H34a?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th?m?4?:583>5}#:?=1=9m4H3:f?M41j2.::?4>;h7e>5<>i5<00;66sm4`394?2=83:p(?88:06`?M4?m2B9:o5+17095>o2n3:17d87:188m3d=831d>9750;9~f1g7290?6=4?{%053?73k2B94h5G27`8 405281b9k4?::k55<n:7>54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl;e483>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::a0`2=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<>o103:17d8m:188k72>2900qo:j2;290?6=8r.9::4>4b9K6=c<@;5;|`7a4<72=0;6=u+275951e<@;2n7E<9b:&227<63`?m6=44i7:94?=n>k0;66a=4883>>{eh7E<7e:J12g=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn9ji:187>5<7s-8=;7?;c:J1<`=O:?h0(<8=:09j1c<722c=47>5;h4a>5<26=44}c6ga?6=<3:1>o1j3:17b<;9;29?xd3lm0;694?:1y'631=9=i0D?6j;I05f>"6>;0:7d;i:188m3>=831b:o4?::m10<<722wi8ko50;694?6|,;<<6<:l;I0;a>N5>k1C9n5+17095>o2n3:17d87:188m3d=831d>9750;9~f1`>290?6=4?{%053?73k2B94h5G27`8L0e<,8<96<5f5g83>>o103:17d8m:188k72>2900qo:i8;290?6=8r.9::4>4b9K6=c<@;>o1j3:17b<;9;29?xd3n>0;694?:1y'631=9=i0D?6j;I05f>N2k2.::?4>;h7e>5<>i5<00;66sm4g494?2=83:p(?88:06`?M4?m2B9:o5G5b9'534=92c>j7>5;h4;>5<6=4;:183!41?3;?o6F=8d9K63d<@5;h4a>5<26=44}c6e0?6=<3:15;n07=?6=3th?j>4?:583>5}#:?=1=9m4H3:f?M41j2B>o6*>6382?l3a2900e;650;9j2g<722e9844?::a0c4=83>1<7>t$344>42d3A83i6F=6c9K1f=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn9h>:187>5<7s-8=;7?;c:J1<`=O:?h0D8m4$041>4=n=o0;66g98;29?l0e2900c?:6:188yg2c:3:187>50z&122<65k4H34a?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th?h<4?:583>5}#:?=1=9m4H3:f?M41j2.::?4>;h7e>5<>i5<00;66sm4e294?2=83:p(?88:06`?M4?m2B9:o5+17095>o2n3:17d87:188m3d=831d>9750;9~f1ea290?6=4?{%053?73k2B94h5G27`8 405281b9k4?::k55<hi7>54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl;ce83>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::a0fe=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<>o103:17d8m:188k72>2900qo:la;290?6=8r.9::4>4b9K6=c<@;5;|`7g<<72=0;6=u+275951e<@;2n7E<9b:&227<63`?m6=44i7:94?=n>k0;66a=4883>>{e=8<1<7:50;2x 70028>h7E<7e:J12g=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn8?::187>5<7s-8=;7?;c:J1<`=O:?h0(<8=:09j1c<722c=47>5;h4a>5<26=44}c720?6=<3:1>o1j3:17b<;9;29?xd29:0;694?:1y'631=9=i0D?6j;I05f>"6>;0:7d;i:188m3>=831b:o4?::m10<<722wi9<<50;694?6|,;<<6<:l;I0;a>N5>k1/=;<51:k6b?6=3`<36=44i7`94?=h:=31<75rb432>5<3290;w)<97;37g>N50l1C>;l4$041>4=n=o0;66g98;29?l0e2900c?:6:188yg3683:187>50z&122<65k4H34a?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th>5}#:?=1=9m4H3:f?M41j2.::?4>;h7e>5<>i5<00;66sm51g94?2=83:p(?88:06`?M4?m2B9:o5+17095>o2n3:17d87:188m3d=831d>9750;9~f06c290?6=4?{%053?73k2B94h5G27`8 405281b9k4?::k55<?7>54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl:5383>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::a107=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<>o103:17d8m:188k72>2900qo;;f;290?6=8r.9::4>4b9K6=c<@;5;|`60`<72=0;6=u+275951e<@;2n7E<9b:&227<63`?m6=44i7:94?=n>k0;66a=4883>>{e==n1<7:50;2x 70028>h7E<7e:J12g=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn8:l:187>5<7s-8=;7?;c:J1<`=O:?h0(<8=:09j1c<722c=47>5;h4a>5<26=44}c77f?6=<3:1>o1j3:17b<;9;29?xd2"6>;0:7d;i:188m3>=831b:o4?::m10<<722wi9;950;694?6|,;<<6<:l;I0;a>N5>k1C9n5+17095>o2n3:17d87:188m3d=831d>9750;9~f001290?6=4?{%053?73k2B94h5G27`8L0e<,8<96<5f5g83>>o103:17d8m:188k72>2900qo;95;290?6=8r.9::4>4b9K6=c<@;>o1j3:17b<;9;29?xd2>=0;694?:1y'631=9=i0D?6j;I05f>N2k2.::?4>;h7e>5<>i5<00;66sm57194?2=83:p(?88:06`?M4?m2B9:o5G5b9'534=92c>j7>5;h4;>5<5;h4a>5<26=44}c755?6=<3:15;n07=?6=3th>:=4?:583>5}#:?=1=9m4H3:f?M41j2B>o6*>6382?l3a2900e;650;9j2g<722e9844?::a10`=83>1<7>t$344>42d3A83i6F=6c9K1f=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn8;j:187>5<7s-8=;7?;c:J1<`=O:?h0D8m4$041>4=n=o0;66g98;29?l0e2900c?:6:188yg34n3:187>50z&122<65k4H34a?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th>?h4?:583>5}#:?=1=9m4H3:f?M41j2.::?4>;h7e>5<>i5<00;66sm52f94?2=83:p(?88:06`?M4?m2B9:o5+17095>o2n3:17d87:188m3d=831d>9750;9~f05d290?6=4?{%053?73k2B94h5G27`8 405281b9k4?::k55<54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl:3`83>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::a16?=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<>o103:17d8m:188k72>2900qo;<7;290?6=8r.9::4>4b9K6=c<@;5;|`673<72=0;6=u+275951e<@;2n7E<9b:&227<63`?m6=44i7:94?=n>k0;66a=4883>>{e<0;66g>a`83>>i6nm0;66sm44`94?5=83:p(?88:0cf?M4?m2B9:o5+170973=n9<<1<75f14594?=h9on1<75rb547>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=?:6=4;:183!41?3;i=6F=8d9K63d>o5=o0;66a>fe83>>{e<5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm44;94?5=83:p(?88:0cf?M4?m2B9:o5+170973=n9<<1<75f14594?=h9on1<75rb541>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=>m6=4;:183!41?3;i=6F=8d9K63d>o5=o0;66a>fe83>>{e<<21<7=50;2x 70028kn7E<7e:J12g=#9?81?;5f14494?=n9<=1<75`1gf94?=zj=<:6=4<:183!41?383h6F=8d9K63d5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm44594?5=83:p(?88:0cf?M4?m2B9:o5+170973=n9<<1<75f14594?=h9on1<75rb543>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=>o6=4;:183!41?3;i=6F=8d9K63d>o5=o0;66a>fe83>>{e<<<1<7=50;2x 70028kn7E<7e:J12g=#9?81?;5f14494?=n9<=1<75`1gf94?=zj=?m6=4<:183!41?383h6F=8d9K63d5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm44794?5=83:p(?88:0cf?M4?m2B9:o5+170973=n9<<1<75f14594?=h9on1<75rb57f>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=>i6=4;:183!41?3;i=6F=8d9K63d>o5=o0;66a>fe83>>{e<<>1<7=50;2x 70028kn7E<7e:J12g=#9?81?;5f14494?=n9<=1<75`1gf94?=zj=?o6=4<:183!41?383h6F=8d9K63d5<3290;w)<97;3a5>N50l1C>;l4i7794?=n0?0;66g=5g83>>i6nm0;66sm44194?5=83:p(?88:0cf?M4?m2B9:o5+170973=n9<<1<75f14594?=h9on1<75rb57`>5<4290;w)<97;0;`>N50l1C>;l4i7794?=n9hk1<75`1gf94?=zj=>26=4;:183!41?3;i=6F=8d9K63d>o5=o0;66a>fe83>>{e<<81<7=50;2x 70028kn7E<7e:J12g=#9?81?;5f14494?=n9<=1<75`1gf94?=zj=296=4<:183!41?383h6F=8d9K63d5<4290;w)<97;3ba>N50l1C>;l4$041>605<5<5<=i7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:84i075>5<5<3<7>53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<5<5<4290;w)<97;3ba>N50l1C>;l4$041>605<5<5<=o7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:6=4<:183!41?3;ji6F=8d9K63d<,8<96>84i075>5<5<53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<5<5<4290;w)<97;3ba>N50l1C>;l4$041>605<5<5<=m7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:84i075>5<5<53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<5<5<4290;w)<97;3ba>N50l1C>;l4$041>605<5<5<=47>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:84i075>5<5<53;294~"5>>094i5G29g8L70e3`<>6=44i0cb>5<5<5<4290;w)<97;3ba>N50l1C>;l4$041>605<5<5<=:7>54;294~"5>>0:n<5G29g8L70e3`<>6=44i9494?=n:84i075>5<5<54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl:7883>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::a12>=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<>o103:17d8m:188k72>2900qo;86;290?6=8r.9::4>4b9K6=c<@;5;|`630<72=0;6=u+275951e<@;2n7E<9b:&227<63`?m6=44i7:94?=n>k0;66a=4883>>{e=>>1<7:50;2x 70028>h7E<7e:J12g=#9?81=6g:f;29?l0?2900e;l50;9l61?=831vn89<:187>5<7s-8=;7?;c:J1<`=O:?h0(<8=:09j1c<722c=47>5;h4a>5<26=44}c746?6=<3:1>o1j3:17b<;9;29?xd2?80;694?:1y'631=9=i0D?6j;I05f>"6>;0:7d;i:188m3>=831b:o4?::m10<<722wi:?o50;694?6|,;<<6<:l;I0;a>N5>k1/=;<51:k6b?6=3`<36=44i7`94?=h:=31<75rb70:>5<3290;w)<97;37g>N50l1C>;l4$041>4=n=o0;66g98;29?l0e2900c?:6:188yg0503:187>50z&122<65k4H34a?!71:3;0e8h50;9j2=<722c=n7>5;n07=?6=3th=>:4?:583>5}#:?=1=9m4H3:f?M41j2.::?4>;h7e>5<>i5<00;66sm63494?2=83:p(?88:06`?M4?m2B9:o5+17095>o2n3:17d87:188m3d=831d>9750;9~f342290?6=4?{%053?73k2B94h5G27`8 405281b9k4?::k55<54;294~"5>>0:8n5G29g8L70e3-;=>7?4i4d94?=n>10;66g9b;29?j4313:17pl92283>1<729q/>;9515a8L7>b3A8=n6*>6382?l3a2900e;650;9j2g<722e9844?::a274=83>1<7>t$344>42d3A83i6F=6c9'534=92c>j7>5;h4;>5<>o103:17d8m:188k72>2900qo;l7;297?6=8r.9::4>ad9K6=c<@;ad9K6=c<@;ad9K6=c<@;ad9K6=c<@;ad9K6=c<@;ad9K6=c<@;ad9K6=c<@;ad9K6=c<@;ad9K6=c<@;ad9K6=c<@;b19K6=c<@;3:17d?:7;29?l7203:17d?:9;29?j7al3:17pl:b283>0<729q/>;951c28L7>b3A8=n6*>63803>o6=?0;66g>5683>>o6=10;66g>5883>>i6nm0;66sm5c094?3=83:p(?88:0`3?M4?m2B9:o5+170972=n9<<1<75f14594?=n9<21<75f14;94?=h9on1<75rb4`2>5<2290;w)<97;3a4>N50l1C>;l4$041>615<5<:7>5;h363?6=3`;>47>5;h36=?6=3f;mh7>5;|`6<`<72<0;6=u+27595g6<@;2n7E<9b:&227<4?2c:9;4?::k212<722c:954?::k21<<722e:ji4?::a1=b=83?1<7>t$344>4d73A83i6F=6c9'534=;>1b=8850;9j501=831b=8650;9j50?=831d=kj50;9~f0>d290>6=4?{%053?7e82B94h5G27`8 4052:=0e<;9:188m4302900e<;7:188m43>2900c50z&122<6j91C>5k4H34a?!71:39<7d?:6;29?l72?3:17d?:8;29?l7213:17b?id;29?xd20h0;684?:1y'631=9k:0D?6j;I05f>"6>;08;6g>5783>>o6=>0;66g>5983>>o6=00;66a>fe83>>{e=821<7=50;2x 70028kn7E<7e:J12g=#9?81?>5f14494?=n9<=1<75`1gf94?=zj=ki6=4<:183!41?3;ji6F=8d9K63d<,8<96>=4i075>5<5<53;294~"5>>0:mh5G29g8L70e3-;=>7=<;h362?6=3`;>;7>5;n3e`?6=3th>5}#:?=1=lk4H3:f?M41j2.::?4<3:k213<722c:9:4?::m2ba<722wi9=o50;194?6|,;<<6N5>k1/=;<5329j500=831b=8950;9l5cb=831vn8>6:180>5<7s-8=;7?ne:J1<`=O:?h0(<8=:218m4312900e<;8:188k4`c2900qo;?8;297?6=8r.9::4>ad9K6=c<@;3:17d?:7;29?j7al3:17pl:0683>6<729q/>;951`g8L7>b3A8=n6*>63807>o6=?0;66g>5683>>i6nm0;66sm51494?5=83:p(?88:0cf?M4?m2B9:o5+170976=n9<<1<75f14594?=h9on1<75rb426>5<4290;w)<97;3ba>N50l1C>;l4$041>655<:7>5;h363?6=3f;mh7>5;|`7=c<72:0;6=u+27595dc<@;2n7E<9b:&227<4;2c:9;4?::k212<722e:ji4?::a0t$344>4gb3A83i6F=6c9'534=;:1b=8850;9j501=831d=kj50;9~f1?c29086=4?{%053?7fm2B94h5G27`8 4052:90e<;9:188m4302900ck3:1?7>50z&122<6il1C>5k4H34a?!71:3987d?:6;29?l72?3:17b?id;29?xd31k0;6>4?:1y'631=9ho0D?6j;I05f>"6>;08?6g>5783>>o6=>0;66a>fe83>>{e<0k1<7=50;2x 70028kn7E<7e:J12g=#9?81?>5f14494?=n9<=1<75`1gf94?=zj=326=4<:183!41?3;ji6F=8d9K63d<,8<96>=4i075>5<5<247>53;294~"5>>0:mh5G29g8L70e3-;=>7=<;h362?6=3`;>;7>5;n3e`?6=3th?5:4?:283>5}#:?=1=lk4H3:f?M41j2.::?4<3:k213<722c:9:4?::m2ba<722wi9N5>k1/=;<5399j500=831b=8950;9j50>=831d=kj50;9~f1gc290?6=4?{%053?7fn2B94h5G27`8 4052:20e<;9:188m4302900e<;7:188k4`c2900qo;>c;291?6=8r.9::4>b19K6=c<@;3:17d?:7;29?l7203:17d?:9;29?j7al3:17pl;ag83>0<729q/>;951c28L7>b3A8=n6*>63801>o6=?0;66g>5683>>o6=10;66g>5883>>i6nm0;66sm50g94?5=83:p(?88:0cf?M4?m2B9:o5+170976=n9<<1<75f14594?=h9on1<75rb5`2>5<4290;w)<97;3ba>N50l1C>;l4$041>655<:7>5;h363?6=3`;>47>5;n3e`?6=3th?n>4?:583>5}#:?=1=lh4H3:f?M41j2.::?4<8:k213<722c:9:4?::k21=<722e:ji4?::a174=83?1<7>t$344>4d73A83i6F=6c9'534=;<1b=8850;9j501=831b=8650;9j50?=831d=kj50;9~f1d2290>6=4?{%053?7e82B94h5G27`8 4052:?0e<;9:188m4302900e<;7:188m43>2900c50z&122<6il1C>5k4H34a?!71:38i7d?:6;29?l72?3:17b?id;29?xd19k0;684?:1y'631=9k:0D?6j;I05f>"6>;08;6g>5783>>o6=>0;66g>5983>>o6=00;66a>fe83>>{e>921<7;50;2x 70028h;7E<7e:J12g=#9?81?:5f14494?=n9<=1<75f14:94?=n9<31<75`1gf94?=zj94i075>5<5<5<55;294~"5>>0:n=5G29g8L70e3-;=>7=8;h362?6=3`;>;7>5;h3657>5;n3e`?6=3th==l4?:483>5}#:?=1=o>4H3:f?M41j2.::?4<7:k213<722c:9:4?::k21=<722c:944?::m2ba<722wi:=950;794?6|,;<<6N5>k1/=;<5369j500=831b=8950;9j50>=831b=8750;9l5cb=831vn8ki:186>5<7s-8=;7?m0:J1<`=O:?h0(<8=:258m4312900e<;8:188m43?2900e<;6:188k4`c2900qo;kc;291?6=8r.9::4>b19K6=c<@;3:17d?:7;29?l7203:17d?:9;29?j7al3:17pl91883>0<729q/>;951c28L7>b3A8=n6*>63803>o6=?0;66g>5683>>o6=10;66g>5883>>i6nm0;66sm61494?3=83:p(?88:0`3?M4?m2B9:o5+170972=n9<<1<75f14594?=n9<21<75f14;94?=h9on1<75rb4gf>5<2290;w)<97;3a4>N50l1C>;l4$041>615<5<:7>5;h363?6=3`;>47>5;h36=?6=3f;mh7>5;|`55=<72<0;6=u+27595g6<@;2n7E<9b:&227<4?2c:9;4?::k212<722c:954?::k21<<722e:ji4?::a253=83?1<7>t$344>4d73A83i6F=6c9'534=;>1b=8850;9j501=831b=8650;9j50?=831d=kj50;9~f0cc290>6=4?{%053?7e82B94h5G27`8 4052:=0e<;9:188m4302900e<;7:188m43>2900c50z&122<6j91C>5k4H34a?!71:39<7d?:6;29?l72?3:17d?:8;29?l7213:17b?id;29?xd2?k0;6>4?:1y'631=9ho0D?6j;I05f>"6>;09n6g>5783>>o6=>0;66a>fe83>>{e>8=1<7;50;2x 70028h;7E<7e:J12g=#9?81?:5f14494?=n9<=1<75f14:94?=n9<31<75`1gf94?=zj?:?6=4::183!41?3;i<6F=8d9K63d<,8<96>94i075>5<5<5<55;294~"5>>0:n=5G29g8L70e3-;=>7=8;h362?6=3`;>;7>5;h3657>5;n3e`?6=3th>h44?:483>5}#:?=1=o>4H3:f?M41j2.::?4<7:k213<722c:9:4?::k21=<722c:944?::m2ba<722wi8=750;094?6|,;<<6N5>k1/=;<5279j500=831d=kj50;9~f16e29096=4?{%053?7fl2B94h5G27`8 4052;<0e<;9:188k4`c2900qo:?d;296?6=8r.9::4>ae9K6=c<@;3:17b?id;29?xd38o0;6?4?:1y'631=9hn0D?6j;I05f>"6>;09:6g>5783>>i6nm0;66sm40394?4=83:p(?88:0cg?M4?m2B9:o5+170963=n9<<1<75`1gf94?=zj=;86=4=:183!41?3;jh6F=8d9K63d<,8<96?84i075>5<:7>5;n3e`?6=3th?=:4?:383>5}#:?=1=lj4H3:f?M41j2.::?4=6:k213<722e:ji4?::a7a?=8381<7>t$344>4gc3A83i6F=6c9'534=:?1b=8850;9l5cb=831vn>jm:181>5<7s-8=;7?nd:J1<`=O:?h0(<8=:348m4312900c7>50z&122<6im1C>5k4H34a?!71:38=7d?:6;29?j7al3:17pl7<729q/>;951`f8L7>b3A8=n6*>63812>o6=?0;66a>fe83>>{e;l;1<7<50;2x 70028ko7E<7e:J12g=#9?81>;5f14494?=h9on1<75rb2g0>5<5290;w)<97;3b`>N50l1C>;l4$041>705<52;294~"5>>0:mi5G29g8L70e3-;=>7<9;h362?6=3f;mh7>5;|`0a2<72;0;6=u+27595db<@;2n7E<9b:&227<5>2c:9;4?::m2ba<722wi?:750;094?6|,;<<6N5>k1/=;<5279j500=831d=kj50;9~f61e29096=4?{%053?7fl2B94h5G27`8 4052;<0e<;9:188k4`c2900qo=8d;296?6=8r.9::4>ae9K6=c<@;3:17b?id;29?xd4?o0;6?4?:1y'631=9hn0D?6j;I05f>"6>;09:6g>5783>>i6nm0;66sm39394?4=83:p(?88:0cg?M4?m2B9:o5+170963=n9<<1<75`1gf94?=zj:286=4=:183!41?3;jh6F=8d9K63d<,8<96?84i075>5<:7>5;n3e`?6=3th84:4?:383>5}#:?=1=lj4H3:f?M41j2.::?4=6:k213<722e:ji4?::a7d?=8381<7>t$344>4gc3A83i6F=6c9'534=:?1b=8850;9l5cb=831vn>om:181>5<7s-8=;7?nd:J1<`=O:?h0(<8=:348m4312900c7>50z&122<6im1C>5k4H34a?!71:38=7d?:6;29?j7al3:17pl7<729q/>;951`f8L7>b3A8=n6*>63812>o6=?0;66a>fe83>>{e;k;1<7<50;2x 70028ko7E<7e:J12g=#9?81>;5f14494?=h9on1<75rb2`0>5<5290;w)<97;3b`>N50l1C>;l4$041>705<52;294~"5>>0:mi5G29g8L70e3-;=>7<9;h362?6=3f;mh7>5;|`0f2<72;0;6=u+27595db<@;2n7E<9b:&227<5>2c:9;4?::m2ba<722wi?9750;094?6|,;<<6N5>k1/=;<5279j500=831d=kj50;9~f62e29096=4?{%053?7fl2B94h5G27`8 4052;<0e<;9:188k4`c2900qo=;d;296?6=8r.9::4>ae9K6=c<@;3:17b?id;29?xd4"6>;09:6g>5783>>i6nm0;66sm34394?4=83:p(?88:0cg?M4?m2B9:o5+170963=n9<<1<75`1gf94?=zj:?86=4=:183!41?3;jh6F=8d9K63d<,8<96?84i075>5<:7>5;n3e`?6=3th89:4?:383>5}#:?=1=lj4H3:f?M41j2.::?4=6:k213<722e:ji4?::a074=8381<7>t$344>4gc3A83i6F=6c9'534=:?1b=8850;9l5cb=831vn>h=:181>5<7s-8=;7?nd:J1<`=O:?h0(<8=:348m4312900c:3:1>7>50z&122<6im1C>5k4H34a?!71:38=7d?:6;29?j7al3:17pl7<729q/>;951`f8L7>b3A8=n6*>63812>o6=?0;66a>fe83>>{e;?81<7<50;2x 70028ko7E<7e:J12g=#9?81>;5f14494?=h9on1<75rb711>5<3290;w)<97;3bb>N50l1C>;l4$041>42f3`;>:7>5;h363?6=3`;>47>5;n3e`?6=3th?n:4?:283>5}#:?=1=lk4H3:f?M41j2.::?4<3:k213<722c:9:4?::m2ba<722wi8oo50;794?6|,;<<6N5>k1/=;<5349j500=831b=8950;9j50>=831b=8750;9l5cb=831vn9l7:187>5<7s-8=;7?nf:J1<`=O:?h0(<8=:268m4312900e<;8:188m43?2900c50z&122<6j91C>5k4H34a?!71:3927d?:6;29?l72?3:17d?:8;29?l7213:17b?id;29?xd3j00;684?:1y'631=9k:0D?6j;I05f>"6>;0896g>5783>>o6=>0;66g>5983>>o6=00;66a>fe83>>{e=4i075>5<5<55;294~"5>>0:n=5G29g8L70e3-;=>7=:;h362?6=3`;>;7>5;h3657>5;n3e`?6=3th>>84?:583>5}#:?=1=lh4H3:f?M41j2.::?4<4:k213<722c:9:4?::k21=<722e:ji4?::a17?=83?1<7>t$344>4d73A83i6F=6c9'534=;01b=8850;9j501=831b=8650;9j50?=831d=kj50;9~f041290>6=4?{%053?7e82B94h5G27`8 4052:?0e<;9:188m4302900e<;7:188m43>2900c50z&122<6j91C>5k4H34a?!71:39>7d?:6;29?l72?3:17d?:8;29?l7213:17b?id;29?xd2n=0;684?:1y'631=9k:0D?6j;I05f>"6>;0:9=5f14494?=n9<=1<75f14:94?=n9<31<75`1gf94?=zj;7>5;h3657>5;n3e`?6=3th?=54?:383>5}#:?=1=l84H3:f?M41j2c:984?::m2ba<722wi?h650;094?6|,;<<6N5>k1b=8;50;9l5cb=831vn>67:181>5<7s-8=;7?n6:J1<`=O:?h0e<;::188k4`c2900qo=m8;296?6=8r.9::4>a79K6=c<@;7<729q/>;951`48L7>b3A8=n6g>5483>>i6nm0;66sm62294?e5290;w)<97;07e>N50l1C>;l4Z4g9g~472;;1>:4=8;0`>7b=:l09j7<6:3c975<493w/=;o53:&22g<43-;=o7=4$04g>6=#9?o1?6*>6g80?!708390(<9>:29'524=;2.:;>4<;%340?5<,8=>6>5+16497>"6?>087)?88;18 41>2:1/=:o53:&23g<43-;6=#9>o1?6*>7g80?!7?8390(<6>:29'5=4=;2.:4>4<;%3;0?5<,82>6>5+19497>"60>087)?78;18 4>>2:1/=5o53:&26=#91o1?6*>8g80?!7>8390(<7>:29'5<4=;2.:5>4<;%3:0?5<,83>6>5+18497>"61>087)?68;18 4?>2:1/=4o53:&2=g<43-;2o7=4$0;g>6=#90o1?6*>9g80?!7f8390(:29'5d4=;2.:m84>fb9'5d5=:2.:m94=;%36e?5<,8?i6>5+14a97>"6=m087)?:e;18 43a2:1/=l9515`8 4g?28>i7)<;b;3eg>"55+17397>"5?h09;45+26`962?44"5>90:8o5+27196>"6>:087)?94;18 4022:1/=;853:&222<43-;=47=4$04:>6=#:?>1>6g90;29?l062900e;850;9j22<722c:854?::k11f<722c99i4?::k20<<722c9:84?::k123<722e=>7>5;n40>5<h58;0;76g=:098m6b=83.9<>45$320>6`54i2`94?"58:08j6`=0387?>o313:1(?><:2d8j7652<10e9650;&146<4n2d9h4n321>2=h58;0376g;5;29 7642:l0b?>=:898m12=83.9<>45$320>6`o393:1(?><:2d8j7652m10e>o50;&146<4n2d95=h58;0:76g;d;29 7642=l0b?>=:398m1e=83.9<>4;f:l147<432c?n7>5$320>1`o203:1(?><:5d8j7652?10e8950;&146<3n2d9==h58;0276g:4;29 7642=l0b?>=:`98m05=83.9<>4;f:l147>7>5$320>1`o3i3:1(?><:5d8j7652l10el>50;&146<>n2d94=h58;0976g6b;29 76420l0b?>=:298m46f:l147<332c257>5$320><`o>?3:1(?><:8d8j7652>10e4850;&146<>n2d9<=1<7*=028:b>h58;0j76g63;29 76420l0b?>=:c98m<7=83.9<>46f:l1475$320><`o?m3:1(?><:8d8j7652o10e5j50;&146<>n2d90:9j5$320><`5<#:9915k5a210956=h58;0:865f8983>!47;33m7cof?3:1(?><:8d8j76528<07do9:18'655=1o1e>=<51698md3=83.9<>46f:l147<6021bm94?:%037??a3g8;>7?6;:kb7?6=,;:864h4n321>4g<3`k96=4+2119=c=i:981=o54i`394?"58:02j6`=0382g>=n1m0;6)=:0g8?l>0290/>==59g9m654=9o10en?50;&1464n321>4=h58;0976gmc;29 7642j:0b?>=:298mgd=83.9<>4l0:l147<332cim7>5$320>f6oe03:1(?><:b28j7652>10eo950;&1464n321><=h58;0j76gm4;29 7642j:0b?>=:c98mg4=83.9<>4l0:l1475$320>f6ofn3:1(?><:b28j7652o10elk50;&1460:9jea<72-8;?7m?;o036?7632cjo7>5$320>f65<#:991o=5a210956=h58;0:865fa883>!47;3i;7cod03:1(?><:b28j76528<07dm8:18'655=k91e>=<51698mf0=83.9<>4l0:l147<6021bo84?:%037?e73g8;>7?6;:k`0?6=,;:86n>4n321>4g<3`i86=4+2119g5=i:981=o54ib094?"58:0h<6`=0382g>=njl0;6)=:0g8?lg?290/>==5c19m654=9o10eh>50;&1464=h58;0976gkc;29 7642ml0b?>=:298m`3=83.9<>4j4:l147<732cn?7>5$320>`2ob93:1(?><:d68j7652:10e?9<:18'655=:>80b?>=:198m716290/>==52608j7652810e?9?:18'655=:>80b?>=:398m70a290/>==52608j7652:10e?97:18'655=:>=0b?>=:198m711290/>==52658j7652810e?9::18'655=:>=0b?>=:398m713290/>==52658j7652:10ckh50;&1464=h58;0976aia;29 7642oo0b?>=:298kc?=83.9<>4ie:l147<332em47>5$320>ccia>3:1(?><:gg8j7652>10ck;50;&146<=h58;0j76ai2;29 7642oo0b?>=:c98kc6=83.9<>4ie:l1475$320>ccibl3:1(?><:gg8j7652o10chm50;&1460:9lag<72-8;?7hj;o036?7632enm7>5$320>cc5<#:991jh5a210956=h58;0:865`e683>!47;3ln7ci68?0;6)0483>!47;3ln7ci68=0;6)0283>!47;3ln7ci68;0;6)0083>!47;3ln7ci6890;6)=:0f8?j`6290/>==5fd9m654=9l10ch850;&146f:9l577=83.9<>4>219m654=821d==<51:9l54b=83.9<>4>219m654=:21d==<53:9l54d=83.9<>4>219m654=<21d==<55:9l54?=83.9<>4>219m654=>21d=<650;&146<6:91e>=<57:9l541=83.9<>4>219m654=021d=<850;&146<6:91e>=<59:9l543=83.9<>4>219m654=i21d=<:50;&146<6:91e>=<5b:9l544=83.9<>4>219m654=k21d==<5d:9l546=83.9<>4>219m654=m21d==h50;&146<6:91e>=<5f:9l55c=83.9<>4>219m654=9910c<>k:18'655=9;:0b?>=:038?j77k3:1(?><:003?k47:3;976a>0c83>!47;3;9<6`=03827>=h99k1<7*=028265=i:981=954o02:>5<#:991=?>4n321>43<3f;947>5$320>4473g8;>7?9;:m262<72-8;?7?=0:l147<6?21d=?850;&146<6:91e>=<51998k442290/>==51328j76528307b?=4;29 764288;7ci6::0;6)h58;0:n65`13094?"58:0:>=5a21095f=f:9l57c=83.9<>4>2e9m654=821d=?m50;&146<6:m1e>=<51:9l57d=83.9<>4>2e9m654=:21d=?o50;&146<6:m1e>=<53:9l565=83.9<>4>339m654=821d=>?50;&146<6;;1e>=<51:9l566=83.9<>4>339m654=:21d=?h50;&146<6;;1e>=<53:9~w3c=83;=wS8j;<1:a?033492h78;;<1b5?033492j78;;<1b4?03349j878;;<1b6?03349j?78;;<1b1?03349j:78;;<15`?03349=i78;;<15b?03349<<78;;<145?03349<>78;;<147?03349<878;;<141?03349<:78;;<74f?7al2wx=8?50;7xZ3b;1:90:9;525g6950157>54z\11<=:=>h1=894=711>43134?h57?:6:p671=83k3wS;m;_04a>X5>01U9l5Q1gd8Z70d3W8;96P=269>6=`=9<901;8:778916?21<019>n:948916d21<019>j:948917721<019?=:948917321<019?9:94896b02??01>j7:94896bf21<01>jl:94896bb21<01>k?:94896c521<01>k;:94896c121<01>98:778961?21<01>9n:948961d21<01>9j:94896>721<01>6=:94896>321<01>69:94896g02??01>o7:94896gf21<01>ol:94896gb21<01>l?:94896d521<01>l;:94896d121<01>:8:778962?21<01>:n:948962d21<01>:j:948963721<01>;=:948963321<01>;9:94890ea21<018j?:94890b621<018j=:94890b421<018k=:94890c421<018k;:94890c221<018k9:94890`f21<018hm:94890`d21<018hk:94890`b21<01;>k:948936b21<01;>i:948937721<01;?>:94890>721<0186>:94890>521<0186<:94890>321<0187<:94890?321<0187::94890?121<01878:94890g121<018o8:94890g?21<018o6:94890gf21<018l6:94890df21<018lm:94890dd21<018lk:94893572?:01;=?:73893572;?h708<0;06`>;1;90?<63931877>;1;90?>63931875>;1;908m63931864>;1;90>?63931866>;1;90>=6393187e>;1;90j<639318:a>;1;902o639318:f>;1;902m639318:=>;1;9024639318:3>;1;902:639318:1>;1;9028639318:7>;1;902=639318:4>;1;903j639318;a>;1;90h=639318ab>;1;90ih639318ag>;1;90in639318ae>;1;90i5639318a<>;1;90i;639318a2>;1;90i9639318a0>;1;90i>639318a5>;1;90i<639318bb>;1;90ji639318b`>;1;90jo639318bf>;1;90jm639318b=>;1;90h4639318`3>;1;90h:639318`1>;1;90h8639318`7>;1;90h>639318aa>;1;90i?639318b<>;1;90n<639318ga>;1;90n9639318f7>;1;90n>639318f5>;1;909;5526229620<5?9;6?9:;<404?40<2wx>8k50;7xZ73b34<9o74683>3}Y>j1U=994=4ab>72>34?169n751458yv0a29098vP9f:?76`<1<27?>i494:?774<1<27?>k494:?775<1<27??9494:?777<1<27??>494:?770<1<27??;494:?0b`<1<278ji494:?744<1<278jk494:?745<1<27?<9494:?747<1<27?<>494:?740<1<27?<;494:?0ga<1<278oh494:?0gc<1<278h=494:?0`4<1<278h?494:?0`6<1<278h9494:?0`0<1<278h;494:?565<6nm16:>>5261893572;=:708<0;044>;1;909:k5rs3:a>5<4sW83n639218212=:=o>1=884}r07`?6=9j4=70`>0`<5?8o68h4=2;f>0`<5:3o68h4=2c2>0`<5:3m68h4=2c3>0`<5:k?68h4=2c1>0`<5:k868h4=2c6>0`<5:k=68h4=24g>0`<5:0`<5:=;68h4=252>0`<5:=968h4=250>0`<5:=?68h4=256>0`<5:==68h4=4ab>0`<5=n968h4=5f2>0`<5=n;68h4=5ae>0`<5=in68h4=5ag>0`<5=ih68h4=5aa>0`<5=ij68h4=5a:>0`<5<;=68h4=436>0`<5<;?68h4=430>0`<5<;968h4=432>0`<5<;;68h4=42e>0`<5<:n68h4=42g>0`<50`<50`<5<>m68h4=46f>0`<5<>o68h4=46`>0`<5<>i68h4=46b>0`<5<<<68h4=445>0`<5<<>68h4=447>0`<5<<868h4=441>0`<5<<:68h4=443>0`<50`<5<=j68h4=45:>0`<5<=368h4=454>0`<5<==68h4=456>0`<5<=?68h4=450>0`<5<=968h4=452>0`<5?9;6;94}r04b?6==:h4=70e>0`<5?8n68h4=50f>0`<5=8o68h4=512>0`<5=8m68h4=513>0`<5=9?68h4=511>0`<5=9868h4=516>0`<5=9=68h4=2df>0`<5:lo68h4=522>0`<5:lm68h4=523>0`<5=:?68h4=521>0`<5=:868h4=526>0`<5=:=68h4=2ag>0`<5:in68h4=2ae>0`<5:n;68h4=2f2>0`<5:n968h4=2f0>0`<5:n?68h4=2f6>0`<5:n=68h4=4d6>0`<5=k268h4=5c;>0`<5=k<68h4=5c5>0`<5=k>68h4=5c7>0`<5=k868h4=5c1>0`<5=k:68h4=5c3>0`<5=o=68h4=5g6>0`<5=o?68h4=5g0>0`<5=o968h4=5g2>0`<5=o;68h4=5fe>0`<5=nn68h4=5fg>0`<5=lj68h4=5d:>0`<5=l368h4=5d4>0`<5=l=68h4=5d6>0`<5=l?68h4=5d0>0`<5=l968h4=5d2>0`<5<9m68h4=41f>0`<5<9o68h4=41`>0`<5<9i68h4=41b>0`<5<9268h4=41;>0`<5<9<68h4=415>0`<5?8j68h4=70:>0`<5?8368h4=704>0`<5?8=68h4=706>0`<5?8?68h4=700>0`<5?8968h4=702>0`<5?9;6;84}r0ff?6=?rT9io52504961?<5=?i6<;9;<7b5?72?27>4h4>589>1c6=9<2018jk:07;?xu5m00;65uQ2d;890722;>270::1;:5?822i3;>:63:a08213=:=1o1=894=4d3>43134?oh7?:6:p6`>=832pR?k7;<720?43127?9=476:?71<<6=?169l>5145890>c28?270;jf;36<>;2lj0:955rs3g4>5ik4>579>1ae=9<<0q~n3;>;63:8b821<=:=lo1=864=4fa>43?3ty9i84?:9y]6`3<5<;:6?:6;<67`?>134>>:7?:6:?6=c<6=?1695m5145890cb28?=70;kb;362>{t:l>1<76t^3g7?836838?563;4b8;2>;3=<0:9;5258g9501<5<2i6<;6;<7f`?72027>hl4>599~w7c42903wS002=9<<0187j:075?83?j3;>;63:ee8213=:=mk1=884}r0f6?6=0rT9i?5251g961?<5=>j6584=570>43134?2h7?:7:?628?37p}=e083>=}Y:l;018>k:36:?823132=70::2;362>;21m0:9;5259c9501<52wx484?:9y]6c0j9:36:?834n3;28=0:9;523b09500<5?9;6>k4}r:0>5<>sW8m86P73:?0`0<5<0168;k5879>021=9<<018>::075?837<3;>;63::1?i5rs9094??|V;l87S6=;<1g0?43127?:i476:?733<6=?169=851448906228?<70=m5;362>;1;908o6s|8083><}Y:o80R5?4=2f0>72>34>=o769;<641?72>27><:4>579>150=9<=01>l<:075?804839i7p}70;29=~X5n81U4=523e0961?<5=43134?;47?:6:?642<6=>16?o?5144893572=30q~9i:18:[4a82T579>266=<11v:k50;;xZ7ca3W=n70=k0;07=>;3>003:63;738213=:=9k1=884=42:>430349jh7?:6:?575<3?2wx;i4?:8y]6`cn01>mi:36:?821032=70:81;362>;28k0:9;5251c9501<5:ki6<;9;<404?213ty59z\1aa=Y?j16?nk525;8910021<0199?:075?837k3;>:63:0c8212=:;h31=884=713>13X0j278oi4=489>030=0?168;h51448906d28?<70=m8;361>;1;90?86s|2c694?3|V;h?70=n6;07=>;20l0:95525g2950?<5:396<;9;|q1f7<72o<4=2c6>72>34?3i7?:6:?6b5<6=>16?5951448yv4e93:19vP=b09>7d2=:=30186k:07;?83bn3;>563<848213=z{;h;6=4:{_0a4>;4i:09845259f9500<52wx>lh50;7xZ7ga349j>7<;9:?6628?=7p}=ad83>0}Y:ho01>o>:36:?83?k3;>:63:ed8212=:;>l1=884}r0b`?6==rT9mi523`2961?<5<2i6<;7;<7f`?721278;i4>579~w7gd290>wS{t:hh1<7;t^3ca?85>m38?563:8`821==:=li1=874=25:>4313ty9ml4?:4y]6dg<5:3o6?:6;<7;e?72>27>in4>569>7=>=9579>266=;3=h0:9:5248:9500<5=3<6<;8;<7g`?72?2789:4>579>266=;3=00:9:5248;9500<5=336<;8;<7gg?721278984>579>266=;3=10:9:5248c9500<5=326<;8;<7gg?72?2789>4>579>266=70=82;07=>;3=>0:9:5248`9500<5=3j6<;8;<7gf?7212789<4>579>266==01v::50;;xZ7d>3W=?70=81;07=>;3=?0:9:5248a9500<5=3i6<;8;<7gf?72?2788k4>579>266==11v:=50;;xZ7d?3W=870=80;07=>;3=<0:9:5248f9500<5=3h6<;8;<7ge?7212788i4>579>266==>1v:<50;;xZ7d03W=970=9f;07=>;3==0:9:5248g9500<5=3o6<;8;<7ge?72?2788o4>579>266==?1v:?50;;xZ7d13W=:70=9e;07=>;3=:0:9:5248d9500<5=3n6<;8;<7g=?721278844>579>266==<1v:>50;:xZ7d23W=;70=9d;07=>;3=;0:9:5248d9501<5569>1f1=9<=018l;:07:?806j3;>563909821<=z{;i36=48{_0`<>;3i10984524659501<5569>25>=9<=0q~168l9525;8911128?<70;l6;363>;2j:0:945260c950?<5?:<6<;6;|q1g3<72>qU>n84=5c5>72>34><97?:7:?6g3<6=?169o=51458937f28?<708?7;363>{t:j?1<79t^3a6?82f=38?563;758212=:=j?1=894=4`1>43>34<:57?:9:?543<6=01v?m;:184[4d<27?m94=489>025=9<=018m::075?83e:3;>;639188212=:>9<1=894}r0`7?6=?rT9o>524`1961?<5==96<;8;<7`0?72?27>n<4>589>24>=9<301;>::07:?xu5k;0;6:uQ2b0891g52;>270:81;363>;2k=0:9;525c39501<5?;36<;8;<431?72?2wx>n?50;5xZ7e634>j=7<;9:?735<6=>169n=5145890d728?2708>7;36=>;18=0:945rs3a3>5<0sW8h<63;a1810<=:43134?i<7?:7:?552<6=>16:=:51458yv4?i3:1>vP=8`9>27g=:=30q~<78;296~X50116:?7525;8yv4??3:1>vP=869>27>=:=30q~<76;296~X50?16:?9525;8yv4?=3:1>vP=849>270=:=30q~<74;296~X50=16:?;525;8yv4?;3:1>vP=829>272=:=30q~<72;296~X50;16:?=525;8yv4?93:1>vP=809>274=:=30q~<70;296~X50916:??525;8yv4c=3:18vP=d49>050=:=301;>7:07;?85a:3;>:6s|2e194?2|V;n870:?5;07=>;1810:9;523d595007>54z\1`7=:<9>1>974=724>43?349n97?:6:p6a7=83>pR?j>;<637?43127=<:4>579>7`5=9<<0q~{t:jl1<7:t^3ae?827938?5639078213=:;ml1=884}r0`a?6=6<;7;<1g`?72>2wx>nj50;6xZ7ec349mj7<;9:?540<6=?16?il51448yv4dk3:18vP=cb9>7cc=:=301;>;:07;?85c13;>:6s|2b`94?2|V;ii70=id;07=>;18=0:9;523d:950355z\1a5=:<:<1>974=4`7>43?34<:n7?:8:?767<6=?1v?jj:186[4cm27??84=489>1g2=9<<01;?m:075?826?3;>:6s|2ef94?3|V;no70:<4;07=>;2j:0:955260c950><5=;>6<;9;|q1`f<72im4=510>72>34?i?7?:6:?55d<6=?168<=51448yv4cj3:19vP=dc9>064=:=3018l=:07;?80613;>463;108213=z{;nj6=4:{_0ge>;3;80984525c09500<5?;26<;9;<63b?72>2wx>i750;7xZ7b>34>8<7<;9:?6f4<6=116:<6514:8916c28?=7p}=d983>0}Y:m2019:639198213=:<9h1=884}r0g3?6==rT9h:5243g961?<5579~w7b1290>wS51448937028?=70:>8;361>{t9:n1<7{t9:k1<7{t9:21<7{t9:<1<7708<0;31<>{t9=<1<7>708<0;31b>{t9=>1<78708<0;311>{t9=81<7:708<0;317>{t9=:1<76s|12d94?4|V89m708<0;32a>{t9:o1<7{t:<21<7{t:{t:<91<738?56s|24094?4|V;?970;85;07=>{t:<;1<7{t:=l1<7n70;81;07=>{tl;0;6?uQd39>266=lm1vi>50;0xZa6<5?9;65j4}rae>5<5sWim708<0;:`?xudm3:1>vPle:?575::14l5rsba94?4|Vji01;=?:9;8yvee2909wSmm;<404?>?3tyhm7>52z\`e>;1;90j;6s|dc83>7}Ylk16:>>5a79~wag=838pRio4=713>ae7p}k8;296~Xc027=?=4n4:p`2<72;qUh:526229e6=z{m<1<7;|qg0?6=:rTo8639318:`>{tl:0;6?uQd29>266=1;1vn750;0xZf?<5?9;6594}r3a0?6=9:q6>4>52128916021<01>j8:948961021<01>o8:948962021<018mi:77890c52??018hn:778936c2??0186?:77890?42??018o9:77890d>2??019;l:778912>2??01996:77891012??01;=?:06;?xu1:m0;6?u263a92g=:>;n1>974}r41f?6=01>7j:7:896?c2;:?70=n1;4;?85>n3<370=n0;4;?85f<3<370=n2;4;?85f;3<370=n5;4;?85f>3<370=9d;4;?851m3<370=9f;4;?85083<370=81;4;?850:3<370=83;4;?850<3<370=85;4;?850>3<370;la;030>;3l;0=463;d085<>;3l90=463;cg85<>;3kl0=463;ce85<>;3kj0=463;cc85<>;3kh0=463;c885<>;29?0=463:1485<>;29=0=463:1285<>;29;0=463:1085<>;2990=463:0g85<>;28l0=463:0e85<>;2=:0=463:5385<>;2=80=463:5185<>;2;2;2;2>>0=463:6785<>;2><0=463:6585<>;2>:0=463:6385<>;2>80=463:6185<>;2=o0=463:5d85<>;2?h0=463:7885<>;2?10=463:7685<>;2??0=463:7485<>;2?=0=463:7285<>;2?;0=463:7085<>;1;;0:95526229630555y>27`=>=16:?k525;8914b2;:?70:=d;4;?82493<370:=f;4;?82483<370:<4;4;?824:3<370:<3;4;?824=3<370:<6;4;?85am3<370=id;030>;3880=463;3890=463;0585<>;38;0=463;0285<>;38<0=463;0785<>;4km0=463;4ko0=463;4l80=463;4l:0=463;4l<0=463;2n<09<9524`;92==:1:5524`192==:;31:55263:92==:>;=1:55263492==:>;?1:55263692==:>;91:55263092==:>;;1:552622963352z?56c<5<016:?k56c9~w1432909w0:=e;4a?82613;mh6s|43194?5|5=8o6;l4=524>73a34>:47?id:p071=838p19=>:7`8917d28lo7p};2483>7}:<;l1:o5240c95cb9:7>52z?775<1j27?=o4>fe9~w14f2909w0:<4;4a?826n3;mh6s|43:94?4|5=996;l4=53g>4`c3ty?>44?:3y>065=>k168v3;3485f>;3:90:ji5rs50`>5<5s4>8:78m;<615?7al2wx8=950;1x916028lo70:?8;46?82613<>7p};0883>6}:<921>8h4=53:>4gf34>;57?id:p05>=839p19>7:0dg?827i3<>70:>a;46?xu38k0;6>u241c960`<5=;j67p};0e83>6}:<9i1>8h4=53a>4gf34>;h7?id:p05e=839p19>l:0dg?827m3<>70:>c;46?xu38o0;6>u241g960`<5=;h60;46?826l3<>7p};1083>6}:<8:1>8h4=53g>4gf34>:=7?id:p046=839p19??:0dg?826:3<>70:>e;46?xu39:0;6>u2400960`<5=;n64;46?826n3<>7p};1483>6}:<8>1>8h4=53e>4gf34>:97?id:p042=839p19?;:0dg?826>3<>70:=0;46?xu39>0;6>u2404960`<5=8;67`?=9on0q~=i3;297~;4nm0=n63h::18185an3{t;o<1<73d<5:oi67``=9on0q~=i8;296~;38;0=n63::7`896`728lo7p}7}:<9<1:o523g395cb53z?0`2<6nm16?i65649>7`?=><1v>j6:18085c038>j63fe9>7ag=><16?ho5649~w6be2908w0=ka;06b>;4mh0:ml523e`95cb53z?0`d<6nm16?im5649>7`d=><1v>jk:18085ck38>j63fe9>7ac=><16?hm5649~w6ba2908w0=ke;06b>;4mj0:ml523ed95cb53z?0``<6nm16?h>5649>7`b=><1v>k>:18085b838>j63fe9>7`4=><16?hk5649~w6c42908w0=j2;06b>;4ml0:ml523d195cb7>53z?0a7<6nm16?h:5649>7``=><1v>k::18085b<38>j63fe9>7`0=><16?k>5649~w6c02908w0=j6;06b>;4n90:ml523d595cb52z?0a3<6nm16?k?5649~w6`52909w0=i1;3be>;4n;0:ji5rs2;7>5<5s492i78m;<1;=?7al2wx?4=50;1x96?c2?h01>98:37e?85?03;mh6s|38594?4|5:k:6;l4=2:`>4`c3ty8584?:3y>7<`=>k16?5o51gf8yv5>>3:1>v3;40k0:ji5rs2;b>5<5s49j878m;<1;b?7al2wx?4650;0x96g52?h01>6k:0dg?xu4100;6?u23`192g=:;1o1=kj4}r1:f?6=:r78m849b:?0=5<6nm1v>7l:18185f>3{t;>=1<7=t=254>4`c349<478:;<1;=?023ty8;44?:2y>72>=:66:0cb?85013;mh6s|36:94?5|5:=36f28kj70=8b;3e`>{t;>k1<7=t=25b>4`c34972e=:6m:0cb?850l3;mh6s|36a94?5|5:=h6d28kj70=8f;3e`>{t;>o1<7=t=25f>4`c3493<78:;<1;`?023ty84<4?:2y>7=6=:6k:0cb?85?93;mh6s|39294?5|5:2;6b28kj70=73;3e`>{t;181<7=t=2:1>4`c3493878:;<1;b?023ty8484?:2y>7=2=:6i:0cb?85?=3;mh6s|39694?5|5:2?6{t;1<1<74`c3492=78:;|q0=7<72;q6?4?51`c896?528lo7p}6}:;jn1:o523`5960`<5:h367g?=9on0q~=l5;296~;4ko0=n63j>:7`896dd28lo7p}7}:;m81:o523cf95cb52z?0`6<1j278nh4>fe9~w6ef2909w0=k4;4a?85en3;mh6s|3b`94?4|5:n>6;l4=2a3>4`c3ty8on4?:3y>7a0=>k16?n?51gf8yv5f?3:1?v3a`9>7d?=9on0q~=n8;297~;4i10:ji523`c920=:;kk1:85rs2ca>5<4s49jm7<:f:?0fd<6ih16?ll51gf8yv5fi3:1?v3a`9>7db=9on0q~=nc;297~;4ij0:ji523`g920=:;ki1:85rs2ce>5<4s49ji7<:f:?0ff<6ih16?lh51gf8yv5fm3:1?v3a`9>7g7=9on0q~=m0;297~;4j90:ji523c0920=:;ko1:85rs2`0>5<4s49i>7<:f:?0f`<6ih16?o=51gf8yv5e:3:1?v31:8523cd920=z{:h>6=4<{<1a0?42n278nk4>a`9>7g3=9on0q~=m4;297~;4j=0:ji523c4920=:;j:1:85rs2`4>5<4s49i:7<:f:?0g5<6ih16?o951gf8yv5e>3:1>v35<5s49h=7?na:?0g7<6nm1v>8<:180851l3;4=10:ji5rs247>5<5s49=i78m;<16=?7al2wx?;;50;0x960a2?h01>;n:0dg?xu4>?0;6?u236292g=:;87:181850:3{t;?31<73d<5:?n670`=9on0q~=9b;296~;4?<0=n63<6182ba=z{::8:0dg?85303<>70=:9;46?xu4<00;6>u235:960`<5:?267p}<4c83>6}:;=k1>8h4=27b>4gf349?n7?id:p71g=839p1>:n:0dg?853k3<>70=:b;46?xu4u235a960`<5:?i67p}<4g83>6}:;=o1>8h4=27`>4gf349?j7?id:p71c=839p1>:j:0dg?85283<>70=:d;46?xu4=80;6>u2342960`<5:?o650;1x963728lo70=:2;46?852m3<>7p}<5283>6}:;<81>8h4=27f>4gf349>?7?id:p704=839p1>;=:0dg?852<3<>70=:f;46?xu4=<0;6>u2346960`<5:?m67p}<5683>6}:;<<1>8h4=243>4gf349>;7?id:p700=838p1>;9:0dg?85193<>7p}<6383>7}:;?;1=lo4=241>4`c3ty>h94?:3y>1f`=:h=4=5g9>1ag=9on0q~;lc;296~;2l90:ji525e3920=z{ho4>fe9~w0ec2909w0;k1;3e`>;2l;0=96s|5e594?4|528?27p}:e683>7}:=l81>8h4=4g`>4`c3ty>hh4?:3y>1`4=9on018k<:778yv3b03:1>v3:e2811c=:=ln1=kj4}r7gb?6=:r7>i>4>fe9>1`2=><1v8k6:18183b<38>j63:ed82ba=z{i8495:p1`g=838p18k::37e?83bn3;mh6s|5d394?4|56io4?:3y>1`0=:1f?=9on0q~;if;296~;2nh099k5261695cb52z?6bd<6nm169kl5649~w3672909w0;ib;06b>;18<0:ji5rs4d4>5<5s4?mn7?id:?6bf<1=2wx:=?50;0x90`d2;?m708?6;3e`>{t=o21<74`c34?mh78:;|q547<72;q69kj524d8936028lo7p}:f883>7}:=on1=kj4=4df>3352z?6b`<5=o16:=651gf8yv06k3:1>v3:fd82ba=:=o>1=874}r426?6=:r7=241=9on0q~8?9;296~;18m0:ji5261g920=z{?;86=4={<43a?42n27==54>fe9~w36f2909w08?e;3e`>;18o0=96s|60694?4|5?:m6?;i;<42=?7al2wx:=l50;0x936a28lo708>0;46?xu19<0;6?u2602960`<5?;j651gf893762??0q~8>6;296~;198099k5260`95cb52z?554<6nm169k:514:8yv3a;3:1>v3:f485f>;2n=0:ji5rs4:6>5<5s4?3<7<:f:?6{t=1<1<773a34?3n7?id:p12b=838p186>:0dg?83?:3<>7p}:8683>7}:=181>8h4=4:`>4`c3ty>;h4?:3y>1=4=9on0186<:778yv3?03:1>v3:82811c=:=1n1=kj4}r74b?6=:r7>4>4>fe9>1=2=><1v866:18183?<38>j63:8d82ba=z{<336=4={<7:7?42n27>5i4>fe9~w0>a2909w0;63;3e`>;21=0=96s|58;94?4|5<3?6?;i;<7:a?7al2wx94>50;0x90?328lo70;65;46?xu21h0;6?u2587960`<5<3m67>52z?6=3<6nm169495649~w0?d2909w0;67;06b>;2i80:ji5rs4ca>5<5s4?j:7<:f:?6f5<6nm1v8o=:18183f>3;mh63:a6851>{t=hi1<773a34?i=7?id:p1d5=838p18o8:0dg?83f03<>7p}:ae83>7}:=h21>8h4=4`1>4`c3ty>m94?:3y>1d>=9on018o6:778yv3fm3:1>v3:a8811c=:=k91=kj4}r7b1?6=:r7>m44>fe9>1dg=><1v8oi:18183fi38>j63:b582ba=z{o>4>fe9~w0d22909w0;m9;3e`>;2jh0=96s|5cd94?4|5652z?6ff<6nm169oj5649~w0e52909w0;md;06b>;2k>0:ji5rs5f`>5<2s4>j578m;<6f2?43127?mo4>569>0db=9<<019oi:07:?xu3ih0;6?u24`:92g=:3;3j80:9:524c1950><5=h>6<;6;<6ae?72127?nn4>589>0gc=9<30q~:m0;296~;3i<0=n63;b082ba=z{=h96=4={<6b0?0e34>i?7?id:p0g2=838p19o<:7`891d228lo7p};b783>7}:in7>52z?7e4<1j27?nn4>fe9~w1dc2909w0:n0;4a?82em3;mh6s|4g294?4|5=o=6;l4=5db>72>3ty?ik4?:3y>0`3=>k168k7525;8yv2cj3:18v3;e4810<=:43034>jj7?:8:p0`c=838p19k;:7`891`?2;>27p};d`83>6}:1>974=5cg>43?34>jj7?:7:p0`b=838p19k<:7`891`02;>27p};d883>7}:974=5ce>4313ty?in4?:3y>0`4=>k168k8525;8yv2c03:1;v3;e3810<=:43034>i97?:8:?7fd<6=>168om5145891db28?<7p};ec83>7}:o;7>56z?7a4<5<0168o=5144891d228?<70:ma;362>;3jj0:9;524cg9500nm7>52z?7a5<1j27?j94=489~w1b1290>w0:j0;07=>;3j<0:9;524c59500<5=h36<;9;<6a=?72>2wx8h750;0x91ba2?h019h<:36:?xu3l<0;69u24ed961?<5=h<6<;8;<6a569~w1c?2909w0:ke;4a?82a:38?56s|4e694?5|5=nn6?:6;<6a599~w1c02909w0:kd;4a?82a938?56s|4e194?4|5=no6?:6;<6a=?7212wx8n650;0x91`f2?h019j=:36:?xu3k>0;6?u24g;92g=:974}r6`2?6=:r7?j549b:?7`5<5<01v9m::18182a?3{t1<73d<5=in6?:6;|q7g6<72;q68k;56c9>0fb=:=30q~:l2;296~;3n=0=n63;cb810<=z{=i:6=4={<6e7?0e34>hn7<;9:p0f6=838p19h=:7`891ef2;>27p};bg83>7}:mn7>52z?7`4<1j27?5:4>fe9~w1`d2909w0:k0;4a?82>03;mh6s|4gf94?4|5=im6;l4=5;:>4`c3ty?jh4?:3y>0fc=>k1684o51gf8yv2an3:1>v3;ce85f>;31k0:ji5rs423>5<5s4>ho78m;<6:g?7al2wx9=?50;0x91ee2?h0197k:0dg?xu28;0;6?u24bc92g=:<0o1=kj4}r737?6=:r7?o449b:?7=c<6nm1v8:6:186836>3;2910:9:5250c9500<5<;h6<;6;|q652<72;q69<;56c9>14>=9on0q~;>9;296~;29=0=n63:1`82ba=z{<;i6=47{<727?0e34?:o7?id:?65`<6=>169?>514:8904528?270;=7;36=>;2:00:945253`950?52z?657<1j27>=h4>fe9~w07a2909w0;>1;4a?83583;mh6s|53394?4|5<;;6;l4=401>4`c3ty>>>4?:3y>15`=>k169?951gf8yv3503:1>v3:0d85f>;2:00:ji5rs40b>5<5s4?;h78m;<71f?7al2wx98j50;0x90342?h01888:36:?xu2=j0;6?u254092g=:=?<1>974}r779?4=489>14>=9<<018?n:074?836k3;>46s|54`94?4|572>3ty>8:4?:2y>107=:=3018?n:07;?836k3;>;6s|54c94?4|572>3ty>8;4?:3y>106=:=3018?l:075?xu2=00;6?u255d92g=:=?91>974}r771?6=?r7>8k4=489>14c=9<<018463:268212=:=;31=894=40a>4303ty>954?:3y>11c=>k169;<525;8yv33<3:1:v3:4d810<=:=;:1=884=401>43034?9;7?:6:?66<<6=?169?l51448yv32?3:1>v3:4e85f>;2>809845rs460>5<2s4??h7<;9:?667<6=?169?:51448904228?=70;=6;362>{t=<<1<73d<5<<;6?:6;|q607<72=q699m525;8904328?<70;=5;363>;2:?0:9:5rs476>5<5s4??n78m;<76b?4312wx99?50;1x902e2;>270;=5;36<>;2:?0:955rs477>5<5s4??m78m;<76a?4312wx99>50;0x902f2;>270;=6;36=>{t=:?1<73d<5<9m6?:6;|q671<72;q69;856c9>16c=:=30q~;<3;296~;2><0=n63:3e810<=z{<996=4={<750?0e34?8o7<;9:p167=838p188<:7`8905e2;>27p}:3183>7}:=?81:o5252c961?52z?624<1j27>?44=489~w04b2909w0;90;4a?834038?56s|53f94?4|572>3ty>>n4?:3y>10c=>k169>8525;8yv3103:1>v3:3d85f>;28=0:ji5rs44:>5<5s4?8h78m;<731?7al2wx9;o50;0x905d2?h018>9:0dg?xu2>k0;6?u252`92g=:=9=1=kj4}r75g?6=:r7>?l49b:?64=<6nm1v88k:18183413{t=?o1<73d<5<:j6956c9>15d=9on0q~;80;296~;2;?0=n63:0b82ba=z{=?:6=4={<651?0234>>=7?id:p0<4=838p198::0cb?822j3;mh6s|42c94?4|5=<>6032=><1688?5649>006=9on0q~:61;297~;3>=0:ml52443960`<5=?j62?h0q~:;f;297~;3>:0=963;51851>;35<4s4>=?7?na:?715<5=o1688751gf8yv2403:1>v3;6282ba=:=>21:o5rs56f>5<4s4>=>78:;<67b?0234>?i7?id:p0=`=839p198=:0cb?823n38>j63;5982ba=z{=9<6=4={<656?7al27>;:49b:p01b=839p198>:778912b2??019:k:0dg?xu30l0;6>u247395dg<5=>n6?;i;<663?7al2wx8>k50;0x910628lo70;86;4a?xu3u2472920=:<=n1:85245a95cb3h7>53z?725<6ih1689j524d8913128lo7p};3e83>7}:3d?n7>53z?71c<1=27?8n495:?70g<6nm1v96l:180822n3;jm63;4b811c=:<fe9>122=>k1v9:n:180822m3<>70:;b;46?823i3;mh6s|49`94?5|5=?n6fe9~w15e2909w0::e;3e`>;2?:0=n6s|45;94?5|5=?o6;;4=56b>33<5=>26{t<:l1<74`c34?<>78m;|q7<<<72:q688m51`c8912>2;?m70::2;3e`>{t>8o1<74`c34?<=78m;|q72`<72;q685<5649>03c=9on0q~:78;296~;30;0:ml5246:95cb??7>52z?7<7<6nm16:?o56c9~w10c2908w0:71;46?821m3<>70:9d;3e`>{t<1=1<7=t=5:2>4gf34>=i7<:f:?732<6nm1v9:=:18182?93;mh6392885f>{t33<5=4`c3ty?4;4?:2y>0=6=9hk0198k:37e?820>3;mh6s|45394?4|5=2;602`=><168;m5649>03d=9on0q~:75;297~;3?o0:ml5247a960`<5==>6;3>h0:ji5rs5:7>5<4s4>v3;7d82ba=:>;<1:o5rs54:>5<4s4>=57?id:p0=5=839p199k:0cb?821i38>j63;7282ba=z{=>=6=4={<64`?7al27=>849b:p03>=839p199l:778910>2??01987:0dg?xu31?0;6>u246a95dg<5=<26?;i;<646?7al2wx89;50;0x911d28lo708=4;4a?xu3>>0;6>u246`920=:297>53z?73g<6ih168;6524d8911628lo7p};4583>7}:<>h1=kj4=700>3d=:7>53z?73d<1=27?::495:?723<6nm1v97;:180820i3;jm63;66811c=:<>:1=kj4}r67fe9>274=>k1v97<:18082013;jm63;67811c=:fe9>277=>k1v;=>:181804:3;mh63931820<=z{8l:6=4={<6a3?7al27?nl4>599~w4`42909w0:m8;3e`>;3jj0:955rs0d6>5<5s4>i57?id:?7f`<6=11v>44>599~w4`>2909w0;=6;3e`>;2:k0:955r}c0:4?6=93:1l74^7ag?[4f>2T9m85Q2`68Z7g43W8j>6P=a09]6d6;5>1099h5rs7f0>5<5sW96=4={_176>;5>10:8:5rs7gb>5<5sW;5>109:45rs3;4>5<5sW82;63=6986e>{t>k>1<7{t>m81<7>70<98;365>{t>:>1<7{t>>>1<7{t>l=1<7{t>l?1<7{t>l91<7{t>l;1<76s|6d294?4|V?n=70<98;0;5>{t>ml1<770<98;30`>{t;:?1<7{t;:91<7{t;:;1<7{t;;l1<7{t;:l1<7{t;:n1<7{t;:h1<7{t;:31<7{t;;o1<7{t>j=1<7:6s|6b494?4|V?hh70<98;061>{t>j?1<786s|6b694?4|V?hj70<98;067>{t>j91<7>6s|6b094?4|V?h370<98;065>{t>j;1<7<6s|6b294?4|V?h=70<98;07b>{t>kl1<7{t>{t>m70<98;022>{t><21<7o70<98;020>{t><<1<7i70<98;026>{t><>1<7;65cg9~w66b2909wSkl4=34;>fe52z\1bd=::?21oo5rs22b>5<5sW8m563=698`e>{t;8h1<77}Y;9=01?87:ec8yv5613:1>vP<079>63>=l01v>?7:181[57=279:54k8:p741=838pR>>;;<05;5>10o86s|30194?4|V;l370<98;f0?xu4800;6?uQ2g58970?2j30q~8m3;296~X1i116>;6525:8yv0e93:1>vP9a69>63>=:=<0q~8m0;296~X1i?16>;652578yv0fn3:1>vP9a49>63>=:=>0q~8ne;296~X1i=16>;652518yv0fl3:1>vP9a29>63>=:=80q~8nc;296~X1i;16>;652538yv0fj3:1>vP9a09>63>=:=:0q~8na;296~X1i916>;6522d8yv0f13:1>vP99g9>63>=::o0q~86e;296~X11:16>;6522f8yv0>k3:1>vP9939>63>=::h0q~86b;296~X11816>;6522c8yv0>i3:1>vP9919>63>=::30q~869;296~X10o16>;6522:8yv0>03:1>vP98d9>63>=::=0q~867;296~X10m16>;652248yv0>>3:1>vP98b9>63>=::?0q~865;296~X10k16>;652268yv0><3:1>vP98`9>63>=::90q~879;296~X1?l16>;652208yv0??3:1>vP97e9>63>=:::0q~876;296~X1?j16>;6523d8yv0?=3:1>vP97c9>63>=:;o0q~874;296~X1?h16>;6523f8yv0?;3:1>vP9789>63>=:;i0q~872;296~X1?116>;6523`8yv0?93:1>vP9769>63>=:;k0q~870;296~X1??16>;6523;8yv00n3:1>vP9749>63>=:;20q~883;296~X1>116>;652348yv0093:1>vP9669>63>=:;>0q~880;296~X1>?16>;652318yv01n3:1>vP9649>63>=:;80q~89e;296~X1>=16>;652338yv01l3:1>vP9629>63>=:;:0q~89c;296~X1>;16>;6520d8yv01j3:1>vP9609>63>=:8o0q~89a;296~X1>916>;6520f8yv0113:1>vP95g9>63>=:8i0q~8;9;296~X1;l16>;652028yv03?3:1>vP93e9>63>=:9o0q~8;6;296~X1;j16>;6521f8yv03=3:1>vP93c9>63>=:9i0q~8;4;296~X1;h16>;6521`8yv03;3:1>vP9389>63>=:9k0q~8;2;296~X1;116>;6521;8yv0393:1>vP9369>63>=:920q~8;0;296~X1;?16>;652158yv04n3:1>vP9349>63>=:9<0q~?j7;295~;51909<=5r}r126?6=:rT8<=525e8045=#:?k1=hl4}r124?6=:rT9jk525e81bc=#:?k1=hm4}r13b?6=:rT9jh525e81b`=#:?k1=hj4}r13a?6=:rT9ji525e81ba=#:?k1=hk4}r13`?6=:rT9jn525e81bf=#:?k1=k84}r13g?6=:rT9jo525e81bg=#:?k1=o;4}r13f?6=:rT9jl525e81bd=#:?k1=oj4}r13e?6=:rT9j4525e81b<=#:?k1=n64}r12f?6=:rT8<5525e804==#:?k1=nh4}r12e?6=:rT8<:525e8042=#:?k1=i64}r12=?6=:rT8<;525e8043=#:?k1=h<4}r12525e8046=#:?k1=h;4}r121?6=:rT84}r4`g?6=:rT=on525e85gf=#:?k1=k<4}r4g0?6=:rT=h9525e85`1=#:?k1=k:4}r4fe?6=:rT=il525e85ad=#:?k1=k;4}r103?6=:rT8>8525e8060=#:?k1=o84}r101?6=:rT8>9525e8061=#:?k1=o94}r100?6=:rT8>>525e8066=#:?k1=o64}r107?6=:rT8>?525e8067=#:?k1=o74}r106?6=:rT8><525e8064=#:?k1=oo4}r105?6=:rT8>=525e8065=#:?k1=ol4}r104?6=:rT8=k525e805c=#:?k1=om4}r11b?6=:rT8=h525e805`=#:?k1=ok4}r174?6=:rT8>i525e806a=#:?k1=oh4}r10b?6=:rT8>n525e806f=#:?k1=n>4}r10a?6=:rT8>o525e806g=#:?k1=n?4}r10`?6=:rT8>l525e806d=#:?k1=n<4}r10g?6=:rT8>4525e806<=#:?k1=n=4}r10f?6=:rT8>5525e806==#:?k1=n:4}r10e?6=:rT8>:525e8062=#:?k1=n;4}r10=?6=:rT8>;525e8063=#:?k1=n84}r104}r4`0?6=:rT=nl525e85fd=#:?k1=i?4}r4`7?6=:rT=n4525e85f<=#:?k1=i<4}r4`6?6=:rT=n5525e85f==#:?k1=i=4}r4`5?6=:rT=n:525e85f2=#:?k1=i:4}r4`4?6=:rT=n;525e85f3=#:?k1=i;4}r4ab?6=:rT=n8525e85f0=#:?k1=i84}r4f=?6=:rT=hh525e85``=#:?k1=i94}r4f3?6=:rT=hi525e85`a=#:?k1=i74}r4f2?6=:rT=hn525e85`f=#:?k1=io4}r4f1?6=:rT=ho525e85`g=#:?k1=il4}r4f0?6=:rT=hl525e85`d=#:?k1=im4}r4f7?6=:rT=h4525e85`<=#:?k1=ij4}r4f6?6=:rT=h5525e85`==#:?k1=ik4}r4f5?6=:rT=h:525e85`2=#:?k1=ih4}r4f4?6=:rT=h;525e85`3=#:?k1=h>4}r4gb?6=:rT=h8525e85`0=#:?k1=h?4}|lf43<72:qC>;l4}og33?6=;rB9:o5rnd2;>5<4sA8=n6sae1;94?5|@;6}O:?h0qck?b;297~N5>k1vbh>l:180M41j2wei=j50;1xL70e3tdn53zJ12g=zfl;;6=4<{I05f>{im8;1<7=tH34a?xhb9;0;6>uG27`8ykc6;3:1?vF=6c9~j`732908wE<9b:ma43=839pD?8m;|lf53<72:qC>;l4}og23?6=;rB9:o5rnd3;>5<4sA8=n6sae0;94?5|@;6}O:?h0qck>b;297~N5>k1vbh?l:180M41j2wei53zJ12g=zfl8;6=4<{I05f>{im;;1<7=tH34a?xhb:;0;6>uG27`8ykc5;3:1?vF=6c9~j`432908wE<9b:ma73=839pD?8m;|lf63<72:qC>;l4}og13?6=;rB9:o5rnd0;>5<4sA8=n6sae3;94?5|@;6}O:?h0qck=b;297~N5>k1vbhh4?:2yK63d53zJ12g=zfl9;6=4<{I05f>{im:;1<7=tH34a?xhb;;0;6>uG27`8ykc4;3:1?vF=6c9~j`532908wE<9b:ma63=839pD?8m;|lf73<72:qC>;l4}og03?6=;rB9:o5rnd1;>5<4sA8=n6sae2;94?5|@;6}O:?h0qckk1vbh=l:180M41j2wei>j50;1xL70e3tdn?h4?:2yK63d53zJ12g=zfl>;6=4<{I05f>{im=;1<7=tH34a?xhb<;0;6>uG27`8ykc3;3:1?vF=6c9~j`232908wE<9b:m`=4=83;pD?8m;|lg<<<720qC>;l4}ofa3?6=9rB9:o5rne`;>5<6sA8=n6sadc;94?7|@;4}O:?h0qcjmb;295~N5>k1vbill:182M41j2wehoj50;3xL70e3tdonh4?:0yK63d51zJ12g=zfmi;6=4>{I05f>{ilm91<7?tH34a?xhcl=0;6;l4}ofg=?6=9rB9:o5rnefb>5<6sA8=n6sade`94?7|@;4}O:?h0qcjkd;295~N5>k1vbijj:182M41j2wehih50;3xL70e3tdoi=4?:0yK63d51zJ12g=zfmo96=4>{I05f>{ill91<7?tH34a?xhcm=0;6;l4}off=?6=9rB9:o5rnegb>5<6sA8=n6sadd`94?7|@;4}O:?h0qcjjd;295~N5>k1vbikj:182M41j2wehhh50;3xL70e3tdoj=4?:0yK63d51zJ12g=zfml96=4>{I05f>{ilo91<7?tH34a?xhcn=0;6;l4}ofe=?6=9rB9:o5rnedb>5<6sA8=n6sadg`94?7|@;4}O:?h0qcjid;295~N5>k1vbihj:182M41j2wehkh50;3xL70e3tdn<=4?:0yK63d51zJ12g=zfl:96=4>{I05f>{im991<7?tH34a?xhb8=0;6;l4}o`;7?6=9rB9:o5rnc:7>5<6sA8=n6sab9794?7|@;4}O:?h0qcl77;295~N5>k1vbo67:182M41j2wen5750;3xL70e3tdi4l4?:0yK63d51zJ12g=zfk2h6=4>{I05f>{ij1n1<7?tH34a?xhe0l0;6;l4}o`:7?6=9rB9:o5rnc;7>5<6sA8=n6sab8794?7|@;4}O:?h0qcl67;295~N5>k1vbo77:182M41j2wen4750;3xL70e3tdi5l4?:0yK63d51zJ12g=zfk3h6=4>{I05f>{ij0n1<7?tH34a?xhe1l0;6n3:1=vF=6c9~jgg7290:wE<9b:mfd7=83;pD?8m;|lae7<728qC>;l4}o`b7?6=9rB9:o5rncc7>5<6sA8=n6sab`794?7|@;4}O:?h0qcln7;295~N5>k1vboo7:182M41j2wenl750;3xL70e3tdiml4?:0yK63d51zJ12g=zfkkh6=4>{I05f>{ijhn1<7?tH34a?xheil0;6;l4}o`a`?6=9rB9:o5rnc`f>5<6sA8=n6sabcd94?7|@;4}O:?h0qcll1;295~N5>k1vbom=:182M41j2wenn=50;3xL70e3tdio94?:0yK63d51zJ12g=zfki=6=4>{I05f>{ijj=1<7?tH34a?xhek10;6;l4}o```?6=9rB9:o5rncaf>5<6sA8=n6sabbd94?7|@;4}O:?h0qclif;295~N5>k1vbn>?:182M41j2weo=?50;3xL70e3tdh51zJ12g=zfj:?6=4>{I05f>{ik9?1<7?tH34a?xhd8?0;6;l4}oa3f?6=9rB9:o5rnb2`>5<6sA8=n6sac1f94?7|@;4}O:?h0qcm?f;295~N5>k1vbn??:182M41j2weo51zJ12g=zfj;?6=4>{I05f>{ik8?1<7?tH34a?xhd9?0;6;l4}oa2f?6=9rB9:o5rnb3`>5<6sA8=n6sac0f94?7|@;4}O:?h0qcm>f;295~N5>k1vbn?4?:0yK63d51zJ12g=zfj8?6=4>{I05f>{ik;?1<7?tH34a?xhd:?0;6;l4}oa1f?6=9rB9:o5rnb0`>5<6sA8=n6sac3f94?7|@;4}O:?h0qcm=f;295~N5>k1vbn=?:182M41j2weo>?50;3xL70e3tdh??4?:0yK63d51zJ12g=zfj9?6=4>{I05f>{ik:?1<7?tH34a?xhd;?0;6;l4}oa0f?6=9rB9:o5rnb1`>5<6sA8=n6sac2f94?7|@;4}O:?h0qcmk1vbn:?:182M41j2weo9?50;3xL70e3tdh8?4?:0yK63d51zJ12g=zfj>?6=4>{I05f>{ik=?1<7?tH34a?xhd;l4}oa7f?6=9rB9:o5rnb6`>5<6sA8=n6sac5f94?7|@;4}O:?h0qcm;f;295~N5>k1vbn;?:182M41j2weo8?50;3xL70e3tdh9?4?:0yK63d?7>51zJ12g=zfj??6=4>{I05f>{ik;l4}oa6f?6=9rB9:o5rnb7`>5<6sA8=n6sac4f94?7|@;4}O:?h0qcm:f;295~N5>k1vbn8?:182M41j2weo;?50;3xL70e3tdh:?4?:0yK63d51zJ12g=zfj{I05f>{ik??1<7?tH34a?xhd>?0;6;l4}oa5f?6=9rB9:o5rnb4`>5<6sA8=n6sac7f94?7|@;4}O:?h0qcm9f;295~N5>k1vbn9?:182M41j2weo:?50;3xL70e3tdh;?4?:0yK63d51zJ12g=zfj=?6=4>{I05f>{ik>?1<7?tH34a?xhd??0;6;l4}oa4f?6=9rB9:o5rnb5`>5<6sA8=n6sac6f94?7|@;4}O:?h0qcm8f;295~N5>k1vbn6?:182M41j2weo5?50;3xL70e3tdh4?4?:0yK63d51zJ12g=zfj2?6=4>{I05f>{ik1?1<7?tH34a?xhd0?0;6?290:wE<9b:mg=?=83;pD?8m;|l`;l4}oa;f?6=9rB9:o5rnb:`>5<6sA8=n6sac9f94?7|@;4}O:?h0qcm7f;295~N5>k1vbn7?:182M41j2weo4?50;3xL70e3tdh5?4?:0yK63d51zJ12g=zfj3?6=4>{I05f>{ik0?1<7?tH34a?xhd1?0;6?3:1=vF=6c9~jf??290:wE<9b:mg;l4}oa:f?6=9rB9:o5rnb;`>5<6sA8=n6sac8f94?7|@;4}O:?h0qcm6f;295~N5>k1vbno?:182M41j2weol?50;3xL70e3tdhm?4?:0yK63d51zJ12g=zfjk?6=4>{I05f>{ikh?1<7?tH34a?xhdi?0;6;l4}oabf?6=9rB9:o5rnbc`>5<6sA8=n6sac`f94?7|@;4}O:?h0qcmnf;295~N5>k1vbnl?:182M41j2weoo?50;3xL70e3tdhn?4?:0yK63d51zJ12g=zfjh?6=4>{I05f>{ikk?1<7?tH34a?xhdj?0;6;l4}oaaf?6=9rB9:o5rnb``>5<6sA8=n6saccf94?7|@;4}O:?h0qcmmf;295~N5>k1vbnm?:182M41j2weon?50;3xL70e3tdho?4?:0yK63d51zJ12g=zfji?6=4>{I05f>{ikj?1<7?tH34a?xhdk?0;6;l4}oa`f?6=9rB9:o5rnba`>5<6sA8=n6sacbf94?7|@;4}O:?h0qcmlf;295~N5>k1vbnj?:182M41j2weoi?50;3xL70e3tdhh?4?:0yK63d51zJ12g=zfjn?6=4>{I05f>{ikm?1<7?tH34a?xhdl?0;6;l4}oagf?6=9rB9:o5rnbf`>5<6sA8=n6sacef94?7|@;4}O:?h0qcmkf;295~N5>k1vbnk?:182M41j2weoh?50;3xL70e3tdhi?4?:0yK63d51zJ12g=zfjo?6=4>{I05f>{ikl?1<7?tH34a?xhdm?0;6;l4}oaff?6=9rB9:o5rnbg`>5<6sA8=n6sacdf94?7|@;4}O:?h0qcmjf;295~N5>k1vbnh?:182M41j2weok?50;3xL70e3tdhj?4?:0yK63d51zJ12g=zfjl?6=4>{I05f>{iko?1<7?tH34a?xhdn?0;6;l4}oaef?6=9rB9:o5rnbd`>5<6sA8=n6sacgf94?7|@;4}O:?h0qcmif;295~N5>k1vbi>?:182M41j2weh=?50;3xL70e3tdo51zJ12g=zfm:?6=4>{I05f>{il9?1<7?tH34a?xhc8?0;6;l4}of3f?6=9rB9:o5rne2`>5<6sA8=n6sad1f94?7|@;4}O:?h0qcj?f;295~N5>k1vbi??:182M41j2weh51zJ12g=zfm;?6=4>{I05f>{il8?1<7?tH34a?xhc9?0;6;l4}of2f?6=9rB9:o5rne3`>5<6sA8=n6sad0f94?7|@;4}O:?h0qcj>f;295~N5>k1vbi?4?:0yK63d51zJ12g=zfm8?6=4>{I05f>{il;?1<7?tH34a?xhc:?0;6;l4}of1f?6=9rB9:o5rne0`>5<6sA8=n6sad3f94?7|@;4}O:?h0qcj=f;295~N5>k1vbi=?:182M41j2weh>?50;3xL70e3tdo??4?:0yK63d51zJ12g=zfm9?6=4>{I05f>{il:?1<7?tH34a?xhc;?0;6;l4}of0f?6=9rB9:o5rne1`>5<6sA8=n6sad2f94?7|@;4}O:?h0qcjk1vbi:?:182M41j2weh9?50;3xL70e3tdo8?4?:0yK63d51zJ12g=zfm>?6=4>{I05f>{il=?1<7?tH34a?xhc;l4}of7f?6=9rB9:o5rne6`>5<6sA8=n6sad5f94?7|@;4}O:?h0qcj;f;295~N5>k1vbi;?:182M41j2weh8?50;3xL70e3tdo9?4?:0yK63d?7>51zJ12g=zfm??6=4>{I05f>{il;l4}of6f?6=9rB9:o5rne7`>5<6sA8=n6sad4f94?7|@;4}O:?h0qcj:f;295~N5>k1vbi8?:182M41j2weh;?50;3xL70e3tdo:?4?:0yK63d51zJ12g=zfm{I05f>{il??1<7?tH34a?xhc>?0;6;l4}of5f?6=9rB9:o5rne4`>5<6sA8=n6sad7f94?7|@;4}O:?h0qcj9f;295~N5>k1vbi9?:182M41j2weh:?50;3xL70e3tdo;?4?:0yK63d51zJ12g=zfm=?6=4>{I05f>{il>?1<7?tH34a?xhc??0;6;l4}of4f?6=9rB9:o5rne5`>5<6sA8=n6sad6f94?7|@;4}O:?h0qck?5;295~N5>k1vqpsO@By24=d=;0>25;;r@A@x4xFGXrwKL \ No newline at end of file diff --git a/optical_link/trbv2_tlk_api_fifo.vhd b/optical_link/trbv2_tlk_api_fifo.vhd new file mode 100644 index 0000000..5faa056 --- /dev/null +++ b/optical_link/trbv2_tlk_api_fifo.vhd @@ -0,0 +1,150 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file trbv2_tlk_api_fifo.vhd when simulating +-- the core, trbv2_tlk_api_fifo. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY trbv2_tlk_api_fifo IS + port ( + din: IN std_logic_VECTOR(17 downto 0); + rd_clk: IN std_logic; + rd_en: IN std_logic; + rst: IN std_logic; + wr_clk: IN std_logic; + wr_en: IN std_logic; + dout: OUT std_logic_VECTOR(17 downto 0); + empty: OUT std_logic; + full: OUT std_logic; + rd_data_count: OUT std_logic_VECTOR(9 downto 0); + wr_data_count: OUT std_logic_VECTOR(9 downto 0)); +END trbv2_tlk_api_fifo; + +ARCHITECTURE trbv2_tlk_api_fifo_a OF trbv2_tlk_api_fifo IS +-- synthesis translate_off +component wrapped_trbv2_tlk_api_fifo + port ( + din: IN std_logic_VECTOR(17 downto 0); + rd_clk: IN std_logic; + rd_en: IN std_logic; + rst: IN std_logic; + wr_clk: IN std_logic; + wr_en: IN std_logic; + dout: OUT std_logic_VECTOR(17 downto 0); + empty: OUT std_logic; + full: OUT std_logic; + rd_data_count: OUT std_logic_VECTOR(9 downto 0); + wr_data_count: OUT std_logic_VECTOR(9 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_trbv2_tlk_api_fifo use entity XilinxCoreLib.fifo_generator_v3_3(behavioral) + generic map( + c_rd_freq => 100, + c_wr_response_latency => 1, + c_has_srst => 0, + c_has_rd_data_count => 1, + c_din_width => 18, + c_has_wr_data_count => 1, + c_implementation_type => 2, + c_family => "virtex4", + c_has_wr_rst => 0, + c_wr_freq => 100, + c_underflow_low => 0, + c_has_meminit_file => 0, + c_has_overflow => 0, + c_preload_latency => 1, + c_dout_width => 18, + c_rd_depth => 1024, + c_default_value => "BlankString", + c_mif_file_name => "BlankString", + c_has_underflow => 0, + c_has_rd_rst => 0, + c_has_almost_full => 0, + c_has_rst => 1, + c_data_count_width => 10, + c_has_wr_ack => 0, + c_use_ecc => 0, + c_wr_ack_low => 0, + c_common_clock => 0, + c_rd_pntr_width => 10, + c_has_almost_empty => 0, + c_rd_data_count_width => 10, + c_enable_rlocs => 0, + c_wr_pntr_width => 10, + c_overflow_low => 0, + c_prog_empty_type => 0, + c_optimization_mode => 0, + c_wr_data_count_width => 10, + c_preload_regs => 0, + c_dout_rst_val => "0", + c_has_data_count => 0, + c_prog_full_thresh_negate_val => 1021, + c_wr_depth => 1024, + c_prog_empty_thresh_negate_val => 3, + c_prog_empty_thresh_assert_val => 2, + c_has_valid => 0, + c_init_wr_pntr_val => 0, + c_prog_full_thresh_assert_val => 1022, + c_use_fifo16_flags => 0, + c_has_backup => 0, + c_valid_low => 0, + c_prim_fifo_type => "1kx18", + c_count_type => 0, + c_prog_full_type => 0, + c_memory_type => 1); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_trbv2_tlk_api_fifo + port map ( + din => din, + rd_clk => rd_clk, + rd_en => rd_en, + rst => rst, + wr_clk => wr_clk, + wr_en => wr_en, + dout => dout, + empty => empty, + full => full, + rd_data_count => rd_data_count, + wr_data_count => wr_data_count); +-- synthesis translate_on + +END trbv2_tlk_api_fifo_a; + diff --git a/special/sram_is61.vhd b/special/sram_is61.vhd new file mode 100644 index 0000000..e9a3018 --- /dev/null +++ b/special/sram_is61.vhd @@ -0,0 +1,169 @@ +LIBRARY ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.trb_net_std.all; + +entity sram_is61 is + port( + CLK : in std_logic; + RESET : in std_logic; + CLK_EN : in std_logic; + + RAM_CLK : out std_logic; + RAM_DATA : inout std_logic_vector(17 downto 0); + RAM_ADDR : out std_logic_vector(19 downto 0); + RAM_ADVN : out std_logic; + RAM_ADSCN : out std_logic; + RAM_ADSPN : out std_logic; + RAM_GWN : out std_logic; + RAM_CEN : out std_logic; + RAM_OEN : out std_logic; + + INT_DATA_IN : in std_logic_vector(17 downto 0); + INT_ADDR_IN : in std_logic_vector(19 downto 0); + INT_DATA_OUT : out std_logic_vector(17 downto 0); + INT_WRITE_EN : in std_logic; + INT_BURST_WRITE_EN : in std_logic; + INT_READ_EN : in std_logic; + INT_BURST_READ_EN : in std_logic; + INT_BUSY_OUT : out std_logic; + INT_VALID_OUT : out std_logic; + + STAT_DEBUG : out std_logic_vector(31 downto 0); + ); +end entity; + +-- write_en is always followed by two or more clock cycles busy time +-- up to four burst_write might come in subsequent clock cycles, then several cycles busy followe +-- if burst_write goes low after the first, 2nd or 3rd clock cycle, the burst cycle is finished. +-- start of a burst cycle is always with lower two address bits = 0. +-- address is read only once during burst cycle + +-- pulse on read_en will give one data word from ram, thus one valid +-- pulse on burst_read_en will read four words from ram, thus four consecutive valids +-- user must be able to read offered data, no waitstates are possible + +-- after falling edge of busy signal the next access can be started immediately + + + +architecture sram_is61_arch of sram_is61 is + +component ddr_off + port (Clk: in std_logic; Data: in std_logic_vector(1 downto 0); + Q: out std_logic_vector(0 downto 0)); +end component; + + + + type state_t is (IDLE, ); + signal current_state : state_t; + signal next_state : state_t; + + signal next_oe : std_logic; -- output enable + signal next_ce : std_logic; -- chip enable + signal next_gw : std_logic; -- write enable + signal next_adsp : std_logic; -- address register enable + signal next_adsc : std_logic; -- address register enable + signal next_adv : std_logic; -- address advance + + signal reg_oen : std_logic; + signal reg_cen : std_logic; + signal reg_gwn : std_logic; + signal reg_adspn : std_logic; + signal reg_adscn : std_logic; + signal reg_advn : std_logic; + signal ram_clock : std_logic; + signal next_ram_data : std_logic_vector(17 downto 0); + signal reg_ram_data : std_logic_vector(17 downto 0); + signal reg_ram_data_in : std_logic_vector(17 dowtno 0); + + signal last_oe : std_logic; + signal current_oe : std_logic; + +begin + +RAM_CEN <= reg_cen; +RAM_OEN <= reg_oen; +RAM_GWN <= reg_gwn; +RAM_ADSPN <= reg_adspn; +RAM_ADSCN <= reg_adscn; +RAM_ADVN <= reg_advn; +RAM_CLK <= ram_clock; + + THE_RAM_CLOCK : ddr_off + port map ( + Clk => CLK, + Data(1 downto 0) => "01", + Q(0) => ram_clock + ); + + + THE_DATA_OUTPUT_PROC : process(last_oe, reg_ram_data) + begin + if last_oe = '1' then + RAM_DATA <= (others => 'Z'); + else + RAM_DATA <= reg_ram_data; + end if; + end process; + + + THE_DATA_INPUT_SYNC : process(CLK) + begin + if rising_edge(CLK) then + reg_ram_data_in <= RAM_DATA; + end if; + end process; + + + THE_OUTPUT_SYNC : process(CLK) + begin + if rising_edge(CLK) then + reg_oen <= not next_oe; + reg_cen <= not next_ce; + reg_gwn <= not next_gw; + reg_adspn <= not next_adsp; + reg_adscn <= not next_adsc; + reg_advn <= not next_adv; + end if; + end process; + + + + THE_FSM_SYNC : process(CLK) + begin + if rising_edge(CLK) then + if RESET = '1' then + current_state <= IDLE; + else + current_state <= next_state; + current_oe <= next_oe; + last_oe <= current_oe; + end if; + end if; + end process; + + THE_MAIN_FSM : process(current_state) + begin + next_state <= current_state; + next_oe <= '0'; + next_ce <= not RESET; + next_gw <= '0'; + next_adsp <= '0'; + next_adsc <= '0'; + next_adv <= '0'; + next_ram_data <= reg_ram_data; + + case current_state is + when IDLE => + end case; + end process; + + + + + +end architecture; \ No newline at end of file diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index 0fbf864..ded2e59 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -99,6 +99,7 @@ entity trb_net16_hub_base is --Debugging registers STAT_DEBUG : out std_logic_vector (31 downto 0); --free status regs for debugging CTRL_DEBUG : in std_logic_vector (31 downto 0) --free control regs for debugging + -- bits 0-2 are NOT (inverted) error of streaming port ); end entity; @@ -242,6 +243,8 @@ architecture trb_net16_hub_base_arch of trb_net16_hub_base is signal local_network_reset : std_logic_vector(MII_NUMBER-1 downto 0); signal network_reset_counter: std_logic_vector(11 downto 0); + signal stream_port_connected : std_logic; + attribute syn_preserve : boolean; attribute syn_keep : boolean; attribute syn_preserve of m_DATA_IN : signal is true; @@ -1073,8 +1076,18 @@ HUB_MED_CONNECTED(31 downto MII_NUMBER) <= (others => '1'); for i in 0 to 2**(c_MUX_WIDTH-1)-1 loop if HUB_locked(i) = '0' then HUB_CTRL_activepoints(i*32+31 downto i*32) <= HC_CTRL_REGS(i*32+31 downto i*32) and not HC_STAT_ack_waiting(i*32+31 downto i*32); + if i < 2 and INT_NUMBER = 3 then + HUB_CTRL_activepoints(i*32+MII_NUMBER) <= HC_CTRL_REGS(i*32+MII_NUMBER) and not HC_STAT_ack_waiting(i*32+MII_NUMBER) and stream_port_connected; + else + HUB_CTRL_activepoints(i*32+MII_NUMBER+1) <= HC_CTRL_REGS(i*32+MII_NUMBER+1) and not HC_STAT_ack_waiting(i*32+MII_NUMBER+1) and stream_port_connected; + end if; else HUB_CTRL_activepoints(i*32+31 downto i*32) <= HUB_CTRL_activepoints(i*32+31 downto i*32) and not HC_STAT_ack_waiting(i*32+31 downto i*32); + if i < 2 and INT_NUMBER = 3 then + HUB_CTRL_activepoints(i*32+MII_NUMBER) <= HUB_CTRL_activepoints(i*32+MII_NUMBER) and not HC_STAT_ack_waiting(i*32+MII_NUMBER) and stream_port_connected; + else + HUB_CTRL_activepoints(i*32+MII_NUMBER+1) <= HUB_CTRL_activepoints(i*32+MII_NUMBER+1) and not HC_STAT_ack_waiting(i*32+MII_NUMBER+1) and stream_port_connected; + end if; end if; end loop; end if; @@ -1092,7 +1105,7 @@ HUB_MED_CONNECTED(31 downto MII_NUMBER) <= (others => '1'); HC_STAT_ack_waiting(64+31 downto 64+MII_NUMBER) <= (others => '0'); HC_STAT_ack_waiting(96+31 downto 96+MII_NUMBER) <= (others => '0'); - + stream_port_connected <= '1' when CTRL_DEBUG(2 downto 0) = (not ERROR_OK) else '0'; --------------------------------------------------------------------- --Debugging Signals @@ -1104,8 +1117,9 @@ HUB_MED_CONNECTED(31 downto MII_NUMBER) <= (others => '1'); buf_STAT_DEBUG(14 downto 10) <= HUB_REPLY_READ_OUT(15 downto 11); buf_STAT_DEBUG(19 downto 15) <= HUB_INIT_DATAREADY_OUT(15 downto 11); buf_STAT_DEBUG(24 downto 20) <= HUB_INIT_READ_IN(15 downto 11); - buf_STAT_DEBUG(25) <= '0'; - buf_STAT_DEBUG(30 downto 26) <= buf_STAT_POINTS_locked(3*32+4 downto 3*32+0); + buf_STAT_DEBUG(27 downto 25) <= CTRL_DEBUG(2 downto 0); + buf_STAT_DEBUG(28) <= stream_port_connected; + buf_STAT_DEBUG(30 downto 29) <= "00"; buf_STAT_DEBUG(31) <= CLK; -- buf_STAT_DEBUG(18 downto 16) <= IOBUF_IBUF_BUFFER(20+32*6 downto 18+32*6); diff --git a/trb_net16_hub_streaming_port.vhd b/trb_net16_hub_streaming_port.vhd index 0fbbcfb..751a247 100644 --- a/trb_net16_hub_streaming_port.vhd +++ b/trb_net16_hub_streaming_port.vhd @@ -167,6 +167,7 @@ signal reset_i_mux_io : std_logic; signal hub_make_network_reset : std_logic; signal hub_got_network_reset : std_logic; signal timer_ticks : std_logic_vector(1 downto 0); +signal hub_ctrl_debug : std_logic_vector(31 downto 0); begin @@ -289,10 +290,13 @@ begin COMMON_CTRL_REGS => common_ctrl, COMMON_STAT_REGS => common_stat, MPLEX_CTRL => (others => '0'), - CTRL_DEBUG => (others => '0'), + CTRL_DEBUG => hub_ctrl_debug, STAT_DEBUG => STAT_DEBUG ); + hub_ctrl_debug(2 downto 0) <= not io_error_in; + hub_ctrl_debug(31 downto 3) <= (others => '0'); + --------------------------------------------------------------------- -- I/O Buffers --------------------------------------------------------------------- -- 2.43.0