From 8cccf7f6dee700d34485c76ee29a20638f579302 Mon Sep 17 00:00:00 2001 From: hadaq Date: Tue, 19 Jan 2010 15:53:28 +0000 Subject: [PATCH] new --- etrax_interfacev2.vhd | 34 +- optical_dtu.vhd | 36 +- simulation.pl | 105 +- tdc_interfacev2.vhd | 179 +- trb_v2b_fpga.stapl | 11636 +++++++++++++++++----------------------- trb_v2b_fpga.ucf | 529 +- trb_v2b_fpga.vhd | 816 ++- trb_v2b_fpga_syn.prj | 13 +- trb_v2b_fpga_tb.vhd | 255 +- trigger_logic.vhd | 805 +-- 10 files changed, 6867 insertions(+), 7541 deletions(-) diff --git a/etrax_interfacev2.vhd b/etrax_interfacev2.vhd index 8351c20..c75b2ce 100644 --- a/etrax_interfacev2.vhd +++ b/etrax_interfacev2.vhd @@ -135,7 +135,7 @@ begin if rising_edge(CLK)then if RESET = '1' or (etrax_std_data_counter = 81 and saved_rw_mode(15) = '0') or (etrax_std_data_counter = 114 and saved_rw_mode(15) = '1') then etrax_data_counter := 0; - data_from_etrax <= (others => '0'); + data_from_etrax <= data_from_etrax; ETRAX_DATA_BUS_C(16) <= 'Z'; enable_transmition <= '1'; etrax_std_data_counter <= x"00"; @@ -145,6 +145,7 @@ begin ETRAX_DATA_BUS_C(16) <= 'Z'; enable_transmition <= '0'; etrax_std_data_counter <= etrax_std_data_counter + 1; + --elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' and saved_rw_mode(7 downto 0) = x"00" then elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' then data_from_etrax <= data_from_etrax; ETRAX_DATA_BUS_C(16) <= data_to_etrax(0); @@ -178,7 +179,7 @@ begin if rising_edge(CLK)then if RESET = '1' or (etrax_std_data_counter = 81 and saved_rw_mode(15) = '0') or (etrax_std_data_counter = 114 and saved_rw_mode(15) = '1') then etrax_data_counter := 0; - data_from_etrax <= (others => '0'); + data_from_etrax <= data_from_etrax; ETRAX_DATA_BUS_E(8) <= 'Z'; enable_transmition <= '1'; etrax_std_data_counter <= x"00"; @@ -188,18 +189,13 @@ begin ETRAX_DATA_BUS_E(8) <= 'Z'; enable_transmition <= '0'; etrax_std_data_counter <= etrax_std_data_counter + 1; - elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' and saved_rw_mode(7 downto 0) = x"00" then +-- elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' and saved_rw_mode(7 downto 0) = x"00" then + elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' then data_from_etrax <= data_from_etrax; ETRAX_DATA_BUS_E(8) <= data_to_etrax(0); etrax_data_counter := etrax_data_counter + 1; etrax_std_data_counter <= etrax_std_data_counter + 1; enable_transmition <= '0'; - elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' and saved_rw_mode(7 downto 0) /= x"00" and (rw_operation_finished_pulse = '1' or time_out_pulse = '1') then - data_from_etrax <= data_from_etrax; - ETRAX_DATA_BUS_E(8) <= data_to_etrax(0); - etrax_data_counter := etrax_data_counter + 1; - etrax_std_data_counter <= etrax_std_data_counter + 1; - enable_transmition <= '0'; elsif etrax_strobe = '1' and etrax_std_data_counter > 81 and saved_rw_mode(15) = '1' then data_from_etrax <= data_from_etrax; ETRAX_DATA_BUS_E(8) <= data_to_etrax((etrax_data_counter-81) mod 32);--+reg_address*32 @@ -255,14 +251,17 @@ begin --abut timing REGISTER_ETRAX_BUS: process (CLK, RESET) begin - if rising_edge(CLK) then - if rw_operation_finished_pulse = '1' then + if rising_edge(CLK) then + if RESET = '1' then + saved_external_data <= x"bad1face"; + elsif rw_operation_finished_pulse = '1' then saved_external_data <= EXTERNAL_DATA_IN; else saved_external_data <= saved_external_data; end if; end if; end process REGISTER_ETRAX_BUS; + EXTERNAL_ADDRESS <= saved_address; EXTERNAL_MODE <= saved_rw_mode(15 downto 0); EXTERNAL_DATA_OUT <= saved_data; @@ -280,7 +279,8 @@ begin saved_rw_mode <= data_from_etrax(15 downto 0); saved_address <= data_from_etrax(47 downto 16); -- reg_address <= reg_address* (conv_integer(data_from_etrax(47 downto 16))); - reg_address <= conv_integer(data_from_etrax(31 downto 16)); +-- reg_address <= conv_integer(data_from_etrax(31 downto 16)); + reg_address <= conv_integer(data_from_etrax(23 downto 16)); --only 16 lowest bits - the 8 highest is not taken into address for --internal registers saved_data <= data_from_etrax(79 downto 48); @@ -305,22 +305,26 @@ begin rw_register_i <= (others => '0'); else case saved_rw_mode(7 downto 0) is - when "00000000" => + when x"00" => if saved_rw_mode(15) = '1' and etrax_std_data_counter = 80 and reg_address > 127 and reg_address < 192 then saved_data_fpga <= r_register((reg_address+1-128)*32-1 downto ((reg_address-128)*32)); elsif saved_rw_mode(15) = '1' and etrax_std_data_counter = 80 and reg_address >191 and reg_address < 256 then saved_data_fpga <= rw_register_i((reg_address+1-192)*32-1 downto (reg_address-192)*32); elsif saved_rw_mode(15) = '0' and etrax_std_data_counter = 80 then - rw_register_i((reg_address+1-192)*32-1 downto (reg_address-192)*32) <= saved_data; + rw_register_i((reg_address+1-192)*32-1 downto (reg_address-192)*32) <= saved_data; else saved_data_fpga <= saved_data_fpga; end if; - when "00000001" => --DSP write read + when x"01" => --DSP write read saved_data_fpga <= saved_external_data; when x"02" => --sdram saved_data_fpga <= saved_external_data; when x"03" => --ADDON board write read saved_data_fpga <= saved_external_data; + when x"05" => --trigger interface + saved_data_fpga <= saved_external_data; + when x"06" => --SFP read + saved_data_fpga <= saved_external_data; when others => saved_data_fpga <= x"deadface"; end case; diff --git a/optical_dtu.vhd b/optical_dtu.vhd index 527e3fd..66072ef 100644 --- a/optical_dtu.vhd +++ b/optical_dtu.vhd @@ -248,10 +248,12 @@ begin DTU_LVL1_CURRENT <= IDLE; OPT_DTU_DEBUG_REGISTER_00(7 downto 4) <= (others => '0'); OPT_DTU_DEBUG_REGISTER_01 <= (others => '0'); + lvl1_trigger_pulse <= '0'; else DTU_LVL1_CURRENT <= DTU_LVL1_NEXT; OPT_DTU_DEBUG_REGISTER_00(7 downto 4) <= opt_dtu_debug_register_00_i(7 downto 4); OPT_DTU_DEBUG_REGISTER_01 <= opt_dtu_debug_register_01_i; + lvl1_trigger_pulse <= lvl1_trigger_i; end if; end if; end process DTU_LVL1_CLK; @@ -267,18 +269,21 @@ begin else DTU_LVL1_NEXT <= IDLE; end if; + when SEND_LVL1_A => opt_dtu_debug_register_00_i(7 downto 4) <= x"2"; lvl1_trigger_i <= '1'; DTU_LVL1_NEXT <= SEND_LVL1_B; + when SEND_LVL1_B => opt_dtu_debug_register_00_i(7 downto 4) <= x"3"; - lvl1_trigger_i <= '1'; + lvl1_trigger_i <= '0'; --was '1' if LVL1_BUSY = '1' then DTU_LVL1_NEXT <= WAIT_FOR_LVL1_BUSY_END; else DTU_LVL1_NEXT <= SEND_LVL1_B; end if; + when WAIT_FOR_LVL1_BUSY_END => opt_dtu_debug_register_00_i(7 downto 4) <= x"4"; lvl1_trigger_i <= '0'; @@ -288,19 +293,36 @@ begin else DTU_LVL1_NEXT <= WAIT_FOR_LVL1_BUSY_END; end if; + when others => opt_dtu_debug_register_00_i(7 downto 4) <= x"0"; lvl1_trigger_i <= '0'; DTU_LVL1_NEXT <= IDLE; end case; end process DTU_LVL1_PROC; + + COUNT_LVL1_BUSY : process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' then + opt_dtu_debug_register_00_i(31 downto 24) <= (others => '0'); + OPT_DTU_DEBUG_REGISTER_00(31 downto 24) <= (others => '0'); + elsif lvl1_busy_end_pulse = '1' then + opt_dtu_debug_register_00_i(31 downto 24) <= opt_dtu_debug_register_00_i(31 downto 24) +1; + OPT_DTU_DEBUG_REGISTER_00(31 downto 24) <= opt_dtu_debug_register_00_i(31 downto 24); + else + opt_dtu_debug_register_00_i(31 downto 24) <= opt_dtu_debug_register_00_i(31 downto 24); + OPT_DTU_DEBUG_REGISTER_00(31 downto 24) <= opt_dtu_debug_register_00_i(31 downto 24); + end if; + end if; + end process COUNT_LVL1_BUSY; - LVL1_TRIGGER_PULSE_PROC : edge_to_pulse - port map ( - clock => CLK, - en_clk => '1', - signal_in => lvl1_trigger_i, - pulse => lvl1_trigger_pulse); +-- LVL1_TRIGGER_PULSE_PROC : edge_to_pulse +-- port map ( +-- clock => CLK, +-- en_clk => '1', +-- signal_in => lvl1_trigger_i, +-- pulse => lvl1_trigger_pulse); LVL1_TRIGGER <= lvl1_trigger_pulse; diff --git a/simulation.pl b/simulation.pl index acfbe5c..2702a48 100755 --- a/simulation.pl +++ b/simulation.pl @@ -30,15 +30,17 @@ my $fh = new FileHandle("< trb_v2b_fpga_cts.vhd"); my $fh1 = new FileHandle("> temp_perl.vhd"); my $exchange_a ="trb_v2b_fpga"; my $exchange_to_a = "trb_v2b_fpga_cts"; +my $exchange_to_aa = "trb_v2b_fpga_simple_cts"; my $exchange_to_b = "trb_v2b_fpga_mdc_or_shower"; my $exchange_to_c = "trb_v2b_fpga_endpoint"; +my $exchange_to_cc = "trb_v2b_fpga_simple_endpoint"; my $exchange_b = "--*no sim"; my $temptext; while(<$fh>) { $temptext = $_; - $temptext =~ s/TRBV2_TYPE : integer range 0 to 5 := /TRBV2_TYPE : integer range 0 to 5 := 5;--/; + $temptext =~ s/TRBV2_TYPE : integer range 0 to 7 := /TRBV2_TYPE : integer range 0 to 7 := 5;--/; $temptext =~ s/$exchange_a/$exchange_to_a/g; print {$fh1} $temptext; } @@ -53,7 +55,7 @@ my $fh3 = new FileHandle("> temp_perl.vhd"); while(<$fh2>) { $temptext = $_; - $temptext =~ s/TRBV2_TYPE : integer range 0 to 5 := /TRBV2_TYPE : integer range 0 to 5 := 1;--/; + $temptext =~ s/TRBV2_TYPE : integer range 0 to 7 := /TRBV2_TYPE : integer range 0 to 7 := 1;--/; $temptext =~ s/$exchange_a/$exchange_to_b/g; print {$fh3} $temptext; } @@ -116,6 +118,7 @@ $fh9 -> close; $c="cp temp_perl.vhd trb_net16_med_tlk.vhd"; system($c); +#next file $c="cp trb_v2b_fpga.vhd trb_v2b_fpga_endpoint.vhd"; system($c); my $fh10 = new FileHandle("< trb_v2b_fpga_endpoint.vhd"); @@ -125,7 +128,7 @@ while(<$fh10>) { $temptext = $_; $temptext =~ s/TRBNET_ENABLE : integer range 0 to 3 :=/TRBNET_ENABLE : integer range 0 to 3 :=1;--/; - $temptext =~ s/TRBV2_TYPE : integer range 0 to 5 :=/TRBV2_TYPE : integer range 0 to 5 :=0;--/; + $temptext =~ s/TRBV2_TYPE : integer range 0 to 7 :=/TRBV2_TYPE : integer range 0 to 7 :=0;--/; $temptext =~ s/ENABLE_DMA : integer range 1 to 2 :=/ENABLE_DMA : integer range 1 to 2 :=2;--/; $temptext =~ s/$exchange_a/$exchange_to_c/g; print {$fh11} $temptext; @@ -134,4 +137,100 @@ $fh10 -> close; $fh11 -> close; $c="cp temp_perl.vhd trb_v2b_fpga_endpoint.vhd"; system($c); +#next file +$c="cp trb_v2b_fpga.vhd trb_v2b_fpga_simple_endpoint.vhd"; +system($c); +my $fh12 = new FileHandle("< trb_v2b_fpga_simple_endpoint.vhd"); +my $fh13 = new FileHandle("> temp_perl.vhd"); + +while(<$fh12>) +{ + $temptext = $_; + $temptext =~ s/TRBNET_ENABLE : integer range 0 to 3 :=/TRBNET_ENABLE : integer range 0 to 3 :=0;--/; + $temptext =~ s/TRBV2_TYPE : integer range 0 to 7 :=/TRBV2_TYPE : integer range 0 to 7 :=7;--/; + $temptext =~ s/ENABLE_DMA : integer range 1 to 2 :=/ENABLE_DMA : integer range 1 to 2 :=1;--/; + $temptext =~ s/$exchange_a/$exchange_to_cc/g; + print {$fh13} $temptext; +} +$fh12 -> close; +$fh13 -> close; +$c="cp temp_perl.vhd trb_v2b_fpga_simple_endpoint.vhd"; +system($c); + + +#next file +$c="cp trb_v2b_fpga.vhd trb_v2b_fpga_simple_cts.vhd"; +system($c); +my $fh14 = new FileHandle("< trb_v2b_fpga_simple_cts.vhd"); +my $fh15 = new FileHandle("> temp_perl.vhd"); + +while(<$fh14>) +{ + $temptext = $_; + $temptext =~ s/TRBV2_TYPE : integer range 0 to 7 := /TRBV2_TYPE : integer range 0 to 7 := 6;--/; + $temptext =~ s/TRBNET_ENABLE : integer range 0 to 3 :=/TRBNET_ENABLE : integer range 0 to 3 :=0;--/; + $temptext =~ s/ENABLE_DMA : integer range 1 to 2 :=/ENABLE_DMA : integer range 1 to 2 :=1;--/; + $temptext =~ s/$exchange_a/$exchange_to_aa/g; + print {$fh15} $temptext; +} +$fh15 -> close; +$fh14 -> close; +$c="cp temp_perl.vhd trb_v2b_fpga_simple_cts.vhd"; +system($c); + + +my $fh16 = new FileHandle("< sdram_fpga_interface.vhd"); +my $fh17 = new FileHandle("> temp_perl.vhd"); + +while(<$fh16>) +{ + $temptext = $_; + $temptext =~ s/--sim--//; + if($temptext =~ /--no_sim--/) + { + $temptext = "--".$temptext; + } + print {$fh17} $temptext; +} +$fh16 -> close; +$fh17 -> close; +$c="cp temp_perl.vhd sdram_fpga_interface.vhd"; +system($c); + + +my $fh18 = new FileHandle("< sdramcntl.vhd"); +my $fh19 = new FileHandle("> temp_perl.vhd"); + +while(<$fh18>) +{ + $temptext = $_; + $temptext =~ s/--sim--//; + if($temptext =~ /--no_sim--/) + { + $temptext = "--".$temptext; + } + print {$fh19} $temptext; +} +$fh18 -> close; +$fh19 -> close; +$c="cp temp_perl.vhd sdramcntl.vhd"; +system($c); + +my $fh20 = new FileHandle("< sdram_controller.vhd"); +my $fh21 = new FileHandle("> temp_perl.vhd"); + +while(<$fh20>) +{ + $temptext = $_; + $temptext =~ s/--sim--//; + if($temptext =~ /--no_sim--/) + { + $temptext = "--".$temptext; + } + print {$fh21} $temptext; +} +$fh20 -> close; +$fh21 -> close; +$c="cp temp_perl.vhd sdram_controller.vhd"; +system($c); diff --git a/tdc_interfacev2.vhd b/tdc_interfacev2.vhd index d91f6ed..418b848 100644 --- a/tdc_interfacev2.vhd +++ b/tdc_interfacev2.vhd @@ -9,7 +9,8 @@ entity tdc_interfacev2 is generic ( ENABLE_DMA : positive; NUMBER_OFF_ADD_DATA : natural; - TRBV2_TYPE : natural + TRBV2_TYPE : natural; + USE_EXTERNAL_SDRAM : natural ); port ( CLK : in std_logic; @@ -53,9 +54,9 @@ entity tdc_interfacev2 is TDC_DATA_OUT : out std_logic_vector (31 downto 0); --data to ETRAX (LVL2) TDC_DATA_VALID : out std_logic; -- The TDC_DATA_OUT can be written ETRAX_IS_READY_TO_READ : in std_logic; - ETRAX_IS_BUSY : in std_logic; - LVL1_BUSY : out std_logic; - LVL2_BUSY : out std_logic; + ETRAX_IS_BUSY : in std_logic; + LVL1_BUSY : out std_logic; + LVL2_BUSY : out std_logic; TDC_REGISTER_00 : out std_logic_vector(31 downto 0); TDC_REGISTER_01 : out std_logic_vector(31 downto 0); TDC_REGISTER_02 : out std_logic_vector(31 downto 0); @@ -72,12 +73,19 @@ entity tdc_interfacev2 is TRB_ID : in std_logic_vector(31 downto 0); LVL1_FINISHED : out std_logic; LVL2_FINISHED : out std_logic; - TRBNET_HEADER_BUILD : in std_logic + TRBNET_HEADER_BUILD : in std_logic; + RD_EN : out std_logic; + WR_EN : out std_logic; + WR_READY : in std_logic; + RD_READY : in std_logic; + DATA_IN : in std_logic_vector(31 downto 0); + DATA_OUT : out std_logic_vector(31 downto 0); + SDRAM_BUSY : in std_logic ); end tdc_interfacev2; architecture tdc_interfacev2 of tdc_interfacev2 is - + component header_add_data_fifo_4kW port ( din : IN std_logic_VECTOR(33 downto 0); @@ -153,13 +161,15 @@ architecture tdc_interfacev2 of tdc_interfacev2 is signal lvl1_busy_i : std_logic; signal lvl1_busy_i_not : std_logic; signal lvl1_memory_busy_i : std_logic; + signal lvl1_memory_busy_mem : std_logic; signal lvl1_trigger_pulse : std_logic; signal lvl1_tdc_trigg_i : std_logic; signal lvl1_tdc_token_i : std_logic; signal lvl1_buffer_in : std_logic_vector(31 downto 0); signal lvl1_busy_end_pulse : std_logic; - signal test_counter_0 : std_logic_vector(7 downto 0); --lvl1 started + signal test_counter_0 : std_logic_vector(15 downto 0); --lvl1 started signal test_counter_1 : std_logic_vector(7 downto 0); --lvl` finished + signal start_tdc_readout_pulse : std_logic; --lvl2 type LVL2_START_FSM is (IDLE,WAIT_FOR_BUSY_END,READOUT_HEADER_MARKER_1,READOUT_HEADER_MARKER_2,SEND_HEADERS_AND_DATA,READOUT_DATA_MARKER_1,READOUT_DATA_MARKER_2,SEND_DATA); @@ -167,7 +177,7 @@ architecture tdc_interfacev2 of tdc_interfacev2 is signal lvl2_busy_i : std_logic; signal not_lvl2_busy : std_logic; signal lvl2_busy_end_pulse : std_logic; - signal test_counter_2 : std_logic_vector(7 downto 0); --lvl2 started + signal test_counter_2 : std_logic_vector(15 downto 0); --lvl2 started signal test_counter_3 : std_logic_vector(7 downto 0); --lvl2 finished --debug registers @@ -247,6 +257,11 @@ architecture tdc_interfacev2 of tdc_interfacev2 is signal wait_for_trigg_up : std_logic; signal wait_for_trigg_cntr : std_logic_vector(7 downto 0); + -- + signal rd_en_i : std_logic; + signal wr_en_i : std_logic; + signal sdram_busy_i : std_logic; + signal word_counter : std_logic_vector(15 downto 0); begin TDC_REGISTER : process (CLK, RESET) @@ -256,18 +271,20 @@ begin TDC_REGISTER_00(1) <= B_TDC_ERROR; TDC_REGISTER_00(2) <= C_TDC_ERROR; TDC_REGISTER_00(3) <= D_TDC_ERROR; - TDC_REGISTER_00(13 downto 4) <= (others => '0'); + TDC_REGISTER_00(11 downto 4) <= test_counter_0(15 downto 8); + TDC_REGISTER_00(13 downto 12) <= (others => '0'); TDC_REGISTER_00(14) <= lvl1_busy_i; TDC_REGISTER_00(15) <= lvl1_memory_busy_i; TDC_REGISTER_00(30) <= '0'; TDC_REGISTER_00(31) <= lvl2_busy_i; - TDC_REGISTER_00(29 downto 16) <= (others => '0'); + TDC_REGISTER_00(23 downto 16) <= test_counter_2(15 downto 8); + TDC_REGISTER_00(29 downto 24) <= (others => '0'); TDC_REGISTER_01(27 downto 0) <= lvl2_debug & trigger_register_00_i(5 downto 2) & "00" & trigger_register_00_i(1 downto 0)& words_in_event(15 downto 0); TDC_REGISTER_01(30 downto 28) <= (others => '0'); TDC_REGISTER_01(31) <= ETRAX_IS_BUSY; TDC_REGISTER_02(31 downto 0) <= hd_full_i & hd_empty_i & hd_wr_en_i & hd_dout_i(32) & hd_rd_data_count_i & data_full_i & data_empty_i & data_wr_en_i & data_dout_i(32) & data_rd_data_count_i(11 downto 0); TDC_REGISTER_03(31 downto 0) <= x"0"& LVL1_CODE & LVL1_TAG(7 downto 0) & x"0" & lvl1_code_i & lvl1_tag_minus1; - TDC_REGISTER_04(31 downto 0) <= test_counter_3 & test_counter_2 & test_counter_1 & test_counter_0; + TDC_REGISTER_04(31 downto 0) <= test_counter_3 & test_counter_2(7 downto 0) & test_counter_1 & test_counter_0(7 downto 0); end if; end process TDC_REGISTER; @@ -279,10 +296,10 @@ begin tdc_ready <= '0'; lvl1_buffer_in <= (others => '0'); received_tdc_token_i <= '0'; - else - tdc_ready <= A_TDC_READY or B_TDC_READY or C_TDC_READY or D_TDC_READY; - lvl1_buffer_in <= TDC_DATA_IN; - received_tdc_token_i <= RECEIVED_TDC_TOKEN; + else + tdc_ready <= A_TDC_READY or B_TDC_READY or C_TDC_READY or D_TDC_READY; + lvl1_buffer_in <= TDC_DATA_IN; + received_tdc_token_i <= RECEIVED_TDC_TOKEN; end if; end if; end process SYNC_TDC_DATA; @@ -313,23 +330,31 @@ begin end process SEND_BUNCH_RESET; -- LVL1 logic + + LVL1_PULSER : edge_to_pulse + port map ( + clock => CLK, + en_clk => '1', + signal_in => START_TDC_READOUT, + pulse => start_tdc_readout_pulse); SELECT_TRIGGER : process (CLK, RESET) begin if rising_edge(CLK) then - if RESET = '1' then + if RESET = '1' or lvl1_trigger_hades_or_not_pulse = '1' then lvl1_trigger_hades_or_not <= '0'; - elsif TRIGGER_WITH_GEN_EN = '0' then - lvl1_trigger_hades_or_not <= START_TDC_READOUT; + elsif start_tdc_readout_pulse = '1' then + lvl1_trigger_hades_or_not <= '1'; else - lvl1_trigger_hades_or_not <= TRIGGER_WITH_GEN_EN and TRIGGER_WITH_GEN and (not lvl1_busy_i) and (not lvl2_busy_i); + lvl1_trigger_hades_or_not <= lvl1_trigger_hades_or_not; +-- lvl1_trigger_hades_or_not <= TRIGGER_WITH_GEN_EN and TRIGGER_WITH_GEN and (not lvl1_busy_i) and (not lvl2_busy_i); end if; end if; end process SELECT_TRIGGER; - LVL1_PULSER : edge_to_pulse + TDC_LVL1_PULSER : edge_to_pulse port map ( - clock => TDC_CLK, + clock => TDC_CLK, en_clk => '1', signal_in => lvl1_trigger_hades_or_not, pulse => lvl1_trigger_hades_or_not_pulse); @@ -367,8 +392,9 @@ begin UP_IN => wait_for_trigg_up, DOWN_IN => '0'); - LVL1_DATA_FIFO: lvl1_buffer_32kW - port map ( + INTERNAL_BUFFER: if USE_EXTERNAL_SDRAM = 0 generate + LVL1_DATA_FIFO: lvl1_buffer_32kW + port map ( din => data_din_i, rd_clk => CLK, rd_en => data_rd_en_i, @@ -380,6 +406,63 @@ begin full => data_full_i, rd_data_count => data_rd_data_count_i, wr_data_count => data_wr_data_count_i); + RD_EN <= '0'; + WR_EN <= '0'; + sdram_busy_i <= '0'; + end generate INTERNAL_BUFFER; + + EXTERNAL_BUFFER: if USE_EXTERNAL_SDRAM = 1 generate + + WRITE_DATA : process (TDC_CLK, RESET) + begin + if rising_edge(TDC_CLK) then + if RESET = '1' then + DATA_OUT <= (others => '0'); + WR_EN <= '0'; + elsif LVL1_START_fsm_currentstate = SEND_AND_WAIT_FOR_TOKEN then + DATA_OUT <= data_din_i(31 downto 0); + WR_EN <= data_wr_en_i; + else + DATA_OUT <= data_din_i(31 downto 0); + WR_EN <= '0'; + end if; + end if; + end process WRITE_DATA; + +-- DATA_OUT <= data_din_i(31 downto 0); +-- WR_EN <= data_wr_en_i; + + data_dout_i(31 downto 0) <= DATA_IN; + SEND_READ_REQUEST : process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' then + RD_EN <= '0'; + elsif LVL2_START_fsm_currentstate = SEND_DATA then + RD_EN <= data_rd_en_i; + else + RD_EN <= '0'; + end if; + end if; + end process SEND_READ_REQUEST; + + sdram_busy_i <= SDRAM_BUSY; + data_dout_i(32) <= '1'; + + WORD_COUNTER_PROC : process (CLK, RESET) + begin + if rising_edge(CLK) then + if RESET = '1' then + word_counter <= (others => '0'); + elsif LVL2_START_fsm_currentstate = READOUT_HEADER_MARKER_1 and hd_dout_i(32) = '1' then + word_counter <= hd_dout_i(15 downto 0); + elsif ETRAX_IS_READY_TO_READ = '1' then + word_counter <= word_counter - 1; + end if; + end if; + end process WORD_COUNTER_PROC; + + end generate EXTERNAL_BUFFER; HEADER_DATA_FIFO: header_add_data_fifo_4kW port map ( @@ -441,7 +524,7 @@ begin when IDLE => trigger_register_00_i(5 downto 2) <= x"1"; lvl1_busy_i_fsm <= '0'; - if (lvl1_trigger_tdc = '1'and LVL1_CODE /= x"d") then + if (lvl1_trigger_tdc = '1') then LVL1_START_fsm_nextstate <= WAIT_BEFORE_TRIGG; else LVL1_START_fsm_nextstate <= IDLE; @@ -570,7 +653,11 @@ begin when WAIT_FOR_EMPTYING_BUFFERS => trigger_register_00_i(5 downto 2) <= x"d"; - if lvl1_memory_busy_i = '0' then + if lvl1_memory_busy_i = '0' then -- and SDRAM_BUSY = '0' then --when + --USE_EXTERNAL_SDRAM=0 + --then + --sdram_busy = 0 + --from external LVL1_START_fsm_nextstate <= IDLE; else LVL1_START_fsm_nextstate <= WAIT_FOR_EMPTYING_BUFFERS; @@ -630,7 +717,7 @@ begin if rising_edge(CLK) then if RESET = '1' or lvl1_tdc_trigg_i = '1' then add_data_counter <= x"0" & HOW_MANY_ADD_DATA(3 downto 0); - elsif add_data_pulse = '1' then + elsif LVL1_START_fsm_nextstate = SAVE_ADD_DATA then add_data_counter <= add_data_counter -1 ; end if; end if; @@ -669,16 +756,20 @@ begin elsif TRIGGER_WITH_GEN_EN = '1' then lvl1_tag_minus1 <= trigger_counter; lvl1_code_i <= x"1"; - else + elsif TDC_REGISTER_05(30)='1' then + lvl1_tag_minus1 <= LVL1_TAG(7 downto 0) - 1; + lvl1_code_i <= LVL1_CODE; + else lvl1_tag_minus1 <= LVL1_TAG(7 downto 0); lvl1_code_i <= LVL1_CODE; + end if; end if; end process SAVE_CODE_AND_TAG; ----------------------------------------------------------------------------- ---------------------------------------------------------------------------- - -- LVL2 logic (only CLK domain) + -- LVL2 logic (only CLK domain) ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- @@ -763,7 +854,7 @@ begin lvl2_debug <= x"6"; if data_dout_i(32) = '0' then data_rd_en_i_fsm <= '1'; - LVL2_START_fsm_nextstate <= READOUT_DATA_MARKER_2; + LVL2_START_fsm_nextstate <= READOUT_DATA_MARKER_2; else data_rd_en_i_fsm <= '0'; LVL2_START_fsm_nextstate <= SEND_DATA; @@ -775,10 +866,12 @@ begin when SEND_DATA => lvl2_debug <= x"8"; - data_rd_en_i_fsm <= ETRAX_IS_READY_TO_READ; - tdc_data_valid_i_fsm <= data_dout_i(32); + data_rd_en_i_fsm <= ETRAX_IS_READY_TO_READ; + tdc_data_valid_i_fsm <= (data_dout_i(32) and (not lvl1_busy_i));-- or SDRAM_BUSY; +-- --for external sdram acces for writing buffer +--was before sdram tdc_data_valid_i_fsm <= data_dout_i(32); TDC_DATA_OUT <= data_dout_i(31 downto 0); - if data_dout_i(32) = '0' then + if data_dout_i(32) = '0' or (USE_EXTERNAL_SDRAM = 1 and word_counter = x"0000" ) then LVL2_START_fsm_nextstate <= IDLE; else LVL2_START_fsm_nextstate <= SEND_DATA; @@ -791,6 +884,7 @@ begin end case; end process START_LVL2_FSM; + TDC_DATA_VALID <= tdc_data_valid_i; not_lvl2_busy <= not lvl2_busy_i; @@ -818,9 +912,10 @@ begin LVL2_BUSY <= lvl2_busy_i; LVL2_READOUT_COMPLETED <= lvl2_busy_end_pulse; + LVL1_STARTED_CNTR: up_down_counter generic map ( - NUMBER_OF_BITS => 8) + NUMBER_OF_BITS => 16) port map ( CLK => TDC_CLK, RESET => RESET, @@ -850,7 +945,7 @@ begin LVL2_STARTED_CNTR: up_down_counter generic map ( - NUMBER_OF_BITS => 8) + NUMBER_OF_BITS => 16) port map ( CLK => CLK, RESET => RESET, @@ -875,7 +970,7 @@ begin if rising_edge(CLK) then if RESET = '1' then lvl1_memory_busy_i <= '0'; - elsif (data_wr_data_count_i(14 downto 13)= "11") or (hd_wr_data_count_i(11 downto 2) = "1111111111") then + elsif ((data_wr_data_count_i(14 downto 13)= "11") and USE_EXTERNAL_SDRAM = 0) or (hd_wr_data_count_i(11 downto 2) = "1111111111") then lvl1_memory_busy_i <= '1'; else lvl1_memory_busy_i <= '0'; @@ -883,6 +978,18 @@ begin end if; end process LVL1_MEMOMRY_BUSY_PROC; +-- LVL1_MEMORY_BUSY_END : process (CLK, RESET) +-- begin +-- if rising_edge(CLK) then +-- if RESET = '1' then +-- lvl1_memory_busy_end = '0'; +-- elsif data_wr_data_count_i(14 downto 7) = x"ff" then +-- lvl1_memory_busy_end = '1'; +-- else +-- lvl1_memory_busy_end = '0' +-- end if; +-- end if; +-- end process LVL1_MEMORY_BUSY_END; REGISTERING_SIGNALS: process (CLK, RESET) @@ -891,7 +998,7 @@ begin if RESET = '1' then LVL1_BUSY <= '0'; else - LVL1_BUSY <= lvl1_busy_i or lvl1_memory_busy_i;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i; --here + LVL1_BUSY <= lvl1_busy_i or lvl1_memory_busy_i or sdram_busy_i;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i; --here end if; end if; end process REGISTERING_SIGNALS; diff --git a/trb_v2b_fpga.stapl b/trb_v2b_fpga.stapl index 0e5c19e..4190c6e 100644 --- a/trb_v2b_fpga.stapl +++ b/trb_v2b_fpga.stapl @@ -1,5 +1,5 @@ NOTE "CREATOR" "Xilinx iMPACT Software"; -NOTE "DATE" "2009/08/14"; +NOTE "DATE" "2010/01/19"; NOTE "STAPL_VERSION" "JESD71"; NOTE "ALG_VERSION" "1"; NOTE "DEVICE" "UNSPECIFIED"; @@ -1255,5962 +1255,4600 @@ IF (!X) THEN GOTO F; ' which might exceed the capacity of your run-time environment. ' WARNING: This STAPL file describes a data shift of more than 16K bits ' which might exceed the capacity of your run-time environment. -DRSCAN 12259744, @q8s50000000@@l@@lAPggP8000003080010000E20000G000006081020GPrfC0e004GM9Go +DRSCAN 12259744, @q8s50000000@@l@@lAPggP8000003080010000E20000G000006081020GvtfC0e004GM9Go 1D00GI00000C0m00400060C0W2040006080WqC82421YW09G05G03m@3W@dV@F@x@yp@pV@F __@uV@7Vy@ud@dUz@ql@dU_@qt@7U@@m@_Fym@3VCX88P0000GYa6a3G280G0YbC10081000 -0m0020050ro600000W408820400000002e5WAk2m00002P1u1OP2W@0seHWA000004G00G00 -0000W60C0800Gq060040yeW780004Zf1t5KHF06KGW7000GrC00qK09z8a0800Wg4C8U0C6K -eYD28vQ1C0005q7WD3DymP1USz06BFOmd2FikW40@30sUWaL6I00W0mj4R0010Pa1L00W0aN -H27HmGX46St03A200YOWdG1I8MW7Q5udvDO000onx4UCVG5pOyGs4s4f03nTu1lF5WjGguq1 -900G0acm69GuH57gazW4000sX400azGH@WqI79IySH20800wfu100ecv3uqx6ayNn6PhOmoA -F0ci18OYAsVvXM7GgdXP0000J100eQ6IM7vX3IUer3Rs0v400RJp4eo85viJJ25wO0800008 -80000004W0Ca23BsO02000G00Gj@m0Gl3WSJa8B03AyWae1Ce75Iovv40040Hny00006s000 -XTGIPAF4eY4FUeorFRiF43L4b000KWRG89aYGQ6Ib3Rc1q80myHdS053ZGLn7EQD9oOt5Wwj -H6iQ190q10kyw1GV50@Hb380008004FKeIPOI002Wip0CkQY1Y300184odOa0081u5iDM1h2 -000G4a0060A4008Ot1XKQP60811OTD90W4X0H40ulA900X8100000G4n0QCa2W1WA20sRB1W -900BcHY884ftq89AWDsXhYAgg8WE3_bB1000yQ400A0Qf0is8L5L0210CW730OhVJ_IeRwC8 -AkG4000000KW0000030000O00C00kux1060808iy18100000md62000CWi_CG4W3OGVIq8W1 -nzbG50A4BG200G0Y6m0015WH18n3WL046000WG4000004814X0G4OW4q1W1f1Q000G440IWW -X00Y2WXN_C00W_MM0F00000004820X8W480004Y8210a5G2000G480000041100W08GaK1C0 -0W4OK0C000o110W3Gu40000Eu0000GE4W3001dvG00mH49000088110000IY440Ya0892011 -2d0000007000000022GA0645W1y40000a4bUe1Wv38H4015Wu300IYo2mWK1C0a4nHq0R45m -3u400Env@IW_FllGUrS3000q8700sUqcv4DuFn4I1WXWC91020GSca040000WmTcV2LeP8@Z -_mPa6SIg7FTo0Wb3W96cPKK6EEDX7kc9g0I000WGV00uFBpYoaXxMJO8KI00i5kUWJr5cp6j -R0uE0uQ0pguy180000800YozXyPh0000g410Wz8_9OXJE1D700T4Rkz@jh5100Ybz00mBU@l -aRH0N20ApZdBI73000F910WdPVhSng1kW0Cfmd000u9A00427FvuE60W7p6A45ue0mcv@aFo -O8100YRt6002K4100IezdMLJ64M0GUzs00W9uMMu@DR300A4nRXKCSRKriDx@d0Wd3Wp48yO -wD000WPA00uxWYAT9jIrrAoz7_UsW_xF1qF2mm5sG010uiV9kkUflxJ0000bl00WynFfqU3Y -j@180200020YjRcVyD83VCUrc100zYNtCJ0@IaMk1TblnXEy0gj08jlMEKF14G00000000H0 -65_@@@R00YkY39ZgVze0uQ0qb@9zydGFJl100WBsZ7QaK5000HXrR60Whnz_F1004ujx9yjl -10GH0MrtCmxC0zzh2480WpN@f_URq300aa@90800020AXWI00200aI00000G23xP600Cf@@F -10GW040000GWY02W00010637R0mK0_@@4M020HnR000W00400O802khpC0004a700YLM5021 -0G02000GG1200040I0A00Wwj73002IWzd00Qa0m00A2020W0000G80070004s598QXozCPC3 -00@@J200O000Wc00080E0C1W0O0m400W10WZrvag00Grnp040GuIPR0p50aC_99AgoWu6iwT -BpWR0050Wcnb0000UA2OC7E300001280i6U8000800404hk1zgNniuCqC_3002000006BE3D -Zd000Qf7pnemT600WGCvuU0qG0_LlekuD0800oWqg0040eoUI000mf7008IUCQV@XSyh0400 -X20000010000HM8461400lXYndyC00ulwmTOoeF1Y0a00CW0X2Y0ikl10000h9TZz@beUV9g -mdXN_P0m_0G8@R4uF3XVRGf_C0000Y0W20e40WBmDunHO0024K8E9000eGD00CzlD0038400 -0W0A8G000000W000080H00gAOcK@310WRJ0fsCcl100001010atBO2zT0M5c79000hlRGFz6 -SDCL000uP2004yVB0800xnm00W01GGG08W00O210480G00104040m008GocFA00Ul@@33Q80 -0000aWG01UQo0G40G00044G00ate1h3J58E0WFXX10000096G00I402f0KW21IaH14pG40em -00m00G80W1JpWAn100@@t206CG0002G012L00200012W0W0020040W208020W0W21WWOUTg_ -@7682B00G900W00004K2WG510G0801GYW000040002000OA1mQ@H1yw1u@@M0006G00001A0 -080000G0480C0O01400202a0G0000242W89Y75000Y40Lv@@7000Z8820000G104C0W28W00 -O80YY0yh8L000GtyV60W0m000HW09G080e0048000H0080Y08e80200e410Oi7L_z@4m4D0T -_F310WU1018G_5u01000PH40000080H000N0404aj200anTK_000_@l50acb0000eKj00000 -00J30Bj40000BqIB0000iOQ00OTVg00uP_@FC0mO00WR0A000M100W2240K580u280G5m5WB -WA0WWRGx_K1030ebVOy0008DMc60iCD0i4114aW2A819K84I84000004QLAWtxR9MO96xI20 -00mu300czF70014BnwH7@510OmEOVRoejYmzVuVV6kwMbxhb08s3GT@v0000v9rn000m3B00 -u@@P_NhYtgDuuXY_5d100kpXjAntzFCEl1PuQ000WG0W00000GMet0000WW0000000skk100 -410201KO@3W0000GG1awG52080orl21000fxp0mE3W@@LHG00mLw6ysl100001S0AI022220 -WA004GW00000G0cqe2I800DWM1800WnzbOXV3cuF1000u9xBHa2O000440000A04100000X0 -1000000G0250300a00GG00J8R0I00W7xVujyDYrVZBvP00mfvO8y0080W21400800W200012 -00080a7EL0050wpl8001WJkU5008Ab200@@d30040001W00108G0000004000OKtK108GkkV -U001080108WV300041221w9Ug0Ea0aVlDa100C400qdW1W000000Wqo_L0008oXNby@P000C -500G10G00BPP000AW9xC0H40mu_H10mdgZ2U0410Gu000J0A440G40o4H0X80W1H0y@VK0uM -0_@76X200bhR00K0000040H1b0048Ia4K00000089cOuY2000X_10WU@R10YG000WWezD000 -0Y42B00G000q00G80000e0WW1GG0@H10u7ArVO0M000024000240008800H20WeGq0XZWWe0 -2088K4KeVkf2uA3Gd@p0002000a800804010012W01001Y0002e021G012200@9npk@LWK00 -up@M00u0000020qU321WKDGR4490Mr0G0GW80G4200Y300HWmBpx7500GYD@@1034411844A -428KG2C8cd1mG0OU@e0n_0ivFCy60083u700G6000SW85WBHEWE0V0D1w0_1q1Y4O74f100W -KpZYZ00Gd@v0Wg20ym74LLbAggAu27Um5UGKfgWWFEuA_PEXddhOBfAEzt0200000_Aty@70 -480XH7oruI0G000000nOMCKDT2jh91eb6W5gb00G0GCr94Kj12000sAJY4nDOfV6sKtWa229 -ISCwmk2000K_100coM2010095mGZ0Lqhd1000f2xcaIwUecR30200CqS5lT@00WQZDJSw@V3 -00201000020080Y8WxqD0000180040400nXR0400X9zIe303AelYmkD0Kb0000000X00jiB1 -0001b000lKp0008000e00GY2IoFXyxD0000412000080050000002201el_7IjtWOxD0000o -Lu90200PNU620qWwxJuaV3000mnLG08Nv7sjF100080200000W0AW0090080X10000840GGk -@p010000002X08004GH50W80G01202200040008014020400Gr@6a@l1FJP0G08WcxD00C0W -000ecxJG004mX@6KVl4G00000i8r4W1J@pmkz980c0u2l40080X80000008I00WLzJ008GGm -_60O0004018016WI4P000010m0Wc@DO7_46utWJ_DeEV9kNdXEuDG0000876WEtaeW@408W0 -Cil100G0002G00020W80009SG0200ZrR0W04G008e0500m0Y0yri1NyJ20CpirkK1000iV10 -WY@z0048Gey9Sbl1BmP00140080WBzQ0010aeC91000IM_6SCUB08oQdjpZM6a0800W00810 -00801W4Ikq00G0WvIRGlUXG001ubzP0kL0SXT800H000000C00WPY0020G0W10WpRRGf66qC -Y1vr33042WlxF1000vbDIKq06c000ssF1W080BeOW808Wz@J0228m8VXSEf14Ha0ktmWN_91 -00jRV@R00H0000W0100G20088G40108G0WG0GHW00O010G5G80M00100HiTe100G00202W08 -0u@VFW0800010000W8450YC_L1iS3mYvX01a00040W0B0036004408080C0YX100420i6A20 -2G4O6004040ChZ1Hdbm@@RG900W0cX80K2W3fLH700m@@O000I090004100000000H08G2W0 -00G4W000H210000G000C00X0G980XYA2041820e4000200008bpF90m08suN500JZ@@l108K -G00W1K0000000m000102a20C0Yn@D00820080000012302G0068JG101000000I0P00@@x10 -86400G1G0GqozN5mg10@@l1O020040W0W0G06AWLel10G000m14ihh1800200022380L4G00 -G0340i0K0W14IDFaHACuC3L000m8S00OvNF05H1W01GO5M300W8000000X1000000G0200mI -8000GI100408040000G000208p1F40G100008z200a8E9W0000GCL@@@600O0000200wdXWW -WiNeL6W30h8080Y_tWb2D0z1020O@52101000GW80qha210Xl0m@@RW8Y0000W8410S10Wgv -_h2ux1WKhz82S60m0XWG0I0a2X0852132000C10M@t000m@000uHLLB0pCNCjVFOC00mCmC0 -0WPGo_jWE00u@@DOD00mFmE00WR000O000Wt2C0C0Q0O0m0m0W148030G1O000mP00WRWh0d -1d1_1EZ00S71G1HA420K50O600HAe200qX@@91Uu1mAn68421G2GA828K8844000eGy10ggA -0CZPWhggOcP0WvPBaho8DXK@F30OG0gm@a3K5wrS3caYXwz91000bs10WAqV0G00OS@6KtV2 -TSPmRvRiyV2DcxHMks00GJUhz7010Gypk1020Wo9dXr5heny7gMdavpJu5U367d4mW40zzBq -MwF0100uh_40028aOF3Dsdmus901800000uJ06SSl40000100GfF00eZT3cTFXAvIOEy4400 -00040G050GwxC47k1000000G500G12000440108000lvd0G010I008NwpGFS60000fAU9020 -0kGU2HzRm9v60400000By@@C0408OyT600W0_ki100GG001020H00108001aWCwD00WG8011 -00200000G1010001000400G00Yo@DG0008800500O0XlbGyY6yuy35oP0008G10200CW0gkF -XSrDenV30@u04xF308000GWGClU2XtR00G0000H0G806wOq00W0W2000APoWg@D0200u9N6y -ST8R@zm0y6iZj7000umD00Kll1lpB1020WoyCuF3304080W00OvO3_@t01148004000820KW -G00042400XchN20H4HTs60082zfNIIkNYFzDuQV3Irle@@b0H4Ho@@68M818WiGozt00400W -000MBd1W0009SbmtwKjzl1000Gphh2W0803DQ03G1500W00004gCyXqyJ0008000GWTzD8S1 -9cYl800fZj1N1GY8022040G002xt00820080W000u4nF3TwRGn@6SAM2@rla8YW200Gm0000 -0Lq04rl4002W5G420G0m01X4e03005110200200200WI0eDk70004K3d1WW80cfm9000H829 -00W00000WD3d7_@t0800W0080EBp0220WGG0Y04080G00C5630000A04W000W800100W0000 -m0U72fm@bG6000005d@@h000W80G4104GX0004180500004Y00W40108Y80Y81001Y0000W0 -YXW80083900IG400420H0108P7_h0nf0adV58q0GPW000JG000601001000Y100029906m00 -G2X0043KG100a10m0500G4203C0000000L004405x7LD_68700u@V9C00000H0000482020W -800G1WRW01Y1B20Y40W010WG01026m2I000G0cBW184500H40WIG442010405iIf7V_3pKo6 -00OTPpxD00a01W4000X020000GY00W08088000I10G2001080810WW0eW0141020004G8W00 -0810202HWVILua000i100m200001M70@@B108E0000IUH000C0004000zJ8000y5qF3u0WzN -840Y800YXG0Gl0086i@2z2Ga0GwB010W100H0008KYPHRv@@D0Fy000c1Nu1WfC3Wv0ZDu@V -Fa9po80Mc60c1mm@OWvP0000GbL10W@@N26000C0CWoGC00m3IzxL0004400u600GJ0NWP0x -000K100W3000U791y3S2uDmAmFmTGaWxW85m1HA0lXK0s1E0a0i30081y@FIHAy00d0W@@b0 -0r2Wqi40MccI000MCDF0000Onq0m3000004WgK500yFcP2Um3NGOcfWWFS1fgg22Uu1E00Wg -g0eM8gG000000WE4tGcAJbEoPOf9X0040C9k10045IJBagqbOm@7IfZXicMgZz40HE0aisFd -N@mJTyiTU5000eO200aFd7hhpGc1@y7bD00YCF94Zi4gO8WDkkIBmE90xxBHmyXywl1x_R00 -00m07Xvr@M000mfU008zmG2wxXO@bO3ICoUe800yV@sZnmZLSbl1dvR0002ar94gFVIsZrWS -Kuf5khYkc1p300JETI6cBr6UB00UN3UIeVF03W83GvyU00Y08w4IgzGB000aU300c8FajzD8 -dWtU@F100mApzl1000nvyy00200024Ws7030@0Gqjv0000100058G0mG6zebBXO400CouF4W -05UhmWv7l20WRsNmyG0G0100242G0WwUw2yf3G2U55_OKZXd0008yv_yeZUF00450408L201 -mKAT53N8TzV20eAW7Ir2y91mdoaiST50002000C100m0m000G30WEfCxm@Ae00000Ae0063m -21x5kpOPAQpju94tl1Zb13010WgkJ8fS3gBRZk@hOlIIk58XGA2fLoGYKtWxUr200bzoVpCI -mL1qp000028400JoR0WH2WwvFvKzGEatWVuVW410GHw@0000Ik00m@@F00O0u@WSEhleYlJ8 -zV300aP7OA90800_pVZlqDeXV3UY@100a0nwho2@C0000ya@401A0aAP5Tee8000AGA00JNi -q1oK1WW8100W8W0G4I000vjCMBxs0a419woYE5IB000uM7W0EWFXKNhuwAIQKfB009z200H0 -00YG1000004n6Ns0010uJO6U1CAWJ94G000xot04H00npZ40K0WSFNIF0000W40Af40FFa30 -0YWzIw20Ws750000001tFRJGl6W0W0u@V60580qml1BgY4erq0G02000608000aKDC0002Mt -M2040Whuw4000OY18W4000E_qWIoX1001mPzIWG04G00GGt_B100E5530800yb2@j1C00G0f -Z1YNyN0YewFc600F0Oo@MgYEXneP00Z091a4WrVM2000AOF000G50003m000W5XC3f_J2082 -00W00TD@0@3ILg200Vxl40Wt_mzD0K0000m0W9@ZAzZ7Qqn6WO00@V7I5qjyil1RxRmpuR02 -00OLyG6xt0000WS600APDa_bxwsvG008tspS8ZGrIa2dCMk1ZtxH6t90o31uEmF100mjRb1Z -p7oonZjqF600Kn6glYJznOgPC8002CSkM038021NYBuX1004mYPH5vV2000G1B00q9VBZmRs -IdUVem@5Iy@W6@78o@@fyVZ@NDhOH0j00Y6Oi0T_VWYmVG39000aM200YW_gOSH200kG8Kv3 -800gwS30400yVk1ZYtoBxC000WWe00mdQK1004AxvVsdE100PkD_H50010WW80000002e04F -l1HA0JZtC0oj0O_uVEUXsWtD0440mt_RypOB00gO6kcA00200100_C73Y000p_tYOQ5WqZ_@ -@VC00Y0SllA00Q0owaA00488000EfM20040RtRGExj0YQ1eh_e0080Ckl1nPN100We_TD8@O -LGA00SgVQDdd0000mWfL10Gtqfer5MTBWGN0MIzjmyD00m0G6tgOR00u7@e000aqqgAx_720 -W_yiPb3G00maBj0uP1e4Vd0mI3RuX3Mfbg400mCO200teK1000m7WL1000DG10Wt_T2W0404 -080808000G0pnk200000Y80_yN500ycni_VE6jaQ_6Y000k6OilzhOC@J000w60dGlPPGFv6 -ik@39ndmxmy0_g1u@xtMI16000Gy700IDvam@VOUQ604000100u_yAoNlYqiR10mrO_0RS9_ -XW0106Ule0vRPozM000maQk13AYKnugyslA00gB7rleaI@Fey@@P@@Uw@Vd@@@@@@@@@@@@@ -@@@@zYt88JL_@7300UDXTYKZzUKrS5fFkHjpC0mN0eQVpYkMYOAz82q40000wT00eG@F10uP -sWPH@@N1004gUKVOzxMQcF10i5098w400G000800G0100424OFIg7006Ojbn@2PPV3402008 -40ijxY00qBrB9FZjNnsw90000U7EUwwF10L20bpTLU@8100WNA00GdrE1089gVP3Uead@@P0 -0XPs@@y00120Y000H00WfobW0000000H2004rR3Ju@I0ed18Awe00028Y800H01Gj_6qAeDZ -zpWv00WCSSI0WW84182H08Y040000484sZG00MDMyd7084041G02fd1000WW000G000800G0 -004X00400001PnUo@@O0Yg1u@zVAWtWvyJ000800I00Ae0000I00f2000100Y0emP@@0L00O -fyVea20C_F3KG00G8000000W808200G120W1D_@30Wpu@@@vf_7000K0c0W0000WY41G0m40 -0Y3GAaI8mY30@@d300W20030WYGG1200G0108pt401G4ijV22W40QOz700086700_@@7G2W0 -Z@p02ZG010G30001400H0008K20410Q0W9@v10Ggr@@yW0I0000y2000W3ACuh@h00F0y@@F -2G1L1000W2@1u@V30m0PJ09nY0o021a44383868RGC0K24TzFq700sOc700100mEG000Wv00 -0u@V3SO0FWdWCcnW3lXPiH63_X2Yfc4an0CvFF00O5@@ddjVaOC9g0z304JUHx1@04G1fdmD -G000mMu6qnD6Xn9nGrF000Wc100GFnCSfz9b6d00W000102VhJoItOSZS800eo@FdXynLvCz -40200yRE3BcR00G0XGcC0240mevpyq_30YT0kVZdaeJevT9AO@X7ut0008mJyFaHE3000GW1 -00C419BhtInv601088dG60001KkV8dORmxy9Kql1002G@Wl82400X@@0020XPzV0040G02gi -mF3WGQ0svtckzPuvV98000idY1PFqo8@60008O1V6000mGT00uy8X21JeknBjHpD0Cv0ixPH -VrPG_wR3041ue@D008nz@FF1AW4Y0GWoNt0yL0G12@4zl1F@zJcYUKUeGHWop@@93Ut08FOX -EI_yaat000y@@@@tWgA00000OW14cG50008Awxd@cHQKwD004Sy@FF2000IfTCmdE0@@d300 -uV1ewg0000G500KmD6pxcGcov000WHx00GAqF4lAF@XdG6u9y6D3W000000GCCk7DYB100Wz -PoJuOy4UAdaHoVudSCkjcXNpD0001Ioom0Up1u1T92TdarqVeVz7ILt02000rVcGJOma8D3U -400A4WdJtb0014W0000100W9lpGLu9yPV5j_YHkf6008C9BBOMdd10002HbB10040200000Y -018012A00ifU3IhsWMpD040WmRvFyiw3zupW0000mc90duXHMzv014G00800W008080G@@R0 -00WXvnte706gil2N100Dxp3408W2@h00008H000W010024WInIYVQJucTF0002081000mWwj -jRq8U5hnxHxr6000H8vi4G400018000000030WYkj1qv3GiDHjLP2JibW8800G00008206zV -600GuQ600AMt9010p00000W0HC2l1TzRW040WozD00O0mU@m00el81_e010qG041Q5P3G4H0 -KNZ1XYn38q3WfwT2082143I0G0L0820Y7ms0G0104Y1484000400000CGahpOU00eJVd8404 -1G0O23Y08020L04H404W0002H0000K00AW800WmPc100hUu@H100W4WA0000mC00W2HrQ050 -400G20KG102zt6WI70@Hp30K0W3LV00041000fzmJuRV30100GG408dfSG200C6kG00WG6_b -1040001W0X8008W942AWW800Y38OS020020100e0Y0OrVO00iADi@ITnR00X0040G000I000 -0000K0X08G00004G041F_Omf@p0MA1OgVUADMYbZDG200mjh6Wm80G40q023G030G3VkOGGp -p8100e1wS00C00000T@z7gOs018m@Kr030Wg_@oS200e@100008n0OrVO00aAlHkJ00W0000 -O000K1W2e903H6WEIs0P4i8m8PJ09XY0w02000KZ000j_33O90WQFv9nQ30C00azF34nT002 -040_7020pW7y00Jd14p_08Um3GcnCm100OUMg@pGS00uoMvQ5d400049WR0008zJmFf0RL00 -20S4k10008c@sWjmDOsj7_@t020WGBblnSu60iQ0un@4oBtWxZ9fMmAEzE110007YBnvt64a -U2LcdmOwO0080exS3000WXP00u@x42mpWjKM2804mOPU0W00OpnD0400CmW1f7KHysX8000u -CUCUWt0040GZmdmCxFG000w@V300K0y2@6Lud0mg1WwutO9TL2Y@1800W@hR0000WtmDOTU3 -010G0X00OjU9kVt00040004GAKWXehD0i00I1_94UV25n7IYyU0108eSU6W0W04jl1000GEr -tWs_D8163sy@10A10j_@000ufc@POyV3grk8W4000001K000yH@30W01oU8XK_t000Gmz@90 -851eJkMAcNYi7gO8k4IHc400040W80004W4Wl400W80000wU01ek_PYGddp_VOKV3oyMY8zJ -00XGB004WGSuPQRIsSscRGC00000uC1WAZrgwS9ont00001Bhd0000XexD00K4G2jL000WHM -400000YV_OOHJa01000H0G8roA006Wizu304000L00a@i4004000qtkod1XV7LIF9S9_3tfO -GSzF8K0O2004mjkF08040900020000r608104@jt00480tPas9Kg0000Lf0WG2eF4vw9hw7r -rhO00mTVFT9Y_Ofm3A2Sp2mkhFqTuCx8CJj@g0000pK00m5z_L4S8004mIFdXwXC0GY0mQ_l -XV00004HKmw90WV@5000G1I6iEoLZI@mokFa2W4haQmph6C8k4000Oz900yJT220100000tT -uC@@Z150000WL0nnnmch6m3@3m700GywC000Stg00Nu@L00mq4000000GTg200001KRzNhmm -FvsuA0Uv04UoRhSdmz@6C@59q2006c6301043YhoQR9a4IK00O8MaHkGzDu_U3Yh_Xphh08l -1GV_OKSN2ZaJIs_60400OT@4000OSxl10400080Gj@U5@fdGXy9Stj1Njp0000Q7200r0mG6 -_XSxz3LVB1400004204000Mgp000G00G01oBF1A420hvQm5x9ybF30008wCK2008x41200WW -0G00000W2W00280004JvR000040028hkpm0z6qGl10100_U@XNwD00W0nj_98W000W000084 -01W105mPW00e0I000W0004800ynV22000EFF10030PnnmJz60gm14G00W808200000402084 -020G0OOk4Ev@XT@D8a@4EgtWetb0008OgaCSEqCTt@0bG0WX_Du1@AoW@XhvtulV3_47300G -0Hy@040100W800G00G00005000000100W8G480200040000W028@@400Sr6hKHXCw10G1epy -JW00GGFbCCXU2HxRGt@Le2a0GG00GnbL0002eHT3QttZtva8CpAEzt0W004000G0W00a@F6W -000400G0000g3u4000Gu900u@V98040000eOS@G0G4000208lQLMEt00100@TOGJCCKYF30H -00c@d100qchuX10Y8YzUL9L23wy7300GW0G4100H000800100O5_602a08jJ6g2n0I0Y08G8 -XG000W020O@@40vS0yTe4002WG4000P4X9x1Fw5iYkuh8hL300082000101080G4G0W02040 -40800202000120Y00040004H0000G00000PFA6000GeE008A@A00040W20Oj@G0W800H0040 -0WGWrRyCg1xm@Giz6irj1F@x100lYPlJ000am@@CaGk1VLRGJKXy_nCVqM1G00Wxib0aQ0mc -1IaiD3nXTo08I4hB6RBd0e04Wxw810003Q00gZ_hu4V3008Cz@@9u000EJXa_kPOiz70W000 -0W2uyV900CKT9C68400wIbaUZD00a0Gm@Oauc1zdB12a0uQRF1OaJOM0Iqgi19aRGByXKex9 -000WmC004Ic40e@_100002B0000000y400ZS00KLF100ONBJ2000unF00y@l4200o100000a -1eUTIWuk000082t00GdqL00W9OnJ6080GSoZ10O000Wa000801080GGI6CGT2G0G0Ijc1002 -O61008900KzB30001000A20041e00GwBF4Yi44400W080KVw90@V00Wx82z00eYM3000e2K0 -000_8Iq@U0Y71u@V90GW10OW30m038000mCDD837C40m0l9@9@jII9u9SZC3000etkOZ6fz8 -PSC0080KQl1BaRGPtI0200CZR60040Scl1rXRGTvC00G00W00000Znukn000G80042000Whx -d0W00malV84W4Y2mWZ_heBT9My@XxmJG000IlwL00z08NSC00W00GW00002mq@9aKm35ld00 -W0aktPe_z4sLJ20000W080YUNYwxaeWj4000m9V008kgJId@Xhat00010G000G100NHP0W04 -0000004100000G0020G0I00B000010040000K0W0W0400K810400000W00XAPF1240040400 -000008XdW18GNx600204040oyy60m0000040YW000K00020000001001Q0_400020GG00000 -040GW@@D000KmszI00G0020GOr060300G0W4WG010aW21K000I2s008200W0102000404020 -A0010G002W00O003e000050G00oYy60OBX000I00W00034YloR0001G00850KG0888WqTW10 -00808800G020C000010qxvD0G008O0100H080500108W04G0000220040W200000080G000O -00W0G40O00801Wt6a08003C0I140W1840G0001GW0014O0GG00We800llo02000040W0A00C -ta10000100WPKW1W8800G00O0B003yP00120WW382100W85000WO08000002800080W0WN9F -1401G008O0020O000P0C3m00004010G00JK@F08000G0000400Gm0W8020G000218089@4_1 -m000G04G00W080080W2801200400008PFa00WfO2400r@R0G400080G3_R002000420JIO0W -000000KPNO0000eIwCeC4300400G80000000X0m_rU0100GwpCqNU5vlO000W0000WHba000 -0G200GG000W2G0Sqj10aL4080Wyrl18248004Gasl1TeK1010WwBCO4kD00020W00e2M32Xb -1G020D4c00000W022JTR000812290DhR00100121WW00WklsWx@D0004ju10Wc_PuxV3sHmW -Dzh00GIqH_6ibk10480800000C0u@V3010W0400gRT3002WCZl1xjbGq_6G000G480W001W9 -pD0HW00000D04W0rld008012000I404Zvt000bdlSoGIy600020100m2xI0G00uwT30002ia -l1RZd0400aT@De3_4UwdXl4Pe8@40200000GCEq400WW003G8jU36kp000002X8GG1000en1 -200G0C01Y8_CelV3U_tWyvn0000200W10800rFm0028Wn3D00Y00IG8G492100WYkotWx@D0 -0p0mw@900Y2082G100G60G4W00158418G7000582W2a00e00004m0900O020W0G001L11000 -0Cc6HWG242J000004900400YmC0bxRmQ_I000500828401H00080G30wyp0W01040000W208 -W050600080041A00t@R000Wg@@DW00Wmq@680010W00e000000010280cut0Y280W1G0WXW1 -180010008019008GWY20We82A21a00182000NF0080G00W089000W0CM43000WGH00040GqP -wL02000K0W000W0000YKa00_Rt002040000000850l10485AX@10WO8KI920G4002500000W -I0GfruIG00005040W000808000H000W02080me3C0AB104000402000W8H0R00G00A0A0G00 -0eaI00008Qj@400002020Y20410081A10000W000G000J000014020WRwJ0G000000Xs_P00 -0G0500060018G900A0X100K0000Oi@94_l1W008000cW050W200028002000BHa0000Ck304 -8400G000yKd1@sOW80G0G20180000W84abE30W0082e0qck10010GW20080001400C10WArD -006GW1011080G6000wPD100a5WW00W0a8uW0004WW00e050G449td0O002W0W000W00W80u1 -WW002W0008aTGDOYV300mCz3k100GW40000000200W040m2002GW080s5z1m0W0W10001050 -1G0m084060104m04000W000m0011008800000I000021XQxm00W02N_O000e0I000W480shm -0W02G20011000e02G0C000080000G0A0440040H880euV3_Um0YW8000000KS046d1jxR09G -0Wm_D000L0180Wsvb0000100010008004W8Ya12080010G0W0002YA2000082258G20Oba4k -yt0WWa8eC2H40xlG804G004310WG10GCGw50Ytd10GC06004O2S0W000a882020A80080m10 -W00W00000tS0100009Y80006000200WGB8000q0Qk0S0GwB0200omF1000HG000WW980020G -00W80e30100Wf2aGA060EG2000A2H1004503O6G0006_lr@308Y80000mkx28QC3qTt1800W -G00882_NG440LgQ104_Y0u@51m@WhALnCJC3W@I4pm5Wg000WGW60WCW10WgOC01000001rb -_DugC32DZ100m20uV00m@KfAufILBYfCN4UPk80_VHcnCcCZPCpq@W7k5fgAB2W0000C000K -1K000G5mKCS60WJYa0U791y3S2e9uEnFGDIaWx485e5S3W1W0GH030N06200k80KGH0e0k0G -1S1u2u2m5X1WB2W4nD0yZ300001000N04091SHO0aH00e3000E200uD00WAm100W5JP24000 -8805WBGAWp0N4k0t800SH0e0Y0G1C1W2u2m5m4WBYB0J400ke0L0e00002m@C3A000YLXIGu -1eAL3ILg2E0_7pC1FuXB80yNGm30000004aW000G10042eW0WdV7WGGF0VD102XG4nl@0000 -eMW1040004000u9wP0PW10000Y9il1008G100p0G0P080C300S7W160xkB041001xb0k14Wb -rJucu4coEXyrDu7V3YOFXYQhuG@46RF100IV9mHoHvmK37300081040Ech4040WkGd4G000W -4V0IFW10004200024mWktIeByA0800qdc1dfBHdv9COl100K00450iwx3vsRGdaX4lk12700 -cS7ZqoCu@VRwVpW@bVuYT3cMFXgqhuhz46ms002400068@P_10H00Lhp0800Wedh0802G_lC -igz30020YQD1404Wm0G0W8Y0020006O4mts60X800G001024000104020AYF108820000C00 -0K2a19GP0007102H0VkOGht6O000H080mly6CEd13vBnO_CCIk1dBd00K020108lsQW208G0 -00W08Y0X8G000G40W04m2560GX0400000c0002Y020411000000eW0G0a0002008W0H0G000 -W000d000202a00000Gw360H0000G10W0080H10Wn_D0W0e28000800W000G02002G21eVy4k -ptWodPOON3ooE15044btR001G108W0004G0180020H4W1200AC00080W00W0GG120000B04C -82G0GK00000080A1040800WGXG00G8m1000W00204000O0A00000x5020YG03040000e02id -V30021q9e100005040qbV25kRmyO64fG5fydGXQ602000G10010W4CA30TBP000D0000000G -4hPCXJzC8bV6wYt0G000O10Wwzt0m83AW20000G00W00010100000500G0080m00008208aU -304GealD6p@R0014WB0I0008Gzz6iAW108G00001igl10004x7r0Wa80trR0820WqTb8p_4g -lt00008W300EwtWyxPe1@4633ZcvJOk@4krFXg4C0100W00004004@vRmY_6011GOz@4W84G -000408G0020G0006G000000O4000G00800G82WInP00rb80041000H400000102400Ga8000 -0WWmtC0080m1_C0100u5V30001qcl1xpRm2rC0000404800000K00800H0010G00G8u3V340 -0GaFP2W020W0000440uzH3000aahl1jYPGg19C@l1W4M0_aN20G00Jgpmoy600W08FB6gMtW -3kC0200GywC00W08u03004080004103mi@900400810GxC680G0ewS308000100OF13_k8XP -yD00300000AJ302D@pmpaCyKQ2d@d010000G400044tpV382080002400410GW00001L4004 -A00W08000G0W041180Ga0026200041X00000H0C00002000P0G0GW00K400G200A048e2GHo -68400000000WMN08Y400G08000000118000L500000400441W00auk1fyR000082G00puR00 -8A2W0G0dxO020000400080WI@F18G060280H20OG04Ga8801Wm08W0004000800WG0180008 -0200La822W0AGWX6010IG404X801K0004440G08G00SXl10a000002200O40000Gz200W00n -cRWW00WiQC0030m_@90P0000210W42008009qR0000eIyJW080020Gackz8h@A4000000018 -0f0YY00080K0000W8050A0m0YG20jWY814G4WI9000200I0K0000BW104G008K280W849WGb -00004041G900G4000W04082o400G0004W4G9e4WG0201WI010000YG0m@@6080H00820bK0W -DePOXx7W400yhl1hvd0000cvHC002002000W2000105e0X6asc1HnR0C15040000G4H00000 -0uW7004621W040W12ZG0G00A00CD004e000500G010m00G804q3d180204610W020000O001 -G4000H00020100m008e7yA_9t00i0093Z1000G000C000Y000K0a4C0G0640Wf04AAe002O0 -0480W30000151C0000000Yn4X88W00WW01m0107041W0M2W0O0W1012WT0G2YWG010000024 -o00Y20X141XY20Gv@6y_Z1400YAit05000NsBX0W2080000WO0000041k1bwd0000E20G016 -P0gG010520W0GW8G4WGmW82W0008120G2000410W000y4i1OD10080000K800090410C9204 -04W81O9GqaY10004W00900GG00008G44WLRDubb400050240u@@A00003010W00G090048P2 -0lkB108K20Z0ICH00G00004410S04008K4mL2100mLW80W3W210G0GhR840as0000YN0N940 -02N1Y0GC0A40820zkfG00uBm11208yj400SbeG00qAmLIW06000ACO@4GO90000Uf0z40000 -0008300uF0000WWAe0000GS00ajk1qtV00008BpC100_31u00Vz7W_YB0G430000uKbg000u -1FSBWPcM4U@j8ymBHuX700000y7Wz@J0GXul5KLLB2m@00Uu1UumZggM40_lOuR60W@n4ymZ -fC@70_l8u2VH0u@YCZv41m@0000eggouCG00300000100y00000KG0KgF300201Wc080k0r0 -00Sdl6010000020C00080O000G0000Z000q400m5GBWB0N0N0k80YXB15u7m0mRmTcCWxC00 -n8000000CZK40n0OW2y6Sdv7uE30mT6054_63y3OYI1810KWV06080_P41W000G400041000 -100mEG000Wv400u@@4000TvV000000ez1000GP00OU0_@t00K500000WS00aPV2K7O001LmB -28541100008184Wu47006lsWu@J00Gndx2Ga1dhG0goC0000Kb90@@@0uP60000L2F000000 -u@00000000e7WinP88S90001yPM5vYJ20100WRC0ztpGGva4Ej7@@RG8l600W00200oev60Y -0100014044WUdaOvV3cVF10080zvQmI@909008IE3Eoc10008LZd0800YiTJup@4YzF1002K -HfOmrwR000W0100IcN60300OvkA2zVZKpDeV_JIPd400G9@@R0G10WuZCuFV38000000WOH_ -4AJ9XZsU8iU3020WikV201000g004Ak1BqRGnJ6qKk17lR00010W0087ydm5@9y@k1000013 -0080G0u@@4009804GG00410106aBXC000X4800Xi@D08W2200eG010W000900GG01G040W00 -4000C40W005001W00X000W00uOp6000102W20000Gs28000000028200000WWmwEISMe4xVb -G8x9qWa1@@R0G0040080000G900Y04000e02Op@60G011002200200110040G44008000010 -00W04KWY800I0G02e0G20008000G100W20404K60mC0200000WU8004600200021080W00G1 -00m80G01WAUD000GQR36yAj1zoRGYh64xl1nxR00W040120HTdW0W00e0000WAC0G4GG0006 -H00a0W000408W2G000K00000qG00001KGK0000804080W2W80403We80CGG1O0001410W020 -0060W08W502AZ0400000E4G00W10W04mX_60H000m108010aMND00e2000Wcu@PuKT30010c -ht32000syt008300240otrW@@D0G00Kqy6000020m0C000YKXD0041Ojw608W8PzV3s6t000 -444000088080000GW00000OX3080000000u010000W0KdzLKvu300W0YttWyzJ0K02nMc9y_ -V20018oxtWDuCeHS3_WpWY8b000WO8l6CBb1000Y0G0WG0811013000Inh_P0201ogl60000 -00H00H00W_DhOEO6AqK204W9G0149a00G0Y00G0001100300WPjb00G001002G000G001000 -000HG1W100HG00000A00B0006040082Y040Y0008W00wms0b_7800H0wGt02W000008800WG -4000Y80Gb69K5R2VxR0G00WkQI00060001WvjP0000qkx6000040081003000000G4W2@E10 -4G8TddGjq6G0080910W0e0WEtD8tD3QDF1000im40H0040000200e0Gww6ag53rYMHW16000 -80Y20njs6S2l10084QVF14104LtRGfw64Ql1G00W22qWVxD0411W0Y000404Y000dFt0821G -0G060002WWW0G40000900000000M1W0Y0aMJ2W0004000r1D34H041000G0H0uFU3MftWxtD -8@T30008030000Y8mTz60002084W029A0G000Y1H0400WW5004H04ON_60041008G0X0WWfN -D0Y4W8414684H44OA10458024828HG21GX828022a84584WG388X4000W040J10904028498 -040GW0G802W0K41004H000008I0H088@7000W0e828OQ3GG4001I8ud030G000004wvF348H -000H90p080W0WK008W0004pot00G208W008C08Y80AuPH30000804a10041008GeG3G4601H -000WG0mGe0G400W48W0G000W000m1MY0W8000H02000e041GGW0Y0011089N3000000c80H0 -0008W80002jqR04100000H2401_Jt00W8W00GHW004Lpl108400mG0aq_300020009005KOv -U3YvtWJ9Uufm4000A0008ODB3020080W000G_1000090a2KW805000I100WG41mez600WKgu -U3c@tW70CW2A1000000e41001f800210W0000e4b00M00000g4010G007b0O@f7glzXNaIOG -N9080000084W00Gf_600040a00020000F5020021100008GeE130W20Cjl18W0000008100e -ww70000W0W000W0208H180000W020G0000580WQ008000400040W0GW20K4C30AY0kqsWrWJ -00G0n3@9KLk1TBPW240WYyD00W0W10003000000OK5HGblZ1W5400087yMl180IGgyt026W0 -PcR000m802AWL1Q000202004A0080W3O8I011e82020A24040E204O0XW10W0WG2WWW00WZ@ -J8YT3C00W0O000100Gci60140wmV6QAm00G04LqPGgy9000100WNd00009100zuQmnY60001 -iF5300500541uXX4opt00W00G0104000000g4G001080G0W04020GH40000H0CkaA00Xs080 -0G300GERCSu@30120cToWw6C0X0800020002I41000iz4w6W500D00006042W00WO90088n4 -A00000OvS90200000A2A00000Q3zzR000W24G000y5A80W21mL0e4L300SaWGG8tAT70H410 -2e0@WtVAG100qzl1050uJbA103Ae00y_3Rp0000000ee0000WuY300040LHF04H40040410z -08000y073000mYf030o030WO6I0FFb20009A000000mk10Wk1000W900Wg0KFL100mNVdw40 -00C30005W16003C0W6F00yV0000W3000ol00mfr9030006020C0CWnlD0004300GJ00WC040 -40o0O0G000W100020WFiD00O0W0m0mh0C8F03e3W003G71N06000k8000000qtJap0w0A0q4 -qHm5eZ00WB200O000mO00020304041418200G4000C000e80002m1WnWG0g0Z100c3000700 -0e200e2O0W3mCWE07000V000G0000G50y0WAaP6a120Y03WXvV00m30000I@70_200W0F0A3 -0YFG1K60zH0L00qN2G141mL000000eG8300uVKGV0mAh0y3000OD18GW7W0g0W_W20m03G1q -Fm@0W2y000005O0ZP000m0R@E10ebM0000u@x0Wg008bV60e20KGsFb7c0i00WC1GwQx7G00 -0_rq3Jcp0020m4qDewR6IEZX@pC002000Wsa5lVuzy40000jHS2fXpmYi64mT5Jd@0000aut -8PxTCU7t080000xK06X7ZcbDODER000W0860O3zA6XtWdjD0008G@wCqz_3vjR0000Kn300d -kpGruO4vU27rRmhxLSSY1hqmGGz94Pk1080040000W10uvU3KC010WA0Opy7QvC18601@_R0 -0WSckxteKS3_JN200G0G010MXdXlyJe__40028000GW2840040XBzDelf40002Eal1400100 -00I000WW40004G008W8pvR00K404000G0018W000G000ay3004G0280804008W20G005W00G -mmk6Skl4L09HZFCqvi1d@d0100000030G00M@oWbQI000G0028000000GB4WG03qnl1G0000 -00W0101u@V38201000Oe4V3000WOV00001Wmdr601020014mP@6qgj1tRNnQ39KE93l3omv@ -6W0080308mRt90W008lU30G00yBM200G00G000WW08U13Y4m000G00004W102800G00W0000 -X_xYD00W000GGW2@DO403QmdX2xbulL9sptWNNneuahw6mjS3w5000X001WGmJOD@4I1WYe0 -E9x1x3CqW85I8RT9I1WYe02v08d00W1SDh4xu_GA0K45m695H5I00WE0S850AY20b5bkQMP6 -wKnWK0e8A0K_Hv@UOqQU6X00qaiNp@vzy@TH@Ntqs0C00EFqWE0I0W10OA0K45m9f2Hb0904 -41IW000GGa84000241YG0G2CmK0e8AWJI5aA0G40N4dG709a205H1SoMO@dbsylzp010000W -20@@R0A00WcVW10WqTtv64n9IFxQ0000WeMJ000y0000WIrD000WH@@60040_XeDIUD1VIC1 -0000W_J4Z_0S2000Wl00WRyi4008Wp10U1u40rz1s3ZL00800400mW@6qru600Ug@Z2i6rDu -xK3weqWAzD000W00X0W2rIOuV3MlEX__Den230oWGCul1XkdG2rCaxl19rRGX_C4BH2Ph5I0 -_6Sol1G00000200040v__AAw@10400v_R00008g040LmxHa_L00a08dqAguA4G000jydW002 -eroD00000W00Y6yJegx42yt000svjvRGRTCCtA6H4II@z6y_l1deQ00202I000dfQ000G00A -20W0007qF101WmZtR0001002G1d_R0204agyDucT30yE00W00PVU32utWazD0080msi90G08 -8UN9WG00Spm3ryd050GW_xDeOz400G04wf1040010600042vQ_4000Y201H400m8G840400W -80G0900201X0042G8004OHG00000ef200200401W0W000GI00008088100200010m0Ikl9S_ -R2R58HTBIG00K00800004000G0p8O08240G000W0020000X0e0YG000114WPyJ0112008000 -940G220K0G100G0004G0W1080W0G000TW00000OZ00040080000m0000c0000G0W2fh130C0 -00G000G01muwCiPT2NiQGfnFS_U2Z7p0020WHyD000mGcz60G000102Gkz6040W1G0W4G000 -80300800000W0040020600080W00GzoO0G100010GG800JbdXqXD0W00myz60204OGvAghZ4 -042G00W800001000200HGu_6G000024H40WW004H4000082004OW1Tvamgy6000G9rU3q500 -4tF3@3dm_y90008gp99Ei_40W4001000G40qup3DAOmj09CUI2txRmf4CG00000H@vReg014 -1W0Y0GnsdSFz37zpGl_60012002000u4W5@JuoW4YuFXLiD0Wb1Gt9C0008200WsPs9qKDFd -RR000W10840Twp00G41000Y0000800WC4V2XwRmYqC000020I0GEz68T06eD6600G00040u0 -W1ARcXBUdfyV300600240Wm01mId9000800W00G80041084080000WS1X10GK000H000G000 -41mBA600000G80WGG0000Rk00K02LoWDYJW0000X000Z000ZC@0141Wi7K10e200000Y0W8a -200e800acH2008YG0Y408C00HCW52GU6Ym80NbPGk9I0G08440880100msC0O0000500i_l1 -000c000Oz4_34100MSXXqCKvrS3002AjOF3K00218000400080090K000G0800050000WW00 -WI0008100A100008209G00008318e40006420W04H0eaI0ma8a5HA10008H41WI0024002A1 -8Y000G1rtt6aPl1VfamDzd00500W00mJDI000GuFQ300000891OyM3m80400000X0G0008BG -040800H0G002O2000G8E00G36001800W0A0YW00000G8208000K4H0W028200K6d10400Q9t -04G00rvV20080000W0O000001GG008CU600W2000010W12C014G48804C00000A48608eG48 -80000300E831000040W089e0u000G59H201W15G0G0W26001002A00004221K06420800QgT -6004m00819uOL6pt0000GYI40_@t05004HwR00080020a02GG00001004W01000GW0200808 -00810000040000a0GG000W0I000000Ggr081W8000800K000GW00a0100000GY000Y000800 -0@fRmMQ60W008Y83QpN520008XOGWqA8S@l1BdP008G2000080WI000481000m0G80400CV3 -X01fNWK9000aMX00020844210SAXGGm_A000GZ4zt0E0O@5210u0WGG840qx6211xl0dI010 -ilYG0000G880y@l141200000UydA00@@10000Y83u@V30yp0000008W0006W0a104i0W3SU0 -mWV04WC02008Wi6@z0Gw3mp@UKok1mC04P6000W0KWg0000em@vo910O000y3G100uE000w@ -t00080q400W0e3iYa1000C000w0G0a4eZu7G610WV60@@R00y300m5uEpFWL40WxC000000A -7E300uD00mFm1WtWxC@0tP00kp0004100O200u7m000mF3H00000Y000010004000418000G -40GlwX00041C00000Ze1_seUQC000a300000OMr5_6008WTpTgsiNYlnaeAvA06000G008wi -70PG0KEFLbMP0002WO_D8qV30008iqV2ncP0800mj@D00800W20mU@DeoV3IytW61Cmz00m0 -YCK8k1vSp00W000080rm9HZxLSeO2jydmbuL0000AnW4Y0@100201kpW000000HLTX@mjvLK -0t90040w7t04000z2OGvZ9iEl12040EXyXVWC0004000KWLqI0800G1IC0yV1Oj99_hxXW4O -up3IcntW_eD000W0400500AAFHn0G0AW3zC01800M0I05000W208oLm0100W000C04008004 -08WW0W010000iu6000002iRf1fKRW080WNnneZV3_aTZO4D000120000804eG0v0G000Sxg1 -012080W8000300080204YODD00804104G00W0WWG080024kf1F@O0001W_zC000jF0200208 -1400100XG00H2012010e00008040G0K040G090uzzAsxaXnjDuTR3Mzq0028000W208G40K0 -002802a00601040204021004104081K@Z6012001C34I620002G040000040040040004520 -0W00e08Whrt00HF080008020W0000211005001Wa0T9O0W0W1W0G01yR0G00e3mtOKI3sbqW -XmD8WK30802GW000040mRuC0W0000140G02Wn4D8CH348081040A6E3Q_mWjnD00030000MJ -300001G00200100100W00G0000G0R@dGkV9Sle1X@pGRXLG000OEG3UydXk6DejG304400G0 -4u4C66LbXJ8D8Rr700yF7@@38400UsqWcCK9jJ9MbC10I0000100221ixP2PUQmed6SWa1pK -AnHUF8Qc1Wa00mBeFCK0FTmRm9y60m08080GmF660W00G4G0G7jL4Jh1ZKO000WWieD02000 -08008G20PdP0108W3RJOmp4cdd70020W00H8100WO00O6N30W0W00G0e7q40100W008uBoA0 -08000C1uJN30000900000mcGrHL01008DY7Ypk5W0020WeX1G4WW0000G4240080Y040bhP0 -000G0W06080400Y0Sug13gQGMg601H00G20mE160000uU130jz0W8408HQ30100000620088 -00Oaa2ovX73U5s00C1825020YWWG40000290W49G0808pdQmLh6Kdg10000d4s0000100G1W -004t1G20G9010001300yNB600404Li1rlcm@@sG100uZr466nWSSb009a0bKW00O6KCI1Y00 -00GA0K00014X0G9A8210b84e41X009522A1000Y5W2G9046be40IGA34ZI41008e90e400G0 -f0W2An400ub2Ro5vXhNb0002000WG42010080oUC100W8022C00100Wma005H0W000WW1080 -0007QI000r004G050348111RuP02001W0200W0W000Ae800eb9O000W0e08OXMCYrD1y161O -0C00LO4G00HW0M4000200W02OA000N4304110H4886G0041W00000Ll5G02400G0810C4011 -0G8YB04008X0003100a002008WWtZO3X40WkgP0810mVAI00e040880000090000WK0W000A -AGW00000W01G80K00GW018000401000H10AGI0K008G0A1009W00200040G088WA00LFQ300 -W0I0C00W00_6kYr9P0pp0C0Gw520W020WO0004A00Y00DGi44808zY00Ga00GC210w300nmk -N00004EzAHa0YXx1lGx9050Ir0G00008y@@S8p@00Weet@10al20816WYK5W@XFm000Cm8yV -F00e0iql4_700gxt93000a400018001020602000CWcoD000W0010YdSD00000061008Pa4m -FG8WaWxCP0n8H2YHYK0Z4f0qCI1u7e240d1Y4yp4f0000eymPGAGCSF000E300m500G6W300 -0P40czV618W30000uX@C20000MP5G2x904u1000WH_w90W00m@0eALfgAm3W@22pC54yPA8u -XNGggACPcP0u@@0m@W0W@110000OivlWKLrz_Ry3A32LE1000es400_@VcqiCucU3UpfYYkV -e5y4sfFXpgJeNA36ht00001ZVdGou900mGlRS3ooMY1WOOFS6IdpW02mehDFY@_1000GN5@m -Y290000000HGRu60KG1QfQ6o@cX9nDerT6k5t0001014GoecUiCE3hTRGAQF4@V2000eCC00 -SAO8PrF34000W000hd9HUtF0004ucT34000STU20008ozc100Ntpe@G2r64g_31mNnOxLaHO -2m10XIgt0000W80G8EFEXmuJuMV3QZt020409IR0000ajnI0080010G042000d70gEt00800 -0G040004G015umS6IOrWgvPOhGF001W00W0001040m1WLyD0004mny6K_l1d@RGjz9W00100 -0W001WWExJORS3IitWLQDmh000400080407hcWYW0X@@J0004oHzF4LX45xNnGD64Ja1ZmQm -g@64rk4FyRW0G0WQ@D0W00qYV6Skj12080000EKsF31UQG@_64MF35i1Jw@60000QUD3cTw7 -WAA0@@pm7i6CxPHfzRGw@6qPP8Njd0200WNpD8u_40001eK008JzJ000PqRD9LZo000G0WW0 -0bPpmOsO48l1jzL1007tR7h81UOIjKYptDeyA36bdaRoCO__4M9rWW@D08d1GPnLSck4BAam -GwI4jF300043et00G400W800001ykX13ux100Geh_JOqb4ovFXiPVeoV300H0i3x3vQcmYxF -4TF30G000G800G0000800400G000000WI1100GG0087qGUCt0008W@kQmc@6i_l1004Dhz@1 -C2007wN10G4WhuK1CAe4G00I00WI82000WK1I100008000KWW0Yg040005000000aRobmci9 -0080W2000OE1Wv@D0009400G100020CH0e40GyF73j@dGuu6y@@3010W00405nV2K20000X0 -002200Y00Y800A0005@R0100W4uIOrV6000XLTk1lVRmklF0G000000j_00W@@D04O000000 -Y004VxQ0Y0000820RXzGFvIixl10220EUt0000100QmG000Z0W40000031Y8W0050040USr0 -2000nYam@@9044000104aGH0K00Gb_pG@B600W000mFKZxC80180A280002m4cb00600200W -Z5VuRU3sdt000m0Z0d00008001000H08I0W00000XX0GtlOaGl100W46JMYTIJ08Y3m14C0W -G1uzVCQZwXqWn00KC3m1WKD040490041G0Wo00G4is021G01001@yNHYu6002l1X00W600Wz -YP00bG80081000S95a0W10008008_2202Il0WI0ezE3YXx10i20zoo00m00000e@id0W2W0W -0qAXL@00WDM1GE0JLp30W0XehX100Wu5aR000E000uj85G6WBWt0P4T0_9H2qHYa0Z091a4W -6YmWa000P0P400o8tHPG_T90000eyD30qw0a5G2000ko5dXc0Iu_V6e20000mCuHu46at003 -00f7R0WB3WVzD0pC300_7SXpCLgA8CbPGChgW0m@0000e2WY_z00L700u7WukV0000Fu10WR -@LH04nC00008wF0JxtoiEvSyl1002Bcz7Z7dCeyySICFXogPepxDUTDX_pD85O60BG0Cir9@ -@dGTmIK9k4Hhd010008G00TUJIMx9Kq_30008e500qPy6l@NHIr6yyZ4DpxqHx900GvEfmhW -8000G0022000040000GGNOdGOt9Ckx6FL@00Q6WWmI89lJobo300G400GO008000500080md -yCKec1DPp0000XBMDO@L60W00_hc1nyR0000Ec300vzd0000mMxDW000mnu6SqU2ry9n5xLq -zl1JRRW20004W00Rqd000GWK0CO1z70020Uyz32002UGtW9xD00GYLuw601048kV3IxFXy0D -87y4M2NYzybefU3G20002004600GeX60010000Woou9az09FV@0md5WoVb8GQFY4J5W0G4Dz -WHn@6Krb1ZLLH7LC0700e9LdGW0002020141mvz600G0uHvV0G876vgJ000G000GG00W0002 -00G2WpfmuqxJs_t004B0RiuH@wm00002H4040W0WyiDuUHCYTdaRxDe8C3Anr9HG0001W005 -043W02000000W2Wbvh83KIgmt000A4t10phzX024HK40YW800002C0860180004XG5HxR0H0 -0WApIeo5C0cJ0SxJ5T3@pr1@S0Z1xmP0000Ix000zzp00G00G0W2X8@3004WTwJuOURcftWi -3D00mUGC@FqzWGJiQ0G00W6zDuR8R0000sTd1000002P0KaR2ftdGTKy008164Y0G0U60800 -0030GN@Oa8E3vjNHHg64Dp3dNN480040GA03PnJMS9G200004000W5cl@VG140G9S6Kb2F00 -Az1W2CaQD3ZzN100u7@300TTRGf@R00O0OCSd0G1000k000O0C0m0G100WBXwnX@64Pi40GI -0U0d1J1001v@GHupy_F3m005mG0kpVAK5y0aOOw510WHDByq0020qCc4Fidm2KR00h1uXihU -f_aB_D8EiD000GWE00eH0IYmUZNi91W00000GWlah0G40mNq6qvF600Ue6uEaUoVeKz7kx_X -sczu6NI_3L2WBF0xrx4002WQmPOeS3sFAXNZJ0000q3DCa3V2hYB10008k000L@R0C00WDbC -O_V600G1KKuC0W0100084R@3BdBnhx90200O5vA21r000bCXh@008WmstyuezGcKm00800RC -BnqyCqhl1hLdG9y6ipE30001W02000000OH3G9y908400000W0G1WstsudmGcXtWvyJOZU3g -Yn0G0007MdmB_Ca9l1@tZ1000CD200@odmTqIazmCZRx1000YXpW100tQYBfrPl100100008 -SBv9X@RWe@4Wb2OxhV300G08020eoTI00100000bj00GJ1l10GOedhM00ScjQJBpn@3241WT -_DW048m8_g0sr080lSMzp6YGY0OG4GsEzaOmD8I1C_GIhCmD88rJ00G0000uvvTCksJeOCt8 -sMFMvE14200800000w0yoF60W00_v3ZfW@PA_GUvF14000000GZfcXz@JW000qndXaNzCxyR -mNiXadV2RzR00WjYZaE9btYA1Kbe4C00WW4H000Gq10JiLH4uCS6CI000zvF00GV0mM0m@l0 -K7W5nLX700m6EizVh1tTH2c00000CH000000mwqII5HWdG3DobE0TVeknJgL0080ery70W30 -q_cGr1XnWuLaYDC000mpKyX4SKf3P9MTdXTk@fwU600uj5LRBPipmvxICF@3Fqd0G00WLwPu -H@4ItlYA_b00J2GTGK100000H1mUKOSQF6JtR0e20WdsP00007Q00WH7QfLyJ8G00ytz3FdP -0800WMfne5U9IGt000z3@@dGXNFW0088iOLopd100400004QLN20G00400W100ey@F6r08nq -_60UA0u@@480W0ypE3Di9HJzj00iG8lTR0S00SUE3000Wy600a6iG1@l1G01Wz_h000100e0 -WEw9100BpvDNTfl1ZuJI_mX8uD1OWqeceMbS@D80SF000GPL00uekhk@tWLg520GxOa2Nbrl -1m000oB73W0004000G000SpU80AM0IgZgOrJ00GW0000ZYsnuyV3Qcs3000eI1006fOlX@91 -0GzOQlKDhF3NkB40x1WVwkQg5FAIl5d200zqTrFJ@a@V200ol2QcA89Y0B5i48l5WrosJ08e -A0000ggE0Zxx1h00Wghf2J00080cWKA29CSCM_d100uBPSV50K@V0000eEm0odN8Gm70XnfO -PtL000W8btbMoEavzn8BV924d100GLPbRpNbED9_337@08W0WPhcvKJL6qdXDzVOYS96FjYk -adv5ALwHlYklJuX6IwKt000Sy3A_MlwCiiE3G0G0Al@XuzJ08p0m@@6800W8I1dQFp380002 -000w@F100015q@0G00WduD00002410W_3BQWnS_kF400jonjsrEeg@dm@zHy@U6@d7o@tfyV -TC@Fdp@n1zVt@aCk_6lwRm8xL00m6F_NOMClb1Xt000G000G00100HvdGO_6qcF3@lR0eD7W -8pYATSLkrC40W00000eGC00KzBC51V2W00WpWVuYz74000C1V2008000W0q0W1j@@00WFeXK -xwyVFIU@4WsA0R@xqso6K7V5rPRmVzRyZl1Dvp04000000mW000Q7CgiWheWy46o@aCkJ00G -Ut@4ySlV5lLpGGqUCN86pk@0mL4WbKn30H0G7rdqgtOtulnJ9a000S9RszsCtW0Y314C2m_V -_j4Q8000G8200qsRT00028200G008uj@G00845ByU08000080KpU8Wn10sFUl3_910002D10 -WEA@VZp@p8z@p@Jm@10l@10000C0B@72GO3W0NyxihG000Gm400ePs@JvNbzrt0480mWzlr3 -S50020M3dXmmJ02000000gWxb8cAFY5lbnmRvyS9_lt000JA7raplDsyXU50080UrJYz@J0S -B0G3FrT@V20W01Iw_XPuJ000100800000yAW400004D_k4PpBnMtmiTu9n25200DdgsQPU_G -kY06000Wrl@mvsI0Il42000KCSvCX_6@UVo2uFSLF3vIP0000OY100FydG@_C4Ul4VBmpI_s -0402000000Wmrazf2A00GF1@K@F32ON0opVc7vJe30300W049eJxAo0000sSuDO6_91002qi -g100YCAJad7@J00200000mJGJWG00GsP2DCQ20dE0QhP6W0W0FbQG70600G08303sOp901C0 -8100000WZP00ePKXI7rWwHJeJ7UAlL200UK@@33410WWBJ000410008010YfN_mDAdi2v90@ -O0_@l500W1Ljc0080000A00W02I1W14C00xU4LQlm00800000206000G000O00010C00G004 -00m@f6W0002000000WY@@N20GGmGKv080040001000G0004000WW0G1SPi10000Wa82qAh7@ -@t2m62WIUd1Y00702004A2S0W000e882020G00W80W30100W9NY40K500000C3001000bk5C -00W@100mZggM0cPk8uhQH0y@YW7Ug0m@OAJcfILB2kmNav@@D0J00000cWruAg699_@F45m4 -00W9WH400Z80A0H0K0c0e0C1S1O2OYI1m00K00@@lTodO000WHj00m3GHjpcAbCRmKgL4vS2 -00_2Er234040TRjHrwOivXANIRmeq644T5njd00C2WCth000WGkx9qRbS1D@WX10Wd7qgxsA -25FX74OePS3_jl200SJRh@0004WqFmOhy42qtWo1t8CS6_1FXmmDu@@70G01qCF670CGa_60 -8Z18p_40G00ahF30800sstWPnI0004W020W1_b8509sNt000W03bpGOu6CFV2L_x1100W0tD -0000aa00WnwDOjU9Y28XGqD00080040Wy0Iev_4sSJYBALv0m4AbtWTnV8IV300q2LhF30G0 -000W0KVl10009MtNYzzDOJ0C_@z@_Z@V@v@pl_@xl@l_y@dVVrFZn0000Zg10W2x@Vm@@@@l -kwWD0060m@@F00uoFOxe00002H00OdvDW000_@l10GG0g2FXcuD0WG0m@@I0GV0O0T9QN_Xm -nDesH30WW0aJU57jPm6z6aIV8hrd040000040LXRmIP6a6k4000OaB00y@F3W000ND@1000G -01000400TT63@kNHTw90W01OdvD2mEXzgF10GRKU@pSjj4Lb@0400WL5DuZR300001e20u5x -4IWt0G00W000400W80G0G40808W0010002bdd0400W9nJ04v1moz604008TX4oZ63000200W -0W0W04Bl14001UTtWb7JW0001002Xgkb020000010WA80nVdGYt604004610000401000JwR -0020atwD0008mWQ6000WnA80mgz980048u_40W000414OyU6kvr0003000000W82S9i10400 -00W804005008002000e000K000G000006000000H0WpyD0G0D0000O110GBrRG9c90008030 -0W00G1G001H5O06W0WB1C005GmKT600mt@SV3W1G0qCW100W8_@t080042010000000C0OZx -4400009404004W0G001000ljQ008WZq_DW040GBYLCt@37gR00102000201O0010Gbcl70EL -0sr@arEOugT380000800000120000W20000000004_XVK0008Fsjbb@P0H01mlx90004wU53 -YFdA04KHjmd3400094004000Al8X6_P008200000Y000xuVoayR00o0enRO000002800080W -000400W80W08G400008002000000eGSbuzb4sw7cq_JWe00GF1g000000m020000GW0O9uR0 -80400010FROmzwCaDj1DyRGX@ays_6008qIA060140008900m518001B802P00GWW0208m01 -G00GW80O1a4MnE1100GNN0pl@F0Os0etVL00G400024020002X440X04W1010W800Y04n020 -Y81000001VaGtt60050eFyJG00000e0uB03M@@1000Kk600_GlbDpD000a00828A0G0vcRW4 -8000e400004K40Ay@l10002X000iKW1zX7I2wU00WZzlVOMJs00G10008000G00081OIP3G0 -00001Gu@@40GG10002G131004GWeHt8323MPgYz@J0uw0mOxs020921000W000200000K001 -86224W14CWm@@F01GG02W0urlX4Kp6000mT200isFC000O8100W0040000200G8282020820 -10200240G00u@@CG00860802aC1W@@310aG80W8Xyah00mCrU@m4dh10e020009CtF3020Q4 -0300m4087_e0n_0y@@9uE00ATs08200A6W04WP_B0bxK000X004US200tHB104400m58410W -hif200G07kd000G600000P002i73k200hyt2010300060C000w800W00e3030WY0A080KG00 -YH00W@@J0e000000eOUtet@J004u@sFCjbRGBn6G102m@000mL8200H00Wx_VCsWEkVewD92 -x_X_k31871G1tOynh7tNZ10G0000100010104Wi8i4nlzGO@6ikT2tkPma@6SnT2000uT300 -KyV2040WAvcX8gCuUD6sz@XyxD0002GwSC0000180000W0WRyV00020020m5oDuhS3IXpWQl -CeOeDohZ1002WlmPGYwCa973Lfpm9MC0200u3D3gdEXVezu@@D040W4uk4WvA0MVtcSlm000 -WKyN9SYD3xgdGA0F0100000W040008W00nab0800WghD002010006S000vPpm5zOio_38000 -00208000080W0008W9gDe2730101000408G00100000284008_@@1W020@@dW0880800G08W -0w4F10100G010YYB10000006s10000012ery40W4200010028mov6G010W02001000220Gnt -RGxt600144800800K020em4040eWW804804e0WA004818000800NIJYzoD0004H3@C800800 -02W16000m008000_vs020010001G000G00800000m31000002W00MGt04000201004008W00 -000W1008080G020W00041rel1Xud042800W4008W0402801W0Ot@40200rPW1080000012m0 -08e@D0030W100eNG6gnoWxyP0000l810WDqP8z@DwfF1800040000240000028004O00G2C0 -A402G82o0W800uZ@e00e4CGc1n3jnUxO4Wk10000AvpW61ifuVF000e0000Guh0GW@9qWW7D -zR0G00muyD00G0000W0340000040G8W004G000020W0W@wWvXA3YO330800000mvA00aEVB0 -0H400080G4000020H0000Y048I00GI0a010W04008800asj29uULkmt000CfbhSoOc9KFf1T -_R010Ga2_JOcB3W000qk63js@J_@60Gp18w@4Yb8XA@hW00020000W00gG000W2W800CG408 -MW1OM30WC0WW500861Wm00G4O8mKXm0000PxHC00f00WG100005yY000082fPq2200G80008 -00000W00008Y05I484H84GS8W1600208z@l1K000ImYXbJd1G4004a20W04000IL1002080K -81FI400008W0G20a00020000aFnQW0A0eG2CW2008308X@@B2051000W0Y1000100G0000kg -41080nlSa000m400G020WG0G808000GW800020W04Y0W900A0008200004M000qgyM6s@ZaJ -Qt00K0GCS6O600W40WmLk6ips600W1m0K001S010G0008140000WWW0W0000e0O2000W000G -200YG2400888q2P2hhSIvn64GA300W00500K4I200Ee6ZnWnG8144020100G0WO220000049 -8H0K000000105W020W10K019W4IW0GGG0906WqXPuEPOUpr000WG00E0008iJ4000032Z001 -0ac0GNninJl60E08004A241W00400G40u00000GH8600840C1WGoDO6bS2rDXOVDu@@7000m -7Qs900Wgi00@RHuX7L0yFCp4UKLrY00001V004gi1W1U100mU000KW200a1000I200lmqocR -6y@F3O200m4m410W92000000OT4100O20082m000G4v@@D00H0e0c0G1C1i2u2O4n5mA2005 -404G40006000C050A2KHm0m000W1y@FL0l500000800GyqvJ000@pV000y0W000400ylP00W -04Ww@30r@7a_@FW3000_V0u700cetWPaD8ZtD6hN20m0G1m91000AWJ0G@@J5GW00G020nvR -mDtLS2j14040lGc10W00lVR0800WquI00maz0@CKAU5nzlnGiIavV2010W0100aYf1@@N10W -0Y@wU8QS6sGc105101aVo@@I080WeUuA0080SrE9000G002Gy@F3vWQ0W00eLrJ8I13G300y -iU29Dro@@O8000fOS9IYM20G00e201YXMYrsP08000600000ayxqRGrx6ihk1Bld0010eaYh -OFU3kf_18000Xnp00G0WdwJ000084G0WnlD0W00mTyC004LOpU3sbt00022ffb0100WszD0K -VAm2v90001W004mLv6q1c140W1wot000O0hvRW000G200000010012SsN2G0G0MpoWSlC8XU -3AKrWBuD00W80200WA0Ce7V3AAt020008X1G_md1GG04J2P000800042TeR0vG0G2000bvR0 -G0880G042004_@t08W02ljb0W0GWQrD010X00000W400L@dGt_9inc1poMH8uLW60081S6A3 -l2400000cFMxlYbzDuIH32@tWqyV8rO3EaqWC@D0600000W0G00YzMxnI@LK_c7WoA06urWC -op10W8IVqC0G008PT6otdXQUDOQw4002G000400HWmM@6ycj1tmQGY_9000400045L00Ws@9 -96E66jc1800001000804W0G00005Gxt6all1JykHa@9G004100080104800W044006800400 -eec700Gytht34000oKIb@CC000A20000080AhVBH4uL0I0400000030Yw@D04W008G020008 -0004QktWD0Cu_@467daonD86E6_sm0000600Y8I9d1200W20H0UwF11000r5omSv6G000fX0 -30W0000050608W0W00IW00W820Xg4GG0800002Gnv900040000kE10WHuU00X0GruI43N2RC -Omlv60W10W0000OY0Ge2G020K04101A2G2u5U3Iw13000W00G0G000000GGW02040008Y8m0 -0H008000800080W80001048000O01080K6l100cV6Ay1O000HvBnk_CSDV2020008002H0G0 -0000404O8W80WW0000W00000Cnj7Y0F19000HzR000080029000W0408c2030820G0G0b2m3 -WdNG001004W02WX00a008000WG00OMal200G2000W04014D030810G0G010m0000e4004004 -01K2004210ScF38400k0OXDzD000G00088008180036sF10JW200K0G414a2W1beR02000W8 -a000800014G600G40G0H00WTlP0a00GK@90008400G0200pe1O85030G40000800o800ma44 -G4420X0G8WW8004W100GiWCaOT2002200G00GG003u100W10G000GG0800020mHK4800000W -00880RyQ02008000O2T08000208000022G_uFqfB340W10040yEf10W00WW0000GK4OJ08G0 -G60000W01000C0Im08011G0000G0G0aGG00IftW_rU000W0W20040407vOG7060HK0082004 -00G80Y00000001M000G412We200G000000E710020A000108Gks6yFQ5dZRm8n60200eu73W -0000100WG0e8400I0eA20I14G0H1X04X9nD6000iWG008jx4G00000410S04008K4m10100G -HW8040X0085030e8m11200WYW80800110Y00E44000I4000SP154010iBYG0040008010O00 -00GwkrF08020088Ig0943d1f2OGA09880G40q10000mghPu@V9yF00WPggo2W7k5PsVBgggM -aPc10pC3ym@7uXl8ggQH0u@Yf0O0uX7JPgAcoSH0uVYg200W3Q00m@@UuV0000KLb50eAB2m -@nmP0CpyVW7_Y0Fy51W@BYPcN40@3GMSCau63HW@00AW9000N0c800SH0eWY0G1S1W2u2O5m -5mAYBWL400d203O2uYYXp00K0000mWH000W9000J03mMS9y@F3000c00000OY0G141GCS6e0 -c06HC1SW064D03S3SZ00W1b_l120G0Nss300G02805f00L10C300000LC3000G00Gr@5G100 -000C8y705t0ivUE000YcTr0414S0Hb@14000n410@00mEXpa0U21spGCu60000hqqb2yE102 -80PvRmhQC4xT2HYp00W0mJaI0W00080aY4MJ00G000W01000000Kv7At00040pz_GV09K7Z1 -VZRGg09KeU5znR0002mszD0000qUL9CFM5P1a00000024W000W08G0Kng1r@RGjy60Io18Iz -4M_cX_0OeGV3UNsWK1IuaxAMNtWMWUuUF9IErZrkD8d96u400S1CCxvgIiy9CvR2DWR01000 -0050N0cm_yU00GPiPU3_QpWakJ0W5WG2v60G008LV3_xE10Y005nd040018000xKRmy_9000 -100X0W000WpoDOwU30801KWa432a04G800W00G0201G40000G0I00GHC6y@l1W_500000G0G -000000208aJzDm00800200W02004410W00G004uDF30G0X00G0uk93ktt004G000a0IM@101 -00c001ExtWmxD0008qL@60008eSS300GWkFk18W0W0004W020010G0002040000W02hhZ100 -08a080E8t000120W300001000K00OC06082G008W030Wm010040uh730W400G0ce7V340000 -W0003C00840WMsg0000G0w6SE@9nsbGWzF00eYDRLL6atWMMbeav4grtcjy31mF3G_9jaMEL -D@x1008Ms300PfBqgv94Hj7@FVo@J6qzV200KyxSVfXFIuRXwIUWD20017m@0q10Wi7KywV3 -U_@100_FnJOplzLazWGJsN1G66WIGQPFNg_cs3000iHAqoJu6ygj7z7750W4lAgQvUnGskEd -c@t0au2GTzjCFI8Juho1fXigl10000LE00qJp90040GA00LDJN01Wly500yz@300cjUNfnxm -b00000041We7K1J000006WMjneKeb6@@X4NA20w0000mVt500tOpG@uFm000uUM92JBXext0 -0G9Z0W0WhmKfzy7UMN2000400G008W002000K02mn@90G8040004004W8uI04000012WLeJu -6U300a00G0WW400GC@C0UM02080mEwC4RG2zz@0e00X4oIuA6F08002G002000o9z9000W0G -02000400A0WbcdmbPC020We@V3050020WGv@U6000GpI10fQC9UIj20400Jl@mQBOCll1pon -m@@LiSd10080Bat3007Y04006fxXSpnOdX4QG@aFnC00800000f__t0010Hiu6SBk1DwRmPp -C0SV1G00004000120W0010W10080000002muR6iIU23jmmet6004Ge_v7kSZXZqPuU6I0004 -KrE6000GmC00CkU5@yQm@@900G0vcT9YQt300GW@sPGStCi0D6XBOGt@L00We70100020Ywo -D0082mXv6Sbi1NyRmkx60G800004mi@64ag12800IvdXIjJegV92dmZp@D00W0m5h9ypz3Wq -O0gr8XtkJ85M3kVhYpEzehdSI6xyU4_V7Y@pnu@RG_l6b@xwCjncR9zV600mPtNp@xzy@eiZ -TAb7N@@0md0WwC2y@SF000mtBV2Vyo6080W3iJOSDF000000WBwAOsw5Ban@h0qL1mt4KDJw -9XEBHSy9C3E3G500wNUZkpPe1V30W00kOR5000G00006bQ5VVA1010W4_DeHy7EgtW5vV00G -pmYxRCyk4Rnb0W02emuI0eW0Y0040042002G0EXNYVNbOTx7M_d100305x918U6mI@tOKV6c -lt0G000bJ_0000YxtDWm00ug@6Cbg4BqY140WWMw31000br10WOGQ1042G5v6Cul100G0108 -46eW1000G00W4ytj7PYgIu@900eD8TrS2qtW@yD0WaOKL@OSahG2ZV0ICd40W0YTzl10a0WU -zD02G0msjRKYkD000un200CwECLPR0000224108GC0068m0010002mO2WN10m7h6xGon_1G0 -00HhR00W02010400m00030abV22G20_aNYjXPuzyP0XF0q2J85P@0Y000100000H41444300 -208821D4025oL0O420WOWXW200eZTCwlt6000GXXlnSr9G000W0Y0Gq_9000450000041G10 -0085O0G40W0m000002W00WW@lh80dPc@d100t@1FV2500W@@V00600000I0G0GJtQ0W0WG20 -00G080MlEA0F70jyy308000G0080020000mK008ZR9022046_Fw000s@EapxPO4y402600m1 -0040m00100m0C1WGG000700K40u@@76WEX9nDO_eP00WIbdV8000W0003y@V2I000008a00G -80229mFu604A20020HkxF00G0000040W00000fF_@3mJ6W@@310aGuD16y@l1zYO00G0WJyD -eAx400K009G08xcPQ_Fai7s000ylP0000u@Pz@@0000K0000yr@00Gz1u@d10HCpF00mC00W -1@r@0c00000C1ZTB400WXosz000830100WC00Pzp00m00100WNyQ0C000O080m0eYW1G5qwb -7_@106FeYb@31ur3mU@jaCZ1Wtkx40u1000G000zF001K8GG1K5W@HVz100200_00GqqaaOO -BU40W8040ST89zgRmyu6KZe7W000_AtWXpJ00009000GG200rbZn8y9a703@@R0000xskD8t -R664MYIvD0800GKM6KTk7BbRm6@9qfk100O000W0FWS8v1ym@@60gd0eqU3EZibdXae6EUoe -s3000aq000018000809dSCIqF10018000OstvdRkVONlG000000meTdpS800001028EV3oSt -004W0Jt9Kw@I0iW18DVFYq@1004W408000g01GG05020YW00K022e01G0000W0H080AW2Ga2 -myxV8000OYFYaDznO_T30O004wl10804kB36000Gli7200HXUqyesz4ott000040A00100a2 -2WWW40400f00m04005G000800GX000I0SOuK1i@0uXyJ0204y_@300081000004G0G004000 -08W0000G4MDFA00GeY700oIVco_D8YV30e0G8022H010000808201rh750WykEtL1202XG00 -6cG320083000WW20002081nG000n010W48110WW0G08f0g0Po04iXA0002G100000X04002C -G0000000220klt000004I40IpOcWz8XH00G7Md00W2402000300G184A08081000W09028Z0 -14fA000GWG2CK4130242iP@e00WBNtlAO40WW8YWW2QG00a081840Y00X412W10083H0AKGL -4YG80G00HHXKG5uL2W0Kd1G0000410020WG00004001W00G00e48100X09W1o9n@@2vM00eq -3O_ir0000W002000002020020WGJd6G421u@@e00uDDkxCDxQ0GGW0aW1002HG0000Y04011 -G04O000W420@@75mK1W@@L1042100000808rxQ00G08048I00G48H41Ha41W0800I00anQbe -AySYFA1a302JzC30W1Wi7Cu@V6G3000003u@@e00yZy@@900p0yrh0y@l10uV@58000G00K@ -1ul@30G0mF00myp1W0000@yN0W0m@@H1Gt0uFRLW100020106040C0g800O000W2000K100m -0G0e803030L400L00_@dAo100@@V20k@V008eG4UyfW2Wo3m300000GZ00WEB0m7Uee_@0WB -600W000t30W@@BDwP6ozDX5R2vhO9QvF1WJ901PxHW094fD37VxH6rCK_e195OJSt60000Xr -00mLwXKWj4@xQp@@s00e5ujELYEncAkV8stP0Xj0Sd_IpvRmV_IK6k474h2000QU200ROqot -y6qul7Fh@GZqL4199@@R00Won@@NAevAoUG8GQ603v33W10WJmeA_8XAltWuxD8eG3_@N200 -GG4O00IxFA04ZHdWkn5xFyYl12010EwFXisP0000K8j9KojJ0DK0wVrZ05O8yT6MYtW2xJ02 -0W000002020ZX750002C100BhIoajFKbw3W080wlt0000Qjc750WoiB_zeyaAsutWQmJ0008 -002008G002094WWK0SlUK0K30khV30080L_R00001800W00040008W000030Amo@6yyl108K -80000258801GGmyHQr1k45XRW400WfmDe3030W2A0004A50600Y0G150u@V300O0yNFL000Q -Nw6ZOCUe9Z40060SSE3C000o@F1L000li6of@p0G_0000HGLuOW0000H1800000410G40400 -00W9010X0008240008WW018002084Sl10WY0IuYgKpDGQ00mFAU4iU2XLP000080W0008901 -0200Y00uR1300000W00yW63ouEA00lN0W00cAtWYyPG80000I0008G0082000X10W80G3460 -1200D000f08HhjayiFF0dQ0Qwt60mV_tVd00_t@YW99boSS300iwk1jW@00YK0o0W0a4aH83 -8Z8IG6Ha2CY85GIHAWC0H091o8w0aHY4eZ49169I28904G6G00608480SGS3YNFA00d_@@ZX -0KLL00Fy0mVLXPc110@3YgP640_F80yFcW7UCbv@0m@W0Nu11Wg220@34pOcgPyF000U8000 -_7k0C3Wxcv1G02Gw@IGoo0OcVL2v1fiuy8lQ6G0000000qY00G90FqDE63g72000mVjE9qEC -Ulk200Midl5IE_6ab69zJ_JIwIKol1WE50QnMbkmifPkV00003900OvQRoDddApPuryG000t -@WE3DeNHdyCSs@31zaJiWCqjO8ymf028qZK2huD_46BSC000oY300A04fozFvGWGw_@100Gt -rzRpuZdy_l1bDro1_I0ey08H4jkiNbyEt00007u10WSOAA7Lj00uUNEFFb1BH4eF0001gMOC -0100C3v354RmJ@I0U61eNdPQNLYMDKfs0IY@d1000iS200Q4GebCt8qUCQalY_xhOkVgQxjq -s3il@Om6_TZe0a0200muuI0m_1OkVLggBXSF2fvvb000WJ200OpuY_G_A010000UCxWIeilm -OQQ3wLCae0gOfQ30H4429000eu3000004Y4000000H404SbAf2in2oRibC9t9@0000wc1DOl -@JI5Wa8jPOA_GY2eYVvV8f0OI5WagzJW22000000A80W2000wt@XC_D8AWDo3mW_@D0GE0Gj -zOaAWD0001000WW100e3060W0000G24000004X000004G420IW04506v1aGUx9CEV5f2mZSC -00087dT0m0vuCdK0e8AWD004000G8GE4W000XJ0000WF72004WWJG8032Z000p0vGmGW14EJ -5ud3W_l0f@7Ww@gp_9oR900080040850AovdXY0IOdV306z00000W000oZz89020OQV9I1W2 -4000bfdGan9KpV50I00UwihPB52000gp00WPCN2008Gjz6080GeLL30002iu030G008000a2 -03000080028000000GGB_6K4G2niQ00WCdqruSFM30hS0C3tXzpdme_F000WeGxhosV90uhz -1xfua_64kD3nbR02C0W50@4000lb11W1pP8Gh7I18XfpVuArAIM1fs@V00WLNJl@ysy31sl4 -000eqtD0ym2mwD2bYRN000mYj4ZJKnxxV64400W00000msz4UI000W8tV3AwrWmzD0002msR -CamV2BwbGHjQ10m0iKsVU4dXCaTALe4e100ikRHHAILHv6008Wjr6jIEdXK0e8A09sT@X5vD -00GiL5GNDET20042olt00840BK@GA0IS3@3dlR08s4WjP@400048000000apcp000aW60C85 -0AY2eYZxJ000082010000080o40000008Je303I1WYe0E11000Ql5WMoT2019000082I08x4 -OG706a205H1S2110WC5hepVU0W4X0H484I0004H02H000a84841X8b205H1S2a000qRB0fUb -GgfCa205H1GIze6iJV2HaQmZc6a205H142004WKAJOe03UAmW2qJ850AY2uaNACOd23I1WYe -0G100000Qrkw@DO843U2nWhwJ850AY2uaxzN20XRF0308G1O0000W0b220000K0A80030mK0 -e8AWJUbFA00C0WX00IDD1000C8000023ma205H1SYS800u02d0000W370000o11S00GuCdK0 -e8AWJ000G80892019Y400004aW000009H220HI850AY2u490005a@@0xj3004uVjb0000AO0 -08kVOwwyXfzzOuV90040Exy6d@B100fqit2vqu4Y1GYWrb8FQ3UCWaS@L18M0GzYRaMD3nQd -G@MRS2g49XA1000auHDenhJ000G6_OB1W@mIfFSMgG8W00_@N200EexV7odP21000vES9400 -1SGB35qQGDjC000200A000000m580VdNn6a6C5B300G06_FXzOD0002008000080l@R0100W -C0IOJLIQlG208W0liB1000O@000@@NHSkFSfk1JtdG7l6041087ZJ010GqyG87wQm9kCW008 -0000000opbvJewS3Il2c87I0080Gg3Lapz@ta@VDx@FJ@@ny@@@@@tNlP@@Z4000AV300Lkz -@aT@737IziPGJSOS0z900WilcddrraOSOLYxM5m530@@NHmS9K2U2JtpGJu94YE31lpmlT6a -Zi70060IAu4_2001zJIF@FGW00ulBCIxFA00WL@@N1001mCrQvK_7_@Fddoh0KR0GK2ISQU8 -ZmdGzz9K4F37xcGCwjyVO2Fq@0000SQ1009@zm@@CWW00elx4sWsW0kV080GmCS608100402 -GO1F44ECVlRGwxF0081PxV3k@kYI6P0080G2n60040uRy4004G00400800K3@9SnF31iX4O2 -1Wi7guEV3IHu402008024s4Hb349fpx4000WXC00eJew0004jAf4X@@0K00040000G4KUuOf -GgZgzV30xT0ihW4Duh2610WKp7Btp7oG8720000070Y7L500G4LuV200jyA@v10W0Ga_C0K0 -00900m@@sC7W4000HMst0mSF0@@p0000ZGYK10080510WTPJ000KGO@myMx39somvu6000G0 -000cP10W@@D02W0GL@Iy@V8280G8WG40o429@V3E4mWZ8QvuMCErt0080000UTp@a1O000@@ -t250048002m0G0G800Cvh172030004000700000200MEp3rzR00100W330V@p0440A0G12r5 -V200m1W020L3RW80400u400O00wl1ckYhG400000GaiPJWB00mvHs0000000HG6o60Ge0020 -Wmun94bB90W090C004zU20W421009q4C30208G2X0Wq4000HSvaoC080eb0000G90W@@F1js -0C00j1010m0WG08004x6211Il0mHyH1280uT_YWP00yNVB00W1000IEwi1000P00000a10Ou -@400J000P06100Y1000000yw400Q791000uE300koN504200GJ00WE03080r0O0WG00GX100 -nhNAW0000008G100000Wysa19YRGT76qpj1bYt2e51Wnv1hCy7gQc10200tJ720008C100ZK -X1802WVuO8MyG8000Kuy3de@m5M9qbE37s5200wtXqt0W00q9qUSazCdTF3ey4W1jtOsDR04 -0WStE39pb0000YpuJ8tT6MId12000000IgVF18000000mZAK52000W000pEF402G00504000 -00003SHD9khN2eW02G00W00210X00020G000018208zXRmhs600mLT2@4sw1cjzD00040004 -020G0jtR00400084W7uR0W40Wkmtujj40000W080eDb4000WG480e_V60Fk0ie_9t_RGGzCq -zl10G0000280010urV300G000W0guU3A6t00044FZR0000mVAb00280180000018W02ozt04 -8000G01YRmWi7CmI00GxSIq8eA15_GkyOqnU80W00008000m0ebH900qp4rOK24W0IsB7100 -W92OmdO9G000G8_100G8Wl_G2000004WG00WWNLQJn@6Stl10004120001041000em44WDGJ -OzIg8100CJvCW00101W14tl1Xcb0800Wf6pf_@7WA00WG00u@V3000XsY@982000800G4001 -0000GG440000G5204H00GH000ON08040mb@D8nlY0G040042ApV60010yAx9XsP000G00W80 -80H0W000y1h1OB0000200041P8sJwPc48cWIK200W800G1000000W0K0000G0T0OmfpR000G -000O2000981G40e40040004X00001000JV000Y000b04000041u@VX000A0WmC004G000mWr -PP8GLI0W0A00W0006O0005002010G10X100W0000KDh00CWG0G01K000O0H0y@lG0e3S004G -004YurN3W84000e0eGXDMvpWRiD005WW000GWWG404W0G000880W010040002_30W0100G08 -2az0I20090401200000050000XOLPOBtAYxc1X1250e41100K04G041041080K2210002cWA -10000W4002GEimy@V5000wj3u0W@N42003001100GuF8408q0XI0O0Wu3P6A5N2aG80081wO -3n0WmNG4040W210H0070200092008EBWJ0404071nRErw@VL0GuB0UuNagg2EuX70_hAcoSH -0u@Yg200WGR_l8uXFHm3F00000uF00y@lGE000uD00mFm1WaWR0P0P000o09mnm@@L43N2E2 -W2uD05mFmB0NWV4k0k800SH0e0000KmX80A0J0K0t06000SP@@x4cP6W3ap100ytzH6uF000 -4h1000000ytVFpWqUzda5E6b@bG2_90000bm00mfsI02W0g4nJ2Al20200W008AGEdGgV00W -zpnuF00809uVF00W00480uby4o0mWbBD04G18800Wkh99qyD428000000002q_@90YR081T3 -000GKXk1NmbGCwIClj1z_OGVwC000WuZR3EXtWE0Cex9a0000JI00e4EIs0dXcrJOXj463t0 -00440440000G0G002140800GWauV0180pVzC0G04OcF6277300w7@@d0e0000000WG00sodX -7wC0020004400A00Tgc0G0GmquDOrS3s2r00800010448082W0050G8mY_607G018000G04a -PzJ00G4oTz6880GO3V300080W0000010008000G0G900QUd1WY80RtBnwC600004WW0u4_60 -001040080240041005GG08000G000W008211G000e000G108400084C00400G002804201w5 -r0200GdiQ000400802t@R0W4400000W02A2vd120000600YpEXCzP8Ol4EltW2@D0G000002 -00048e08WFWo0010L00K20400080WWGK000020G00H7xRGBi60240OSV3G0G0W400We00040 -0800000W201100q7l1000Ws@tW3uD8uM3Myt02004Bzd028WWv@P00GuuQyF4N@6p8O00010 -0020xvP0WG20W0400100029000000Ia0m5260I008Sx4000Ki_a1HoRm2@9020YG00WGfi9K -2l1jvN1Oo5W0@P0001008WmWzh0O0004080600O0m001000G000gZyA000222040000W0Z00 -0G000208_@F1000Y9rd0040WCvD00GWu5_9W008eyV90001f500OAV308600000uTF3gG8XT -1bG20000I1WGzP0800001008800dcln0sCW822uh@741H8CzU2jeB100La8_P040000G2WBx -h008000W0YR@J8fs46rtW4FC8gV64020SnF3D@RGY_6CQW10002000YG0008bV3_gaXk@V0m -P3n@@C0a44uVb42v@12GI20208VptWf_DOi@4Est0K00000400002ihl18100000Y000W002 -100020800GV6dm@@60WH410YAW80G41G0HG40001800000yHS900007qS20We00090H81600 -4GqX@I000a0IX02Gu8OC218YG0W08GXyZG201080220G2128Fv40mW0004GG4000400m7ACO -hR38000Ge000H48028004040040WW0G00H080800000EWY3DOoU900073G004000040W4020 -00G0408841G01OcU3Yzd10W40eOG0041018081E00Kyj6000GODx400W000206000000C2Ge -eI00YI9I08cyX1G400W0W001010Y40mNk6000K200004GG1A2002002AZZ1m00Yngz0OA300 -0G20G15000G0W0K000040I0GA0000010gdpWGxt000080Y0H0eaI00n8WO4Ar1W1K200K2e0 -W02KWe0G8CD000000000300420204010OGFB90020000O441W0A00800G00002CsV2ZoPmz@ -90000dw00mhW60Wm00c0000W0Wb1DueS3M7d13300@ApGer60K300140030r0WI00T0O0Hm9 -8HW06e80OWq0C041S0K00060m0000I000080824vl10W06002O040W2G3WW0000a100v_RGk -660W208xV600ul00G040e8620Y000058G01ol8XKR310400cW2K020044a81I022G1826We0 -917H001G01IWW1W01aW0ehR308G0Sja1dkR0e000010020m02KnWqxD0800PZtCiz73Wnt00 -0401400uN93IXCXB9nOyV30G000200W00402100b88242IWW228GaG0W28GW0el4Y40xUH80 -000424100z100GG_5m42000m4Qft0000SAW000uAKg0000WD000000C0005HQ02eG2W30f80 -80Y@d100GmWWm88G00e100W0H0W80004600YWW6G820800884O9kSrWXaC000000eF2640XU -1H0OG0182410z14W80ybYOJm300000@ZOnD00cP60000q2F00000GTL10p0000410c100o00 -0000G10e0000KuQHC008_7hT284000f4WO090nW9000V0_@@V008CWG8SGXGeGU0U1@bt000 -02oS1cnyYCZPC1m@0ul@fgAB2UuM4W30f0U300y3u0OCmFmDWP000t00001000Y000o040G0 -42W10000WK000m40006000C03022w8G0WG00W0aAd100000E00yyF30wi14f0G8I1a183e9G -6G7WEGa0TW8DG00O0D1eew74GW10Z0306060C0C080O004G000078o3yMa7u7mFmRWVWV0@W -81_1HwWm320@30000ScP600mCCT60qYM0Cx@@400LhE@l1000z50m0000000Hi100006800@ -_Z1000MJNL00u@WmCpKXPc1NugIbg22JP6ag0080yF00a8y328425K846fW1jWR00yF0m3VG -0uV00FyKfg@1Nu1Hbg22Wge99CyAYVZXEiP0000Nuy@yZf1hwp00H0000040000XG00iXj10 -010kxt0000A2000008080008gp400410Y0G8FW4sqt004040010cqs00A70JSNnH@6CE_6FO -Z1000G1000008000W14IP2G000k7t0040100000104KvD30Y008020q@l1200G27FXaKD000 -0l710Wypt8ptScy6Z5sPut@4kOFX4sJu0F600qz7ME9fl7IUwC0400021W0G00WllD000404 -0010W02G014I0tWfVCu4z4wRF100808404saNYDvI0000n4y60004000Ymhy6WG00OzJ3800 -0020H428000W00020400084G8WC5L2W200008010004W00ee004W008004W0040Sfl1bmPm@ -@C4ta1dxR0001WW1CuLTC000GB3000000IzzCytR20200400080000W02YW000001WWW0G10 -00240201008G0G40444000G0WW1i4l10200404000WX0016W0W100100@QPW020000G0G8W0 -k@t01040toN1000YunD8e@700CuSrl100G06rt000048000@xtW6@C00004WX0G0080G604W -0m020004W0W00H820180a04000Y080X0W0004W00202X0B@Pm_@980000001Hox6yhT2000C -0008qwl13QO0G20WG_JOuU90T80iil400W080000001WW00mZ@6G02000G0m5Z6060001000 -W01WYzD0080oF@C8600esxJ0100SaF9000GwMl2000GRTR0G00G0W80004008200800OE@40 -0400000200W0004Yl0DuWy4_UpWLeC0800mjz6q1W100104102SWP2808080040102uZY4QJ -l2043uR@N100A200WH0100P001iBk10100Upp080800820000Y01Z000G002820Z020nnBnQ -sIihS5NOmGt@O0q70uwKFcVdXz@COvV3000Gii73N@d00G8WyzD00080008080u0HlpmtM64 -5d100206V8Xx5C8f0CcMAX@@PeqI3Q6q00WGGN7QmX_6WG00W401maz6Kkl133oW80002448 -0800020e000008180K20WRBD000020400H0W0FxRmsv6G082a0040G40aBvt000onQ_L0020 -084f8a30aj8C00O00O0000220040G928H00G0v1T30G00X0801LW2GtW64_j1a000scq0400 -0000AkmnWktDuxx4040000Y2i6K36xqWa@t0S@1m@@L0AC0000000me00YG4G400H0W100K2 -0W1400G008G0WY00H00HaWB0G44G4XG04100G00240oRt00006Y81GW4I00H81G28100H400 -00I00W00000180IuBs400G001m0000f40K2000WIdrR0W00080009lA1510WFOnW20amiz6a -3g101I000G01001G200000000081KYG000020800OMz4080A00005X0O030m0Y00XC008000 -0083000O2008W00G80pfd02K00Ha008W00GG8000200W00WG0WWnwDuMz7008sz@V500I080 -1000210XYG00000O20080019200X020eQ0300800248000200WaWx_J0000100O00012m84G -0040G0601071W88000C10ReO0G004400020G00W00P200I0004010400e000W00802G0W0Ob -uA0Zu0i@V50101W40G42a14000GG0uyal100011844iag10000mG00a2W1@@p0810m4VDunU -30400220W8nU30010110200YW000020Y0044130000G1KH00100LG000008a410YuI2H100z -@BX00400820GG8A008G010000008H0CWACC00K0W00A0250880000G0010e080_7G@D4300V -9zR3W80qhW200Wl0708000A2Y0W00400820u0G0009He70400WC1H0G80100410z24000q4m -001bTA102bYy@busK3WW98002GG00W80W34100W41E0Y6mWIwD0G00000O50000402HI_d10 -aGLM4W@j8ymZA0_7cP2FggQHOcvYWlg5J1m0m3FcoyV0u@YWBy51GcBgALN40@Z9yf0aW510 -002m@H@B1eO0Wz@b00t50WdV1CpC4OcPm5kgWBy51W@BgggM4Um3egKbA5yF00u5g2000Wf0 -K10000W00W70000W2A0evV3I@c17n5u820mL405e80A0N0K0c0k0C1CHO2OY00m41W28305m -50AWB0N0N0k8c0_P00OY0G10102O2W0uV00009C10We@gWY0K0C0j0S1S1u2u200m58m2AGW -5m509WB03020C0H08000K10001000m000G5W000WKW800000vpx700yXB0000000A0W2A000 -00500_@d1_hA0YAA5YzF400Wdv@B100W200m32G1zH1hiY2w94WV60XG80XWGGI0IWKbg210 -00eE160O00yUV20Wg000p0ScT2dz3pquO0Mz1ej_e000G008187E3Yx@Xs@JOqV30100sYh1 -tSdG3r6amF3000Gz500Sq33rR@00W000084vwQGhu90m00e3yGoRoWVrtudT6UOtWglJuqy7 -00Ojsgh41kp0W000G04WddpmBSjK5@3Pc@00020020GPop0001WRvb0yd0GCpXy@@35fXnXz -C0W000080oJz6y1d1@ST2000G0W201zPGLt60000Ds33000820G042000G0100000m008_ut -0G08204G000202X030080W0G0080008020W0000040000G0200WnybuWT3AetW6pDu@R32Jo -WUya87z4cuN2W00000e_ZVdXKtP8dU3008000GGusU34241240G0A0010000e4WC010KC2WW -05401I82Z8001W4X8884G0380y@l1040C04000G00401WmS_6ihi1TwBHItCCfF3bwd0eI7W -y@D0Y0040300004Gb_RGi@60601000210001G00400020W000W2a00400000G242Y0GW292X -4I08409GH40800Y412a0GWQVtWpjJ8qV6siW400041DPm40600010000B610WfmPOOV34100 -000W00G0000C2000W8020WG0800C01IH000000W0102G00oMpWI8Cufy4004G006CBpp4o7t -ZLdDuY@G00KnjZs3bT@04102cXH04m80wElYKeP8Q5g_@t0HZ00f@@GqqC0G200041012000 -008081010010X00uyjAszFXYGE1000qoP6yqk1hqi10004@10Of8m0041008000G4H00A000 -H0uL53000W0C0100000GY02W1004180Izt000WHfVgILhFa4C31LcGCzL00W_002afC10Wsw -b00H000W0XnvDW00000G0040020204ERc10040Y040Ufc180000600Mfw100G0diB1Y00001 -00FyRGroU0Ub1mmH4Hrn9SdH200W0X8000c1G40030G521200e800G8a441010OJy400082P -0Y0G0Wup690004000mWPcHWaCmOpV64000Uyk10040MrsWV@h00006t0004HK0tuP000820K -G408W80G08200000860W1003my02W0i8Z00eG4000H8YG1005I00R4YnPx60WS0ON1600000 -WGG080eqZT9y5l104400a200W8000A00G10001W2ztN10WrM400008600WP0G000W20GIGe6 -0004000e40832800G040O00W1H9000Y800WK2W8HV0O4e0582o8xD00G2GJUL000G0020008 -GnYxPG00G010WWMfC0004nbJR02k0igV60GG60000601105G000G002081001G000q440G05 -0m0200180000WfAqzl100WW000Iiil1ftdGG@CaxV24000kIrW0@J0008mS164pl14m28gov -XNXPWp00mtsL004WO4I302G000084WW14081WCzCe0R6Q6CXTPD00C0m15RCy73XyRmvm6S1 -g7bPRGABFqmK20W20004Y0W00W800GzV60020gp@7Ex_10080i100m240K_F30WM1080W61_ -38M00100cKbl10002T0100W60OY_A0Rq0m6000B00Gy@6002b000000eL2W00ffvO00200m5 -20G0nLGF40GI4DfoN60004w6W10050mcy600W000WW4HyF00yV0KLL0000KfA008mTF40ei3 -000WV_3mI_R000W1S_7Gg0O000O0@00WNeiI10OL20aY50mpB00K0n0008W7Wvzt8YD3W1W0 -4sL2viPG4uFScY10W200040A080H000oanO00GmDLW403W100F20000000e0000V0000uD0K -100y3G51003300K600mQ000J01000Slz4C000GI0004X000U80C10000O2000_7fWA0000iB -00CjT80iB@ZKm30e0G_A084U0ABk00vvU3wPpfBm31000CD10W_zJ8bR9UfwXaoteaEL0120 -C1M2jUxnCw600GRBCy4gWFXpqJu6R6coc10G00lgdmMtXqw@3Pekn9vO0ys18gyDAlbXIk3P -mzJsKt002G0pedGayU0000px00mpp6KgV2dN@GsPC4ql101W0sGuarn3PBS3wbEXDtPuHy40 -08Hs_U500G02ct00080040018002G000G00W2000080200G00W080G0000050404H1002G40 -0lYpWBhJOIHIYJN20020zyRGez60800080008s000100G060G08WG400X0000G004000GW04 -G81000440102G004WWJcC00W00001400A80040008I04OG0GW080000802Y010G020010L09 -uV3AKWaSoguKA3_Ya1000ik200EesWgtP0000C000aBzCG08200140O08000A008300G0006 -G010100G4340b0W41000W08064110X020002g0282FwXEG8fIy4s_FXmuD8oS300aT108000 -401000206100C00_Wp0G00W0C0800W0CdU2@@d088040000rzR00W00X016BbRmrM6K5E9lz -33OkCWHyC8yD900Y82W00001G4Y08WzYD8Lx4a000G0008py4080H000002Y0OH1ja_l13W6 -2008OFJ0000G00W0443N23jRW808200m00C20_YZ1020024006CEX1@DeaU30W000000wK43 -_2T90W8000SNEA910W8advP04G40c920G200clt0W0000C0W010200H0G400G@w9W3408tT6 -0080ivf7lPSohyI0cMXG000018W2G0000G00srBXGlD0000W808WyFJ0004X8m031Y0000X0 -0610010000110022004G4200Y00Y00W80ClLL60ZXOuymi20804X00800G4W8010H000Z00O -0000600K40Y000G00HG81400K80YK6080000XG41X0a0000o000C068020002400W00021H2 -002W01W20H4A23pso7@RCwe100H000Ok1L420W06eGH400009lRR00Y0H3WO40C20We2W820 -00M010G001000162n0W20b8G4A0YC00bG80WGHWZnQ00202H000Y02aH060TWRB4000e010S -oO80510524G20bK009800DJ90G28G00000900IX0WIA1W44920e4000W44G4010mW00G04W0 -84Y010K200420000b08Q030028100K204W0C00YvuOOxLIA6Q30O00PSRWZX000A20800000 -240020GG0A0000011W8m002G00420G1010e008410G0008K00014G100Ge0G00004000I8G4 -06tt06L004X0He402M9W1X2h2G80W@@n0240000100H0000EH8KG0004G020000W280100FZ -Q0600WMyD0Y22002G05GW800G08020W80260004088120008Y10FOt000004420G0G2W110u -XsGs_dXOMUeaH60001W000410044000m1328X2002b00100W0f040000004GbyR0500040W0 -W000a000000W0401WH001808000G4ZsrWGgPW00000G400Y00nwU200WGG0407EJ2000QRIS -00I80y200i3X1eL2000f0W_R010iF2X0020008200w1040WHJW30G00Y51000W0004000j00 -000qB4210042400001G000G0W_Q000aUIupH10003000000GJL200001W6u@10W02000G04s -102u308K700O0WDzD00gY7m300020gZzp0t1000WSo2W80wj_4Y000a400g0jY7xD8rt40WP -0CRl1000_0O00mx2086k400NV30dVM1C1An00G01002200m5000301WY0N0C0gC00c100WGY -6m000Wt000g4S90ufY08WxJcoCXmmh03C000003O000VVRWgN60@zN0ILDQ000cxS00uXV60 -00YJS00000Uk000000WA00OW1W2FmlPO60K00J0000wN008G000C0000e60G1000W8a3005X -hIy59aQU800sa@eBg1oZ2811GEt98000euR9oa@XUqbOnx4wNRcwSF1E000W10WCtdf8EXkZ -@400DgBoxHTwISoh4FWJIEzL4fk1Tg@G0y6aPF3WMD0ANFXjyJ8YS3000020WGusR6080001 -03000600400100800W004Y0000G000W80G0WEnDeZTI_2dXywJ8G63W280W404AOD6000mR9 -0000m00020Y9_DOE_402G8iMS24I00wjt00800G010wKt0IW4H000G4100Chk1roRmRXXylO -8FhQmP_6y@l100wtltsWYMDu@@4WG0002000400a00001002201040000080021G16002800 -00W1a800000G30000W280012031hRmlzOijl1xt@GQ_6a0l1r@R08e0G0010Y000A@d1mxC0 -@vd0006WZ7Pu5z4Yr@1000G0G000000BG0G00q0GBDCiPbD5KZ1W00m@@De6cDInN202G4Xd -R0Y010G00aW000klvaVzJudVF00WH81040800nu@C0WWFPgBC00H0qYk1xrRGmy90020OsV3 -G001qa0C9qe24n8eBvD010008v4WYot8zH3kzt00800jqR0010e1eDOTzMgbY40aP0XSO000 -G02002G00182000000TDQ3cct304000804YoFXiyJun3L6ToWeL31hW80P0GHXG000gY0040 -010012022000jY35yG400040001000G480sHt04X00000801Wm02G2fmUL_7sWGAy080004G -4100010WG20092W028214000000Ge90jiN1410000G0m000wzq00080880000W0W8004W0G4 -0P20000204G0AetWHj8fdA9smc1b00000W40410n101aI0184X0240400494000A10003_W0 -00G0WtpbG200m5w6G1000W04000041000008b0G80004KAudPQq730200nyR000W0020G80S -2G0460GW04100104000I00006S50004xF65rPG3_60W000KY0028G1000X2W0000100001et -nMoBoWm@n000I000G18G00WW0GW00001d08fb40c_0C_j1P_@0000405000000O1000W4W8o -V300009040000S020000013rte200WYBnDekO967F120O1e00001028I00O933W400080000 -00Uim64ok4floGz@900A00010000A0005G408Y4002000000m0GQpa09H48eT6kidX8lJ0S1 -0000S5000040G0000mf0200Wh00009J4A0WBz@000Wmv_DOSS300XG000001KB0G1WKc040X -@R0Q00000A1L2h20000000L1hx100mV10000Ws3120001WsdKL30_@F000000oM0chM50403 -u70WX06u30F00000000uV10@@v3GIF_6W901000JmrHO0O008RS30WT000C0b0K0a200K100 -0000GyS004ij44100880000G0Wa00mjZ6000A000m500W8W3WY0Y880KJ0Swl1r5PGGt8vT0 -_dx0G4Yy70xoqiPzd00WKyyzb000mC0GyE0000O20600000Yu28eV6uFL000W0KW1eA00egj -sw2800qmI6aJf1TVR0W000W00GBn5uau9abl1000maU00qF@30004AYBX5dIOlyAgWxgcMmO -lzJQRfb6vj9mx4oSF1WXW09lBX040WeuJejyD2DNbvptOqPIG9004UmFzWpGYvUCCT8hspGz -O900OjR9@AgGt0280000010W004Sb1Jwd000G020W0G001W080000000802H00Xyjtex@DgP -VZMqJ0G12Gjxgyhn3xgd0200WatDe7E3kuAdksPOkz4000mRK00eVyAcfm000002004MKuXS -xC02400400Y@@D0WJ0GibV6K0e1Ay@V4@tdn@xXyVUA@NYSW00106ls0C0005DpmIdELap@n -Nt8000Ee00G0G00W0104uV2FUV200GWrf@PfsJ00yl@1j7B4pmxmCaRcP9_R0ew6WIrcvT13 -sx_d76F1000Xl10WGdt00W0uAxQzhl1ReNnTv6Kwl100SzpMFXorPuaN38000qfE6ThR0000 -4W000R_RGorUyQj47gl14100W0000004QWt0Wt3000G800W8004W89U300800008G4102H06 -00G20W001000ae00800A0304000A030A4094000800W2000001WTnD0880o4tjiFB3Vq@000 -G40G04V@R0G100000ew70004C0042000m0nGz9000a11000W100G00C1Ka01W1WFlDWW0010 -00W1pD0401008W000048020AitZz0d9fS300ewVCU5G00G0OW0G002uUV30H00010G000400 -09WEsD00G00040WW_DuET3UK0cI_9100090000Ga40@zNX8Y0eUAt000800m0W8vP0282m@@ -2100W8GV3Mqd100GWa100004WihV2F0Smr_90G00000028000G008FsRmt@6000W00002004 -082004002gnt02010lPY40G000020ZuR0GfK04000O00000G001000420040040a900G4000 -HG4@O2fEm000000O3001040W00200Hy@V308400G00eDSdozCXC5D8OV3gGm00802x@R0020 -200G4TuRW080WmmD8m@40000sSj13DOmRcETgj10800C00000m_600000Y1W7rJ00110W082 -0000XKO0W0000208W008UDt000000A00000H008G02YWAb11WD8D00Om800402400pqJIpzR -0G004W00G9@600C0OV430QM1G000a00000150000089G0H00KW0Y0Wep00282912W0K5W000 -10004Y004000Y2Wd@D02a400W200G0000Ga10283W0187V3odS30W0GZT7200102048K080a -G210Gb2100m083G9000q03004G2WG9b0408614L00004WY01b000480X0Y20a0010101000G -401W200W0948A140GC060XI25000b81180400X0Gat6yyl1hUBHY890020We00GA@UG00G04 -00020WG422480050WGY002000Gs2500J42018022P0e6000K0040A080000482W00000G001 -8000G008000820W100G010m0GG4W401000WWcDORS3G8010050uW0Fgsb4GG00puR008Y228 -8C0e2012000mR923C0000013000Im2G0CHm8AA00C6m2000405C040X00408G004uAA300CW -10000W01Gm_6000I2KWI0K0WWjjD0G00408AaxztuiLI41000G44H400000I480002e00100 -GHn0014002B102IK080001400080004W05108020m1W00SOoVtWIDC001W0W4G00400G4nI0 -0030G884W010810G0000010010G0qzV5lVR0W41WM_31CX0208D101W820W8840qB00Y0xl0 -000VLqdW82W@N8402800YX00Gi0a86Oz2n0GW00W9000G0W00040Wf4420fI0kI000eR5X00 -00G8G400q30000RJIxEX@@D0140GglK1GT18gV6u_@00000009200G00m2Fc000CaD00SzF3 -00W@f20000Cmu@@40Wgx3000WNU0m7ta44l1XyZ108200mKa4G6W9WtWO4@0tPH2grYK0000 -e_yi89WtHI0@0d0Q2kpy3KZ49uEBI1C2a2GJ0006W20k088o0SH00aH0004100G000u7W1WA -WV6N0LC00OI0asj1003G0040A080G000YH00WOk@101eAL100@3W@Ocgg44cPA8c100WeWg2 -2Um7agKbA0yFcP63W12Yvm540@3WgY0uL010G400600Z@p0dT60000bgC008A00ex00Wg1yW -dukAlwNU0Wg0ob_AWFX0V@Ioc_Rq3N5VPRG5_68000ug_4EqsWddCODU3Aot01W00G800s9E -180002010Q2m00008_310AhoWqkheuP62VM200X052lHG@600W8uJV6Mop01000lzR010001 -00000026qcXpYJ8MV3008hDgN5j2bG4tU0840eh5RQuNYm2IuOX4IDF1mCQ0FWNnlVEzC_C0 -400000G01000000TgvCigU2000Wcl_10100XLUrbxCiau3DnR000HhixIu5k4wlB12200020 -0AZYXn@V0I00GHvdyr_6Nkpm4z900010008Ga260mQ0ucy7sWt0010WHmpGOV643@30002Jp -Jbx_p10006700WdwD8J@70W0000W0ulU3YrFXDCcvXVUQ_t000GZJwd080000800djyG4u_j -el1YrH0_@t00006lwR0I0000G4002G800W00001iKU6cBlE000iA600Y_F100050100W0801 -20000SCeH00000043mpGlwr10ulA2V604GY80000W4XGJb9G0000W00m306qdMBxrj4el7WR -@J000W06e204F18WH1G080HGK5050W0n1d90404Of_t004000X00000FA10WAGD0o0300056 -0W0200G60320fKYG0m80008f808000002MydXUFCRlD3400000O70000H2k9G1000O000Y02 -000040G150G90yZh1G40004000G000G01mBW64@VN00WG2QsWpvC0OY1000400G00XiO0000 -80008800d0GOI00041W0000800A0W10002e00Qyuf1hWQmmiW1W0A000000018000OJIR0O0 -00m0008001000209G0WIm46201SW80G0G4W0205000E000G0013W@@D0220m@@cTbf100CE6 -cb100G00Wa48K48W000000040eW04a00088000W00m01008000H0eCODu@@kQFp00Ge4n0R0 -G72fOvO004101000cGO00G94q000W00020820u0800GeCW30200WY0H00uyu72fSfIxm0f00 -m@@6GV@1001u170mE10G0Y8W00000XP8W7yW7EL1FSB0W@MKL@jeggAHcP60000Cp4W@@1Za -00mWR90080wuy4e8W00303000L4008400WY000C0O1C1GGO2m410W9205G40AW90K0J03000 -UjHF_@FAm_A0@@R00kx60002SmT0u1000W03G1400KH5G00WVy70023FGy30090NqOz8Lkj4 -00Gl_@tZmjVu_S34002y@FRWRC06r5cpNJOHehUn_1000W2600sJOZSrxQwxDAWtWBnD000H -VMyCq1e4@@xNsw60gM0erU900G00040010WG8x6i0l1002YIuFXdsD0000400100100jyRmq -rELal1m000000WOF008_@4W0W0Cd@6FnR0000mB_J0002GfO6aHfD9rS20WHqyubO3S30020 -G00GuUV6sO3FmDF0X8gLYB8vD00OpTC_SlYnmU300000WGtf8yua0vYwN20060xzN1A00WB0 -F4000cK00WTln0012GV@Cag0U00YMtxlYV2UeTImwRKnSco1R00GV74sp0mW0G0IyN5m000T -KyVE2210m38FLy0170qST5lvL1400WejTATjJm300atl4i0W@1F0000F08wMjQHqWA5zeoV3 -00S5kmuCv2vK2wX0Q318SwGUplYSzDuhy4srtcV_D8gTF000mZN008JLFYv6Zo@DuKz4wxMb -XoDeLSL00yFKTSW7VlnHz60_t18J0Xgb6im7JuUU6800200400020KR3ay2@FVj7200rm55t -G002mOvUiud4@fCpdxX0AZ1uNV90020CoTZdLzVPN@FMs@Xjz@NT@trt@N8p6002YGbYQRn@ -pcy@J_@1eE0uxqaK8kJB9pmOo600109kSC000mpC008nyV2cFX@@vH0G0mXqO00OK2800myf -XSrh71X1JCpCaqC9WJK0IN@a4@ovvyV000GVLlAlsZ700lZLiDeLV6svxXpihOm_qW00004Z -1ukXPYv_DQ10000000a00KOP8ZXZ7003mn9t0100GgVE5slDWJ10wwGkBkXXm00mly@K6FO0 -02nMKrZ4c84S82mHeO0400eM766OVFY300JNZ1041WVm@Frydp7f208000100MBxG00dEjsN -nk_6KaoXbvBA000CK100X@B150u0t100b@V80WmaHYt8xX@@@lN000Wvk@000CWeq9vAU36Z -@XuFuFIXSU8lYmzj1002mL@j00uCUnTL2d730G01bsR00WWmOxL9OV60G00Ck@6WY10ob7Z8 -zb000030W0000140100sFy100200400oSYa20D040GmIvIS9F6O200AR7ZTzJum_700m0ClF -3HAO001W4000004G0ocFA00cH@zt2G104000G00G0IGi200e0pxH5mF1WnyJhpVR000XgV00 -u@VUcJfYC_T20WLHR8miG@6FvU5uN6Ww_Hgwkh000WfQ00uXWYMvtWR_f20mXTtgjaFA6tna -mAoK1ml18WrM0480akt30G100009008L000000W4WS6n8a2U0E00y@lA000240004uB300I0 -00000021u@V38200y@VK00I9AAeblXVeIN301040008I0J0000W8H1C0@@750L1Wa2WfSt70 -000r0W10800YDaA000y95S200020020850WcMM2900048004100W000G40qm@@s3yi08Z2Lm -40000W1u@@74f0o001a48Z83G69IWCIaR_I5C00WYg@Xgg20uX70_hAcoC80uVGg_@VEXSN1 -0000001EtjLqrqv4Ub1TN@GnRF0SM08KR6kp@a@jDudVO_ms6000Sy700giUF0040DiRmBwC -Kys300GDE@U6004Wv2OGMRgi8M23@a000G000800a00UdpWhQIu@V902u0aC7C00e2wR@100 -400800kPl200K0Rrd00W0000800048000200002G002000000OC042GURB1G000000011806 -Tl10400000GBC40u@U9QmdXhzP080000W04W0000G20UXtWf1C08W0mIy6GG0Wekz7QPtWDs -C0G000W00G0220VrR00W0200110Y4010405Kl1HpRGLmF00mPgc_7YUtWlt3feV300040080 -0000C800Xb1OOWV6on7302e0t@R0011aKxD0400I4U9y@j13BO08Q0W8yyeWV6004000001W -GDmXu90201uEV600A0avl41tpW0000O0002010ALt00004000H0W81KLl1l0Om0@68200ea@ -4i800azcAbI@000m000002400osV31010Pzd008820000000I000008YX004104001H88008 -20O40086W00800045010020vLp00YdX4v9vr03AEl2020W0010A2m0W0010O00_@V3W04000 -0484000G2000050WW008210VqR0Y00Wr6bu@V30lx0y@V80060000000418o_A042001G0X8 -0004GWWMiVujzAW0GG0004O0V6W8008001040WOzt9aeF3000OLF00SwFFW4000000YW00W0 -008808WxkV000204G0WU3I00Om800W412W82G20W000X04100WG04L50HG0400800I80G000 -W0004001WIlO00mFtw@X0004QzVC0m0a0a0800bW05W00O4I009002DxXMDU000100G005Z1 -00G00XQX008W4G4W000824HWWo40mAG8201010X2200100Wp1O08a3mk@X004100H000G4WV -CIu@@40GW004a10002e280002eWW090QmV3000WG400e0000GYW40AH04W082ea2000a0W0A -10I002101b000G200028G000OU@F3y000k_t3A10008182VpWE0CW8X0mXy9000W0G00GPO6 -000GuLZA_@F10GW100000W2W005001Y100T004000SK28X01Y880A420G00WG40001nkz00W -nuVK910X1mMgL0008400G0049C0G408G458GH0Cr_300W4_ML24208OG1000A80012004411 -100W000000102G2ywV20a00s@F1WfE0R@l1W8000W0000W1osF14000001BQSc1004400600 -0108W2IG808Gt3IKuZ40G0000WW084W180031W000W000A0WW000020004000000800W0HWd -0000Es000N@720W84W8800000Q0mW@@J00140A0G00W2G00000A1G8G0002W0G3aR0000400 -4008E20002UXuR0C00u400080000220qN200WtJ070G0025fz@700u6Cz@600S0000010Ai1 -X0Gg6eG2W30f8080_@@10mW8G0020m000H0W0C100051WXI310008g301200KW206mg50y@l -100Cw00YP90G0H0ChAW000OM50x@d0035Wg@p100qf0WdPBeggG4Uu1W@@3pCN4LLjODfFy@ -y3a400u60X00G5300C000GJ000L250dzOGS9SJ0mZ11000200m400W8010005FZ19kO0o00W -@@tWt000P0@000o000W3000UVhW1v0p00m005W0WA0301460A800KG0Km@@6000O5h0000W8 -YagP0000300eR0ML0GEv0Qh91g2F0000C5U00y@F600Sl@@d7400pK500Y6m0a3at202x50v -0SPcD1ScG7t90G00SGV309x84hj7Ho2JtM600WW0WG00004200101KdmQ790G00etR3YOqWU -gD8gwA00G000003S00mpkLalh1REPG4l64oh7JoRGtM6CZj1020001G0SZD31lin@@Lqzi10 -0SI6ckYWRPORI3I3k5000W1edmbt6iXV200G0YntWUlD0W00osw6yhW117a00000010G7wR0 -0mNWa3s8kQIwhcXM_P8PS3soE100204000hUm002WWJXb0210028000Y000400q7c100000A -02000000081G040000evrP000G02000PHamWy6ijC63dR0010000G0K00000010040y@V300 -81CbW1BqR00XGmv4C00Y880000288000018W000021400202W0u9kD08002G0A00200W0010 -8WG00000W40u@@900GRw1wD2AtWpxJ080000010W00800G0oBt0000802400402180008020 -014G0140jXd00004W4007YRW02000G00G400002020800NWG0W001W0400a200G140001002 -040W080400nGm080020000Wf00_@t02G00teZHlMICEM2GW30080001024W000G004W02mG0 -0WEEt0W00800000404qeg101800G0W00G0008WGN@9iGN20I2802000010u1F302G3080000 -0G0G000000Ca200USa10010XqPmisI4ck1TOaGOu6SWX1240024t0000400HG_@t00W2WPpx -HvuCS5d1dnR0410WVsI0000000xk4mv1800W980WS@C0G04GNH6000XW02008W0004W00000 -W101qxl14000s4@1000W0012WW0020200808801W8W080fpR040XewyJGaP1mHmFaxFC04H0 -cCt000G4W0001020iHV2LnPmi_FqLR2GY00020H08008SV3000W0GW000000YY002P60000C -W010txl1gG4GGW0GiZO2nfNn4lR8001000G00O808G00p7Rm_960e00u@F3QtdX9AD002W8G -0020W201ad0101008040802ctt00W04004Y0WG21e0I4W0000WnM0W0avyRmPMI4Yy9LsR00 -m4000W14G4S08C0001GGW02002K0G020xAR0001020084W800080G0W0W0020XAG0044G4X0 -88000W4040AO808G04e0Y0020W0G0H0055H01010a1400000kSC8080G0WWW200W000W0whe -6QC000020024J010Y4G10H5G8AA4W1W4H70G02HG04X00000Y80000W00rZe17Fp01028Y00 -0058008000440X041040W200aA0aH00G42G4590m4H4WGa6KG0EG00c100GPL0WWW1040106 -000Dnv3304004G4080G000C20160a00A260000GG2000W040m18000GGI800000e410G9000 -G01041i6A3G0WBG90GaKG21bKY822a9GG9042Wn8Y0K2000822G901000e40QMs0400000ew -19002e0K20X00G020A000XQBHa_641E60m00QvE110000G1000X00828001G000W00e00hnO -020004G00a0202Jn0G001K00G8204W0HmGC0M42000024Y0W000Y60202800Y0GiA6Szj1WH -E581140I200008mc@RiiA601004000S2I2000m108Ayna101W0G00G08M202e0c0u00000I0 -00GW40400140W12miW600X200G1W860000O2WG0G0Y0G80040K4800101600400G3owr0A1W -42400400Gy@l100X2_@NY5hDOBuD0I0G00O02WW800X8890Y40000G0G200G0421L0GW0H00 -G00e2002040001AJU300Y5004000002A008GG00G40Wa0021000000G1000200Wa81000018 -14210000000sAGK000f8000Z04Ta7D@NX0000G00000104010040X00K2X1H0E0G020K4410 -10800G40mLW200Gh070800092Y0W08200120uAXG0WuAe@6e10Xl00001a42WW0WUrm80Oz5 -u40081nL2u2p4_nt0WiDGA000WqA2ibl10W01_@N20G2Xpai12000000K@@R090019avY0u@ -5nCpOILL1W@CJbgM4SXl8poSHu1@YgILCLbg0uFe0mV920H000A000m80WV60000CmN10000 -WF200GS1G4t100C0d@P2Ghg56m000m80w300WnuX70e02000Ww1_F304005LK0_NL0yztOA2 -o10G00kY3WS55O1uAm2m5m5WBWB2N0N401kC0K0H0e0c0G1C1u2O2m4v7W920WE600O800Wt -000x050U3cZy3Ob10e6300G400W8000N010g04CO0OG00m0000000Wtw@L3m5W002Gr30WCo -@@F000a10000G60WaNt06020C0C0O0O000KH000008004G100120020001XH2H0000WTG@@d -W06P60ewx0kK2un700@x2m@000usb20KGH0W16G100G4H0OJ1R0GH5n@L104H40md60H00G1 -wunNtm0000n900GT@E1G0W0400HQq9y@V5W008_DBXdlb8Zy700yUiLdApkH2000YoFDu@@S -Y8N20WC0HhbpuvRiXW4JL@0W04WDsb8GE6_@F1000qw200gJFA0400HURmbK60m00u3S3000 -42G000300006418X02005G002005040I0G00G0G00020G001025ylv300gKB0_XQwPOf_7UU -EXxEb8q_4010WCpj1096040000WG028008G200018805aG9g4G0G100CG00016400WC090O0 -W000K01X040800C40WW0hKR001004A00V6c0GK7Ws0R9j@4QNF10G000040ERp0Y22000080 -008008W0L0G800W0000W80G4G000WO0100204I1680e04000M12K88WWZY0W4W0G0A05C2O0 -e0085001080W04W100W7zJ000020004b300H7U2004010G0Jt@Gk@6000m0200GL@6CAf1Pq -c080000180002000e02q0H000400014000YG000YLtWFnC0000200G08008RsR0W22Xu@J00 -GPsygRCz@63jcmQF9CQc1040000204Kd100000002M3k1018401080010000YOLqF0045100 -0IjyLy@l1Wc90clHb@@F1090IQv600128lS3O400UTl1a00Y400G0104000G400WfRpDePT3 -AktWgwJem@4000XPM00uibVkad100W0C4020420001000801H0WH00000108m62000190W82 -0000004W80026X80c100G0Y0820000080280010282KB@3VsR00WxmvHSwKV3812OKwl1W00 -0UxF10G6200GP0020C@k1000YI0WW6wJ0800800OWh@JOAT3oFs01300TuK4100080083eR0 -12X04204OC00e80C2X01088G4WmH0898Ga0W1e0Y082I1K4248000O8gO0Y000800086G20W -80W00200Ga0@@d0I0GWo_D000UUgvdyKQ5Fccm2q60000002C00O0P4Y0I68008me00H0040 -4010GK30Wi42P24W8m0Ze1102300W40H8E0000G041Y10G41GW0C00G2G0Y00vkdG3zC00Z0 -uHdS_mMYWxDG2GI0bK20O62Y0G900089810029009WMH9A1Wa4iW0e41a80cW00AH20YI80e -aIWK90480010851a000W800XW10KG9008080900000010008000OI400wXNbNrbuxM3W8000 -0W0uAH3e044Ia5801A800CGXoyD0044404H61G010046W4240eW0W001022208W108W00G00 -m0020W208204W0Y1004400G004001a8rV3001000WJEm_PI0FX61C000O00840400000J000 -1K008006G30G00O02W0ImWa00200810000e008080W0081404002GG8021003m10010KO00G -W02050G06G00K101XWbJDe_V30m0008000052mYkmyhz3RhRW08000C002044WK000200G4a -8400G0eY4804400010000e00008X0WI0820LjP00J08W88000W00804G080001240GK00600 -040000020Ge00Y80a000000050008ZFQcNsO05002A000KW080a00000W9HG00z902W0y500 -00GM00040q32a00ecGlQ0GP8UI00040W00110wd000mgLe@6W00h6190G0G100410S04000K -4qU3n00m6sPsWkvD00Y0000ByCkF1048Hrc6yw@3001HW0044Ld108000e8r2000006F9M00 -0W0100000GUu10WO3W8k70Ha3M000GoI4000009v00000000M00@3WgKbgg0F0_RHCpqYmxl -4LLr_jI00000F@C00000WkK0s2x7Begg0GLL1W@g2pCN4cPk8LD000m500m8m0Wc0A0C0M90 -0W0000b100i600mLG0Wl73YRUN000LC00G1000_0G1Svx2uop5n5WB200N40AuC0KWt000P0 -7000WO82WsmD0000dE00WUu9100C000GoDahubE3G4J0Z8006H0KWu100040ZOMO005@@hVW -oK0_xF0wlO1mCKrmR0000N0000GEv0WB00qxF3400@b7044_V500YaINpfsVhe103EOtWQkD -0021Juxg02H1O6EXcotWKfUeeELcgE10080zaB1000oCxJ88T9_l1Z_mzu_V624sW60CeSFR -000000euAnzDcypZ@yVOOAL0040yhE3rndG6w6a_l4WHR0M0q9001000300W00aEl1G01010 -20000A020000045000040012Lt08400G00000Y8KIT2W200W020qZb1Tmd0000Qj100x6soD -SIilV58G0G0W0804W00000800X000I8040G0004G40042418Ga80222G0980100012041022 -4W0a1000008G0YxsWv@P00WQHbZUSIX7fJZ102W1048020000021iZe16S0W000021020009 -01020e8GG0C04Jgt01400@qR000602WG00001cItWr@J0y12m_oRSZg4tmbGs_R0001O5V38 -0W0200W0080W00000200040WW040G00muhK30104290004O00O005028G6000keFXJeP0000 -9z00WOe2PkHUUsr0G0G05Xp0G0WaCMP0G00800W4K000R@dmQu6a@l1000vYpq9000900001 -1G0008Y04041000200040G40G44G000820H000W030800C201kTF110008W0WQks00430004 -Y4300y9B3YxA0szqfywDuLU3G05Ym8200040004108X00dmP004000004408Y0828300004C -10K0424XW000400a00SnR5a100wm9A0G00rkRmiY6qJS20W200G000a00W00000804m00Gm0 -1801W2G080Ooi400G4ac@300UGJIrfL2D040A20001GG2p0G020G1G100050i4X82820800G -0WX00HKe29A110Y0cX0A00WA4D0Q404e8G1640510000100I40200G0W0a_V2Wc70cSr900A -000W00G402201000W8aX010B008gW808000G0G56H00A0a5000820WWG2e0002O2e060A2WO -5H10G4800020WP0XG0041008g6wI0000iO00WkPT210e400G901WC0020ogt0C049G011000 -AX848000042082200WK0G400H0G900eR@400m000WGW10e0b80Wv@P00G8L8kHH020024G00 -0400H814100G402WWW0G080C08G420e20W0W008W0A00040000qWW8oV0020A00WWw2Iu@V3 -0Wi0C8LK0004800020200200000052e20a008400G004WG000840GG0020014104W0OY0083 -L3W004100001AX2W8G00H0W00W9I5YXWzQPXVI000KY0G000AYW00A00WG00a04402eW0820 -18000W80Q4300220m8000GaA20W80600000WGI0004WG00W1G04W81O0000m00dGQGw@600G -OE@Od000m10000eh04000000GG00E0000eI4Qb080GA002WjzD0C1000eC3040040WL6OtWO -@D000Gh00090s00BjRms@900z0eTaboEF1fg60000FuFC000000O000000004Gtw600mWkx2 -08W00WNk0OkR1y@y0u_vnz0FWA0y70W00lzF0yJL0WYA0QFG2z0007c55C000Y0C0m0K1m5W -10003A1E3000OmR0u2W1e20320WA20H1O00e2G0W1e41305000A000WO000Z100G0A03mp00 -WucqrY20m2h006O000y0CmOe_w102A0mC0000000rNF00uBW4Wg0A00mKL10F00000500KLL -e0W6wwE0020YV60_R91eGz00KL9400m@p1ey@40J@0C3NNRAv1101Wxd31000aT10W1rsOFS -X00W0CSU8zXdmvfL00erC@V96TNY0mv1400mcNXKpT2J_N1ef2WrW9fpSIsxcdexhOOU3000 -0IV008QT9k5GbDw912000140WG_DOpx400G00102OvA32yp300D2fWzpJkF000KuaS3W0200 -0100802GjpC0400000Y000WWjdV000800040420000G0gusWPoDuSV30Y@0SrxCZI@mlqLW0 -00CQ_4_4t00W0W00W00210qmc1z6RW000WeqD000WIR_OG500enwM23@XwmDeZT6m000W001 -00400000Xc@D0210GO@X8000gn@4G00000080080Gb_600OvEpaJUKHku1mByW31200ysG27 -JdGA0K45m9f2GrD4b6f03f0GHK0ei_V20C00I5mfP_VeiV3I1WYe0E10000030YK1S20a0Gj -YFa205H1WIZ0CaAmI2200oyFXE0C850AY20b61O8L0dELv@JkO3400GBLd00uxuwc@h_v@fZ -_Fwf31O00KiZ1Pxa0030mK0e8AWJI5WA1I0882a0100WW8H80004824X0W4OWf0GHK0daA0L -0W800W80KtV2T0OGA0K45m9L0eGg0EzBs@zgzVJ_y02e100m0G@@CWS24e@VC00GEriOHl_V -200uJ4J000004yF0mB0d08HFE1W0OdKm3PNwHKf2100485HO0tI0SgEC@UQpWfLqQQ800100 -000xJ008BKO_L4fMJF10WevuMZD4OEHuR0uB2WqLXPFNFwrtWTQPuJK3QitWuTh8ZNF000Wa -5660010cyr60G40000I000W04H0gB@4002O00G0u0W1Q0mW7Vt8uN900KBcc0F@_cmfl94qR -29vdGjv6W0M00W000018WgXDeXrGg@d10500@Zw4000ZIoD0406O_R9ahi4N3ZH2nI000WnL -00G@tOSMS8vFdmVoF0004aH00ma@9000Gy@v7G0004fl100H0M0eWsbPGG00mO46aNN240W0 -w3keH@J0042m7pI000Ye_pS0GqgljEINfA14Y4W8DOefw782H0iD56ZL@0eI3W@@vvUQ900H -00200000G0000eBTB2000Vr3@iXi4Y04000400W010018Jc@9ScV21@R010WenlDuxV3I@dX -npD8UR600izdJ0IT_dW024304u8THRGSw6004b00H0qD6C00040Y20m80CCgB3LgOm_@90Ux -1ecvM6rN21200Vlp00W44A018KG920100G800000G40G08a0800Y05000G100000G200W001 -049000W0W204YF9C5006qsW4Xj109010K2WTvPW200Wm000408GLfO000000GGG0008O200i -LF38880sS@4008m@@pJmy6W050u@z4000801200CWG0W00WZ5C00000W00eXuV000WGbxg0c -f92410Gn_600c0e8BO008200W2OeV60Ga00Y40GW00000Go9XCOjP6U9B1010400000840yM -rLH@pm7@9a5J2eD0004eD080000020000800080I40K200iwl1000100I000GGA5062yt300 -Ow0W000009y3V8DXL1A50K0GA11yB10W7000000F00_@N2000twE00EJ230qyxbl8Hi59qg@ -FeA002jF10W0000301000Mzb1rud082008yG0O2G0I1W11000U800grdXZ@V0000et00WwdD -0000Y100W@@R105000u200W0uJjn0W0mOJ2m@k31000BDVsUH000MlVFgN_nOffAQpd40Z00 -r3CJRJaaoT2RunGbmRqBe1LUp080000080000m0A00KzT2rzdGtxFS6dAlydGq@CaRe1p@RG -5SUCXE3hed00WgmwvPujhA00W00G00eiE6whZdf6n8hvA69q0Wt60ly1pNqsasN8pyRGlPI0 -00WNg00GsVUynV28010ILi200010004AIOZ71LfgH32Ra1020000GNcSaXo@DeWU3goBXa7D -8tiGMhebu8huCB3W004yr@3WZ002IyXN7J004GGh@6G000eIIFoLqWvwPOl0O00024h86000 -8YRXXanz8DJ62qyXGD310Y0GTfv00epPjPp_iyaF0hGac2GD_cLNA9zXM1000Uo100lr@s@@ -m00Wh_@Vp000g0000yPfG_sj2WCF0@@F6002n3M9vosA000GPO00ORskQbD190aI2100Y9sZ -XODOBk700Caz@lA80040404000Wy6uP0K80W020e0NF0000LKN2rWPm@@60mQ0ezTL0004yS -YD0G20U4Da1Zn0000ZV10WXQX9jNO0008ipfAZ0B10W5ct@Wfc7O000000g1000WEJ42W@@z -000G8902WsYb08n0GKng00W4PyEXsZtI00W9000C000C1S000W81GnzR8600Wa00m1_F00ue -x4LO00007fFC0GL0_eqW1_j1401GT@V200Wo600GctFSHC300W00G00yJy3DV72000YlkDOj -R30840000W000Gmuu9y7k1BY@mfu6i2W400QI2ar308000002gIFXL1guKPCIlE101000080 -0G00K583XXBHVu6i2W40cJ0k5@10m00@@p060GWK0IOLWAg7UcwpXne00GGumSOnR00uP2s@ -XbwD8YZ4G00000G00020G0z90G10O8_7sftWFXJu@@7_GpWFyLfU_4000Wyzl10v70_m@XN8 -IuKV3YiE101009xR00P010200000G@@d102AW9xZn7uOCcF6jkdGmz600005x00m@@9ihg11 -_pG_@900018pV300W2aZl180G0Ymd1W04W@xlqzzC00e0h@@76Mm0000mZsR0100000400GG -06fF100Y2nkRmY@90000S63Xk_V3GE509ylHvJ9qvl1zwRm6@600200140mW6HrMf400Wuz4 -00ahD30800MKF100GaNiQ0824WIDJ0082Gc@90011eH@4W000qZ_FR@Z100Ciwyne__40104 -1G00040000020100Y0004Irt00W2GrzdGcbKj@V20OO0ced1020041002bF10W00DxR000W0 -W0050008_zt00800faMHj26K3hJ000OuF00SU83001H0084LQS24101G0000e8000814IY00 -0W102G00AXs0a009laRmm_9id9Cz_NnmvCy@l100Aqdjf2200040010Gf00000I80G8W40eX -FCW0011G0WH000900G00064X000OEB3AfCX7b_101008008X000dhb00000W@50@@R00WI00 -0W2PuR0W80X4nDW00100120400280G50020001K0000fG000A00004W0W0G000e000010810 -WpnD00Y0GsJ@04008Aw70000HT00uyw7ILr041000G440104W0543010upzF000G00W0m0JC -4Ic1Bkuq@@600WHw@V6G0030001O_e40040040G02000G0C0000801000040060Z8PR30030 -Khl1010GYgjejEOe_V60md0i2i4HJd080G00400200201100W1080S38000000G00K000001 -000K00G00W00000WQX9X00C00408TsR9K400qEC38M2002Gc0WI08HS300W0000WH90200J0 -0a000081000m0WG008A03O2G00000W800GRE6W010088PIArH10WMz@V3000uJV00008WGY_ -F00FA00O60VzF05G4000000024bzy308Wu100000CpFUSX00085Kl1XX@0Gb2W@@D000e900 -0106300c80_@t00e300WA05ZE0A800KC00W0000O000q1G0e9e301G79AW40004100W0W0n4 -G60W0pJOuxb000m9Q008TkDyF00KKV220V_p@hE00v6rU2pB@mqYF3b_BnQra0gQ0u9Dg_FN -b2n31000U0fgyByIn_t200DwRTHQuQRoXb40m70@QJ200AWptDu8E3_JN2W000LqwHn_6CsU -8niB10004D2001zdmvxFisV2n9p0084Wi@J000WGcP60G008vUFgHFXJ@beq_7wxN200V@nu -pGruFiD@33sR0M00G00008G00weFXQsDujmJkacad@V0mC2Gzya02W2ek@D00G00400QQVXc -J63g1005Y0JkQvCYl1Tw2302_@slXH440000800W108000W00G0180uMFj_@t0mv70LZV2G0 -0Wq2J00002004WwwCeaV30840Cu_L000mqA00C63CHtdW804WtxJORjMgQk500ARBz72008G -00W00024004000010W0008G00088400O00400G0G10G00Gewd0100esZP07H04qVB8004480 -40G4W0004W0Ga000804001080043d1PFSbt10WqxzW20GG70C00J8508e400009412K200K2 -0128W0i5F92aAjSSD000X0041000000G02IFr02G00K0021A84a8k18020s8bXr_Z2uW1mT@ -j001Y040G300u080G1008000C0S8k1frHLi79GN00ev7LG0000G80200G000340480080G48 -100W00200GIqpQjHl755R008K200008WH10800W000080100020y7000XyN070000K59hyG_ -5@70m50v_3300mZ@@PG0m000000KJ50znUoJfm000WBD4F8900000Y1000000O40004000C0 -20A2m0K1eY1003300K40003000J03000An4L0Ku14rVB00iFED768kB00GPmWV0gx@0K6000 -bN10Wtg29JUFABNYWyV0Sv0GPQp0800eMsG00002082eWy40001CZD6fzBnqw900800000AL -00Wqv2P1AR00400820usy4UHUZjkt0G0000WQsqvy8SvDY5dXnbRv@@G0240y@F30I80_@dg -mpzOWuD6GN2000mM400BRF1W000001Hc0F108003t_3008WZSzOAO3MntW8zV0802mb_6008 -c3006mhy60G8000W0W0020W0O0NhR00W020010G000Ijd12000pfrIRpIClM5xtPmayCCoV2 -0OC00eW04XU200060010_iV20140smtWUuJOsjJ_pFX0nzeuh4QllYl@D0000jj00eFuD00W -00W00G00WC000GIXtWi7CuxT9gBF70W820000WW4041v3ridmTo600283100000KWRwD00Ga -Ody9C0T200W0JVihHWDOyt40G00zvF6zURW8b4We@D000WGYv600003O02Glz6y4SKW000m0 -W0200W0GW00m00G08004000YyM200200021G2W0220000007V1G000004G0E0W80000OiSC3 -kVp04100n8vnAzs0010001G000104800FZZX0G00O0000H00006000eJ240W88004G00000G -G010000Y01000000W3000482000120qc@I004000GG860G44001X180420280100G04M@V2G -G000000G08Y010GW0Y0OGL00O4000Vw0010a00e004WG3X000Y820W8WW842008A00002H00 -04XglKQ66Wn08000WYW201m000@fRGDiIW820008J520W0W00G000uCEW0e800011I24000O -Xe000000aW0A6004m00111000W091@J500WW9eDemR3_@@1A000Pup000BD002a208We0082 -0000G008IG005040480000G0joZDT@hIbR64102P0mGQ@682000S0000WjM0000010200060 -02511Ae10A200G0000400014000082ihwoEXKpbe8Q3K0000000010I04000mlu1O070e000 -W0O44000WW8W8WWWm010W0102axlM0W4G8400S4P23udG5EF000804210000MR18800A000C -200000Ag09W8X0884W00480L1000208rqPwD_aGODu1_4Yor04A0WtmpmIw6000w1n0000Gm -480010800W80m1W000WY0308020220VGLq@@F00x1000ufF3m0000000WPyF00qIV200WmFe -t00zl@00W@10800040m3000OQ0G103kM0W@10pCZgg_7uXl8ggQH000W0uV0W@@00000yhzA -YcX7O0G000m0000Yauc10100snF10C200030000W20C000O0OK060000neY000m005m5eAWB -WL4N0h800MH0KWW0W0S100e2G0248XwyB20z@VIF906000000WuXN000W1fAOG@@9000mrY0 -008yyJ1000202000iYb5G20n300Ag8008W000Ml0a@V1W00e700exk0KsnCx8dG8F6Sl@FWW -00cAVC0042bH7oG@O0000Kx00GYsNr6UB7bl100q_a26hD@4sIt60i10hc@MxMFSF@3BVdGQ -W90000X40W8W08m2mDW000000KWowP000WKxD5r1_3nJR00800002W40008420qHl10aG0G8 -00CQw300Wu104208000082000G0WW800041X8030K110800o@y60002emS6wq6c1xP0012Gz -wCW100OYz7W40iavJ2G000ojt004400080W000yrl100080G00001We6@4C4000022OIxSMO -7Z7@D00008G0000W81VURGKyL000WX80W34W0G00088000K04a000e0GY0040280010040Go -hNh8xDOaq4O400Cml10000dd@1006G0m00UrF1000208000G000W02eQV3YcpWNhG201Gm@@ -9G00W000020G4WtzJOd06oud1XQ00002O00W800a2O4V60681SsV22000kCqW1xSAUV3000a -138Cwg33000X4nl1000KEVF1000GsM800400004iX00860eWJ420800CG00000G0010W00G0 -CZnoB20K00002WFzDOSU300a00400usV3IeF1W004jzRGs@C000z5000W0aS2m000010HG10 -028250040200000W8aG000W00GqmKEtw@0410m7AO080040004aG40W80140018002400042 -0G0008GVJOGu@904y2034ZAHP4PH42JGY6b41eKW411mKG10QA10C34400W9K0008000unwV -_Zr00400G000kat00WWW00GG0010000819WGC08600G480W080800202W0000Hkt90000dp2 -3W00000H09440A00WYe00Y08m00400006006a4001GWqJl15VK4000ostIW20000G48Ae000 -810aW20ahd100W000801820unT6004s3Go0uc@4e0000100W100010200e405pPGIz6a4THL -mb0C1000000PSPmRO60004m00001G000G010200GA00i@V2WOH8Q@b10e0008480W8002003 -10e001We6JD8RxY000W0100G0200G4HH8060rzR0m0000G1G0W2W0070iMj10GG0pzc10008 -q520100300000G10100e0MW2140B00O024Tj100401010ayFIh6R002002W0W080001000WO -4utT3008a001000510e0000800b8p00WP9G0020G060008006Y008020O0400028X2H0000W -Q1GW0G0010XWDPiPKV98G0000000XH0W80e146W020W600002002000G810000G0C0000088 -0z_@30b20YWF12000PrR000200W006100Q3F1W0W89wx400801mCe20GuNx91q100vURW100 -0000_w700EDF1XqN0O@@0001KnV_1Z@e24@300G000gE00GC0pOMg00005G815LCl10G0910 -0o0aHY48Z49169I2e904G7G1040A4C0n800e000WSlD0H03mrrC00GcN0000C000O080m0m0 -W1e2000300040000300WP04000OGO00000m51mlm@0W1000000uX700yFcoC0gKLG0egW0m@ -0nCcf2000000600000W100L00W0wAb6K@F30rD80004800X70u3F02uVy@V0mEx0ml800O03 -G0182000Vz3A0000p000m7s5RvXp0000Mk300PwpG4A55Q8F7V@0400000IhXFTIwrNTE590 -GA06dZd@@ZAew70000100WKl00monQr4t940000W82q1k1W1000020iSj1zWR000FzPqbOj_ -40010qkz9HnBHOt9CEd1jpP0040000010W00G00000K0100001G2020W00GK0000ecId1000 -942000140000GG0@60ub10008010G000020041080801W0002G00G000g00W000f008qL@3b -XV2104Wd_h00800400Xm@JuUy4cxt00080J_Qmhu60O0000005s00Wx_P004GmPv90000101 -0ms_6K6_33x@m8@UqaM2Rep000W2G000001A0020W10G50Y809008104002004a0000Y88@@ -400OEKSj1G000800021W0OG73W00200GA00200WK0W0CGQFX4A_F100020W00wytWnxD8mU6 -0808y@l10LL0k_tWmtJuaT3oXt000029fcJw@CyJl13r@04W10008200203ZF100W00040W0 -0W010W000CI8I60002GU00Ggy90040004W8400G1400vZWK4ILqZl18080000GW0212W410Z -100W0200M0H000Y00210041Hr@9008PPS_7000G0G0020000040ikr4AG19MtF1300000440 -200000045Y0060W000e00040080O4V@30YQ0UxN2000GNedGOu@KFw3jrR00200000800400 -21WW0IaX0m412Y000H000Wm9C0809g28c408180Wu9SC0000Ao1WWr@D01W00040801We400 -K9K40G0000G00002OYewv1200Og@6q1G2NOa00OW04240GY0G082WG0X0Y85GWe0a8810K04 -020A2X0WG09tp400aQqyl1000a10000841G080201800W8G00H05800S_OE9mbm0u60041W2 -A000Y0WK0O0a0eGpR6G10040400XK202300800W0808000K8xy40sl0000W00A00021000XI -00W0k091Ae00FpoJTNF4oi10500o1d11000jSR00q0801P08002000u002W0028ohK6G4000 -0005C00Wr@J0G4000EC4WWC04H40E1n000G9@@p32020W005nTQ040Y001000W348W0W00A0 -012020401W5e026G0081HWCOaWIe0c82200100402G800Gyug1008000Or30002100420083 -200008GW020088K081I0G00020007xdpzFCa0d1jSp0000I000401G0800G01oH100002402 -00G2452W0009X00010800A0OuW@D0i@1Gzl900080WG1202088Y49W48026wX@@X91E90002 -800Y000Ge008YOuI000a00Y0W_mDG9G0GfuI000Wb604000009000NDR0QG0000808800810 -9KtSN0eh0m_@1040eck@ZlCXZAT500800G400ix@0G000xF_zyJ00_@HxR00WotUqP0D0eA0 -00y3_DG0wlVPcya0t34Go89WCWG091n8o0ICS9Gp85WEWA0D1T4O0O800C30001000eA0E30 -O000KH0OuV30v00iDU208440cH0yJi10GG0_5tWOzo10@300OcAL50u2F8cLLG0u@WO6pK1W -@1FSmJLL22pC54yPA80_NG0yFC1uVOcfh000Wm@@1GL01008300010@3F6m00FobF1l100N@ -R04L0WHvJWd0ml@1u1@3000mC0UvAjLinePRF6DpfIYbOV@AUzN2GB80XzpGx@FinC3dVpm@ -tFiM9Ih_N1000Cc300xedmzuUKqE3Tj@mEfa0800eVw7MO738G00p_p000XalYzuOVX80W0i -RM2XzpGWjFiQT2JQRmj@6SAl10eH0QDe280000081sjtWElUu@@JwG8120000W0800020000 -X00C0GW1WjwDueS3008WG0001I0080G0aBxh0000FF10W6mD0A21004008G009hzGWXvSMl1 -9XR0006WenD8aE3c4nW@@J8CC3YKWXLoD00W40000000Vj@@R008W01300HAyG_v9Ka@3bXJ -oyx9G000e2p408200180SDD6W00G024000400W0WWA@J8Vt70Xv0iPU200040W014gN2rOCp -0kL00000H00W808XS6t0001mFxC000WG080Gah9u700Oyz46_rW4yD0004vi56CjkD7oRG2z -600W0uQT3kec10048vwp000024G00c00C510H806XOKU60Giv6B73xddmwyC00W0w@@GG400 -i0G1twp0X0004G0GD2cmxz6qVl17uRG8X9G4Aa08004880000008W1Y400000GWvA@400S@j -d@30018000WCRj102041020C4lD002a000GCoF3BnamNv90010000GHez6012000002080WH -nJeHy40rwGyXE3VUAnmddqem30000Cm40004e01008600H410049028W00KBi1lAQW000H20 -0Y004000Y203g0041G01a20Y803e248000HCRl1nhRG5u9000200200G08W@VV02000808Wd -h9vqG600002800Wm04404080G00000010201G010041mc_60080PzV34eC00WW110CK2G208 -10GW24W0KOH020G89LE3000000GUDMk404000O0G0008200020e200GK00408Y80044O0m@@ -X8200OTH96ysWylD00bA85K000460IG1042000M0KOb46_Ub10e4000W0IbZ1Gc6000W40W2 -A000W9RE9o2810WW2@@3350000G00000H0mI00000urB30104clR2G0W4sLt00420000r000 -m000400GX020G10W9X3Sa00002F000fYR0042WsmP8SS30200Kbk1@ZRmIus0100K05H840A -028000W90oYpWCpD0808040W02400000100H0001G08000G020000Wfob00WDH0020004mG0 -G0010e02280GGW6001WW240000400G0006100G2aNLDu@@GAOCXKkJOrV30G2a02100008Hu -@680G08_V3C0W00001W8A000060099045I4K2b000010WG000G0WezJ0Od4Gr@600818xV30 -G040H4040500010Wu_D8Bf7ULVZTsb0C004004046X0nmnW00800q0XTkRGW3Cy@l1I100Y5 -d1000mkbm0o5t02410no9HsS6000000D0m@@pmV10000020_FA100000080500Kvh406200m -_G8Y00G01elp30P010@_tV000OWlwV200OAJJ@100U000WT50g80000W@00m@@vKyj10g800 -O000WHYC1E38WaWQ4P0n8H2WG0G0X000W000010003020606G2uC0G1a4WX8Jiu6G0000uqF -IiS90aHq18Z49G79oOvCW0o0A1a44Z010210GgEAaroDeqP68Xt00WwzNc10100eUP60yWF8 -LfAc0uV0y7pKLbW0000nC03vR00q@V040qeg_0u1000wg300A2WPcbA0yFW0aPgIbp0000Ga -fgWYbJ2_74pOE8cnC0m3U0yd0000W0WiGc21010000mN@6G0@c5500QuioD3G02mTsX00808 -Nx4IssWn_D0my2G1tL4HU2hIomFvd4Z_6@OvHewI80000000cT00W0ug8WT3wPsWYlOu@VRw -3EXtrFfyP600u45zVBlkUoj19qmj1pVR00800W0W0vfo0O00W0gJebU6gz_1mc90Hwj1008W -5lCeW_4kC3ZqjOu@V3000e0004000G2100000WGW1G00201040G0WY0040K000800840WG00 -0W80e8FF000WxE00ekx7gjt08000lUR0100WEkJeAy4YJBXVrJ8IU9MxtWYvD00G0KIw6isD -3a0008000G410utV3wzFXQlV00mIN2x9K1H2n4am1sFSdj19kRGl@F00088mV6M6t0G001GG -00K80000000A0240e00W080804M101W800010000G90Wdy91yQ3mUYR0002081WGQy64NE6B -@@Gw@LW000W1000080W9VJ8Wn700400028uxVCeA00ihFL5Ham1z6qbX1JkR00100O000xwP -GJra0WWqlKsk02A0008218O020010W1048GWW0440A00004W0MPz60800Oh23Q@U3WS20ltI -50001HW800160080W0908000a1G8O0G0G4XqR022CZovL1000bV10Wz4Y200001480X00002 -Ye0004W0C200C632cY45Y28O4041Hn00610OGx46Wt00400bQZ100Lf_Wl200I8002G0800W -27800fG14220002213485H4G0806WA5mqvY10200800W00001008mZaO0_R1uuue400008W0 -G200Guy900A00002K3R6qlj12400Yoz14000NhM1000MN000HCx4000p8HI0100mNs6m000G -240000000620000W000ay3x3001WwD1300LkLHx400G00010K080W000y7g1000Y010220G4 -40G08040G20140G80gwnWHpDeW63kyV3WZA0VM7LJe600000231000W48A24Ge041Z080410 -0GAW2G8020XG28I000I00000020002008XVtt0000VyHjy@F9W30200WY0H080G10mjH60G0 -8eeN3szjYzpD0G00GdyFaxV200GmR1t900GuM4W@j80@3SLL5uXpC000G00H00ik0m_@0GV@ -f6061b0w70K5000C0_pCE10W8Y000000L1qj360I80kBt90G40AW90K0J0Z0k06HO0eW00G1 -0004000W100WAG10606G2S646D30404000008Y0ePUCS6004iEL00W0yF000Rp00000X0W20 -0WC22mV@18G0Wgk1Y@L50AC0Wx_L100iUwQcjLhD3@R0e33WEoF1G00004WWQoLvwNU_m_Xa -2muUR6MiNY2s_v4DL000000e0TwTmo2WbG@h000lwYyX00G0OVy46yjbqwD0142mvx902000 -80004W2WcwPuxV36EdX5vV0yJ0mGj9KX@3ZxR0000G20003v@mrrLiPj13vdmMz60WI0010G -20000Y2200H80K20400G00011eG000000W0140sgt00404RvNX00200004s004k_t00C0000 -00010WqsE301002@s0100000400000A000P1V30080ykh1BnP00W8WZxb0400000WnKmI000 -Y00G00060m0000lqtWZ@PeW@4Ued1G00000KOwvFXgQDu4T3kSt0400400008A00yXU20082 -0040W00001000800aotP000e0040Wj@V000e12000G00000L800WH00110800000G2090000 -0002400010W800000G0W020fvZ1em3WgsDuyV300m0ihV50K00oBq3G00GLdln2@C0040u1X -40001000Y86K9g_d1000qnmL100W200040880wktWdlDGW00GxtIaDD6hM_m@@68W1044098 -W02011404110OGG08I030G08Ga@U0W8cQw@D0G08cl13J5Qmq_j040eukV304O0G08W0008G -1a601040I0000000W0900GGCtTkYz@J0Kn3mWBUqoV2000WAfAX@@h0040KrzCSil1XhR0W0 -02081Ge0080W8Y0000000A8100WpzC02000G0000020Y0G02oi2000Ww700Y9VZDyD0G0100 -W020204RAeIhy600C00C820WX00W0064020K00H078G0010W0G000Y8KuW2043W1200aI40W -8W08Yw_n00W6Ug@R0W041008041020I40W00c84W0H004wf@4Ebz100W0ByBHK@600Q00o21 -84254A2218YKC0340ZO2OY840WW0W0CH248A0GgGmWjtDOoV90SH0y7Q54100020a0G00QfV -3cTsW_dDO_nA0002S0m0Xyp00900004W000P04AA1WG087S3oLp014040G10W8W008W0g2U6 -Yol2000Ky500U_l202W2LkR0b820000201001WK201WK210004G0ezlIuQU6G020iKU2000D -0800W08411011090WEKD00X0004W00000G0G21W20Y000114e001miU@DezIC00iMFnw6004 -G0004G108001000W01W0208W0b42018020yXI90000jbT2nzd0W0114m06W310401W80GG0e -020W04100Y4CWcG1001agd1diOm_yR0QM1On@A400A0000000C1500XDyCm02YIfV9GG00eZ -V6cH630G0W0002040200I04001X00405G2200Wa0010H00G5HKY02041020000H00000LkN2 -9k8Xe10Wf@h0C00m4r600020100G5h6000H8LACsoj2010SAW0G0uA4CLl1000aI4t0000W2 -0A00040aZR2nmj100ezX@hG10000I000020800000j0000851yB0G1WQc000000002G00600 -00W2m__Fe401ufU3oiN2m3Q00G05qTtSBJtD3000k@3mVP0W@y7WgKD6000W0I000G5gR04x -1evV900u10Eu1000un@@6000yb@1K5@2O6n000zMv1m03WmmP00O0eW00Sd1002000e80003 -0106060C0g800g000W0000K100e2W00103210400nmX1000_ehn020008040E3o8G0mq00W0 -000E300m50003W1020k80k7I20e3000005300qvQ2G400oMm000G@282000Y02Vk@d2AeAgw -A0y@V0KF_0W0Y000a17YC0080mF@500D_Z10WLlog31u10m@@9OtT18tDR6m@ac_DuP932pE -1WeD0bafLV@600808iV3ok63000K3Cd0W00m68J0W0000W00000OU600000GW0004002Omx6 -000XOJt4000W0G08eZA3EvD1000G00W0sG91020GDDZnqZ94eY1Xi7Ias6CWj1tZd00WurjR -I8loAwrZXtWJOpV3Yzm0000Wv1WHTtgioj1W0000040CBl100G0EcF1GP80xZbG7u6000W08 -80mCx9020002W0G9zIis360e00800e80G0G5000040G81000200cHnWgtDG00800000G1W0d -yd000081204HmRW004WJIP00020000SM2000000oer01000jxO00080G800440002010W116 -0082200Xs0I8eD3WG080G20Omx4W002W009uL13AzE140007qRmny9Cxk14000ApsWYwD020 -0000WesPJ000800HGmOrI00W3z6090G10ySF38W02EDW140W000W4yjk101G0110W0101000 -0060008000010100Y10002eci4000W000O0001040000m0000060100W0W0uC03A9F1C000F -qPGy160004001200280000000101W00SDi1Fld0eT6801000W01000090a0000000Y20044G -20010W41002002008W100m800G18080W0SJk10G000G0H80C000C0Guz60W00W00082W0000 -20800G40G0KZg1bWPGgy6Kil1200000200O00uy@4sVoWsyCeyV3408401G4uwV3000G3f00 -8cU60002004GOwc402009W20000G0004W@TD00G00020W3VC002GKgz9qTk1tswn@@LW800O -1W2kkEXF@POuT3a70ayLU5foRGSz9iZU8NnHod@X020G0000oEkF0WWKezpAIrt000e4dZBn -itRSXl1L@dmEajS@l1HiaGLS6igl1WXR0YAr300I420n0a100icj1hKUIa1UqFz600I0WG48 -00041800may60000TSw4AZmZEFF10410840WW8c9c234GW20200H00000402000042004000 -00GY10X0m7aI4UZ10044000IW0104150mDz9azW7G0000001E5G8fPK10826004G8W0WH200 -08a083@40g60WG00emP9I0r00830000G41200408Q@J96Hr9000G0W000001005000020G02 -000G000050000BG0W00G22502WaxJOPk40002GA01WI41004XXmtIuzMj004008408IQ3G00 -2W00000WG8000000WqlPR02000010a9Vo008000028W002e000yaU2@rwnZU6yfx3dzwnVm9 -0001W0004m000000800I010G480028L930M6400114600W020WbED8tv400010K010001002 -e001G0@@7200WYU@J0029mTkj000021G000G04G00008000100010W004004000000Oe78GU -1mWYta8ET304m8XK0G82NF2MtWYPW9_V6000I00000XQ0qey64Pf1QXG0GQ20000V44b0000 -D10100JkQW280WStC0IY80006000200Ws90000g100e9LFQHq0a200NHFJMx60008000GC00 -0m6iV0KL1m@@R0ud100@J0200010Wg1n330W0WYSV8qwDkXt004300GJ0006020c0K0o0C20 -04Z000000Gy310004000o0C0004Z010000GC200y@l1O200G5m4G50330WAIeh9y@V50W900 -000@uV2080W0300000eAm@P000@xR000060G_@9000vy@VF000u200004t50S10WDev10080 -G00Wt3DeER60800q7N5000200O2Lll10D4004424li70180USD10404nkd0000cqyDOzP3c0 -rW0lV8uV98804aTZ1VPpm5e6a0k1JuO00044G00G200W000myIh1@@R00W02044000G8_@t0 -0G01000008400G408pS6Ad132000rYdmv@6KDk4tePGy19CUU2dsdGk_CS2g100Uq2s_X@oP -ulB3040GqQE30H00kKtWshCuk@40088iiE90012guoWItJuUx7400001G000001800008000 -40007j0qmE301020000svE300G0_6aXfY3100W000HWQnD0800GKP6iKo3daBX000WmuC008 -GGOu6000e2800408000008Q300Y1mWZVDG000Kiu90020200Y0WA8000G0bmc02X0WoGD028 -0048W410e00000804020801GA0000W8W0060002W20000001G0W4200H0002z2Rm3X6CZ@3@ -Rc0I8000W82vwR0GG000A0GtvR00WrF00002a4002X024G008Z0800H8Ge0000W8G00G0G00 -000W20010XW000000W2800000G00WC00822W00G0W00001800G00X0800001020000200800 -1I0g31300m0202HG0a0muJ900W1OkT6MNrWTyD001W06000a8020G00001GG0000n03Gux60 -Az1000ma20001144000095800e01W000008X100W000005010000e0102000GG0200WWG80W -e00W02W00K006000XWW8W00G19G0G044K0H00G00a210JZRm@@9yXk11zZnty6CWU2v_dmrL -608200000jq000000400G0000O000GG000n_5600000801400000FGWRspmF@6W600020000 -4GWjfP8wT3sUt008000O08_u@10010pvb0Y00a@@b0024GWa60080004Gq@@600W77020muj -6y@@3@OBnorI0804026G0G40008cPzypW020G0410n6dmmuC0W048hV6MgmWL_P00W0GSy6C -wl140004NG0Kxl4TVPW800Y@g2n020000240200rzR000W004048W80040000400208onjOC -q_6bSOm6uCWN00O@uDk7D400203_@08002000IW0H0E8nWUIz000GGf_C0040002YmK@9G80 -0100000Wha1EturV6wCl2209GDJPmV@9040m08W0e0aI010020i80ga73G0a8XzL1L4202G0 -000014400W000f@V306900500OGh4YzF1000gDqpmI_6a163dnR0Y101H8AJ0100GY804mb1 -0Ge0me8080W96Y0e40X0H8200Ztd0000880002a00Uzt0G08000G0W100CIB3Y00W0A08@Hl -14X3004020000b008uz@I0WY2002410m0WVWUutw70001eG0900G40000H820000300GW00W -000042KhtFyNw90440W80AH108G0G005W4000040K9000e230410W0e0501W4dP0A0W000I9 -0050W400G000SHk1000O00G0K_@30040wkt0010X0K9042G0G90000400000ocRD8foDcK_1 -00040403001000W01G00000010082800008v0Kgl12000Ikd1Y8008W0000000004300GKx_ -9W000upQ9UNr0Y0008000Gm0S06200007WG00040010440MJ7ZsXb0038508450Y000301G4 -0000901IO00000MZ0O4250KsjsWa@JW50W32G000q000G20Y@t0007080013v7Zm8C86E309 -02KBZ14100101GaLE6TNbG_@C020ea0009W0G080G8W2e80e0800B000m87484W5vD8G73I_ -t0W080R@R01I5X2Sz0000011mYaSP0000qtfCqwj1byRGOpU0008K9000aUH000C0844000p -_WG0WmB000etW0@0C00e10s@pWrdD00q20G0WTc840BrR0WG00W0a8W01G00f0Sz@3y100m2 -0000G0000840W01002x418008m1GTL1000ml@10WhoUmC0000ypl7un00O60uX5000WP0000 -00mW1C00G01000006G3007Dc30gA0aJU0O6UC100WBJ00uev4W1000301040L0808000Y85V -@0010WouCukT98200GH00WVW20D1@4s1w800iZ00000081o00009100o020bmb000mC00Wp0 -00c0E000O2G0000001200G00zlPGshF4IW1O2m0m4m400W9000ah0u_V1001mK_@Pc@F3y@3 -W8Y0Wd10WY@J00F0000mN_2m@MA0Lf80000b0uaV64000d_l4WH306uN200O004G0g1F4000 -z2W8t50yg2K000LX3Giz9aRF3T0mG7iR48j1DdbmQ@6iQl1000uW20WLyj4f0mmXuRC9z3lI -@mJu6iTT5PGPGkuF42i1PzR08W00G204xlP00WCiG@P8Nw4gGuXw@Vedy70H40kkj43VRmdx -OyWl40084oxc1010Gphd0OA2WAWheJK9I3d101803opG0nC00G08AS6020002G08JyGQ3W10 -00400400040Cfk1000er7804V_3N@@GCvLaSE3000XsZ@10W00rrRmQj9ysi7xIRm1z98W0W -ebU600CPElI2bEc0000mGpJG00000840008WHIR0014H000W00me6gF1Cf00RwR000WG0884 -8204Qot01W000014000201000010ms_CKFV2t@@W0G0X2nJ000100G00040004000Nj0080G -000W8401410AA000G0040Tsj100G100800KG000W4I1O68000G0840G24504G82A011I00I0 -4000A94O05G0004002010WW4Pk100W1G0000020048G0W0004001n0dmgz6Ct_3Dkp0200Wf -wJW0002000Kd200000000H20206OXV30440010G0W0000G400204W0016sm0080500G19W0G -G4A00XI080G1G202Y8015KH4m0KW200W0021HGGH10F3nGBtj00A4epR3W020W000000Gmfz -600mNY0G0GkI60G0WW4000800WkxD8bV3W0G000800100n_Z6000m000010W0fMaIWG00GY@ -C080GygV3gvnZJnR1mj3mGqIyhl1LDbmf_6W04YW8O000G000090GG81082O004100K00404 -GW004W00882082H4108W0804001W90PNRJT@I000YaJ04Gf@ISKe1@rn00004G20048pCXXG -0W00410Gm2C420Y0ma0041a200amY1005H82G4aXe1tVQGc22110000mxJpsaKFl1tjR00Y0 -Wm_JOJC3wjqWEwJ00G4me@680608jPX00000Cg18dWG2yt01W007td0W00WVDC000W000810 -8W1o8J2ATt0W41600404001200YuHG9YbU60008fzMnhxFW000090W0401An00064GX0Y4C1 -a00cW855c1Y020K400H8009H92Iq008B2H2A6014Wu000fC00yVO5j3F30WOC0W80FMB1C00 -008205bPGv_600Ia111GWPc1020G400m00u0eWG100Yn0228GB4WW0Ga0Y41G0G04Ha40000 -W0WPk5ALT3sqt0W5300000010AX000G820mr_CSxK20008YXp00G20XTRGRt68700OIV3Iys -0000000WC00020800110emC8FyjVB2100001YiBl1000OD6000WG0004eKS@C0004G800mvt -900W08nQLW8G0ieGH001Hk4t000um01B01000rPf1Bsd0O000800G00000GD0i3N2t_J2008 -22100000eISX7008Ir@p081FWNtJupp400G08I400009210001000@9pmgXCadd15wn0000G -W04000A0_o4c5sV8CS3032000I1000090fK3W30f8080szd1qg1mZ9dmNe609H0WD000M00W -@@D000O300WbOuIe9E6MBp0F20000e02nf800z@HgNH_PI0Wp30Yx60MP5GYBE6m@L8SN00v -h00rk1mCL3GLC31v87yg0FeqB0mhI0g60000Y7kD0W11Gy_saxj10c80Q@t00sv9000l1000 -0S00ew@40mP000h1erC3k0FXKwI06060C0C000O0f0G100801e@_20H01000Wgg1OMeY0zw0 -iE@9AE0SXR0AGVYHqkKM9_XBE10ud20ca50sOB0cX7oOZDC3NLm6R00lg00F08K5U59kHoyf -L000Wt@00mdwFKtl11_@Gd@F002W8ipG0040200100008004YUNDer76MzdXlqP0400000GY -iuD002W80040005XPunmF1CiOg100080840kIV2TId0800W22CeNM3AOsWzuVecD300400GG -00800KyeFCWE39_o000000C4Wb_R0424002000xCW23FXsWb00000002YdoPeNy4020Gitl1 -HpPGav9StE3RCun4fRKz@3M500s1@XQwCeak4000WCm33HoRGUvF4_V5000W6XEXqvD8RyA_ -etWW_JG0000040000G1X_d000oyJLV000KnZz600G0000280800001W008000X00000G0868 -80420IGK0100081GX0204044KSz60O00W08428K0X@bJ0040G0i600408XI66mt00200lzp0 -0G0080040004W0Y0aQV2l_R0uR7WByP0Y80m9U6040G0801Ik_601400801000GajuC00800 -0GG045G0021100200K4443G004100I8180E400e00yyV20202QboWOuCuDS3sSa10040RYR0 -0400200100400W02W808OCs4040000007V00m8_94wl102000X00002024G0801W00080440 -8080020800W04XG14022X0OE8C8052YO240108C62Y1W0W8002W42WXG680es69_4qZESguZ -U600KtTxF30081000Si8y30WG000800010ODK3UYF100002008Ep810X0040Y00WAGiKX7Vd -EJE5CSul7IWY0sWt0000WY80HC1810W88a00014000000G02000G02rBe11PJoHtg0002sh0 -0mftd080W0P00W0102000H824W000000IG0089000W20W000G0000G0G0A1uOKC2ZD700LaF -of2Ga008Y884W08QbE1006000W84100yoh1v9iKozC0YO0e6TL000YG400SpU300012W0000 -WG009YeaCJOgWhuE00ytE900W1G00810H418C9eW082G1340iaeC8YW00Mu00008040A0800 -41500480W4008bU3YXh8000000Gv7c@4000E84YfW8Y32000WK4n04620080G8QGG01GpO66 -00GC00W00022W06400QV8AmHE0DuV2210WAzJ0040PCSCW00I000e4000108O01cBnEb@000 -0ZF00mL@gSHR5000W82X00020W8000CG0WzCqgIQU00040G0000P008E00G1805V450i4Wfh -L91k4wXx104X0000ashsWLYPu95a4B00qnz9nmnGLu6a2G2070W00G0WoV840as0000004Wg -45000WPO6bQH00qv33@40WV0m5t0eW_W16_1qdy3eF3W2q703YB0HuU0iYLu000Ob500000u -@Z0OM0IyDXGSG2Ox3GO6g4376040l1O0w0yp00eZ0GuCHz993rox1008E103@20qN17hi2hi -K6cX700@C0ANS0m5t0eio47fW1@W1300GWgNJudyD00SEjNU2ZLp0020WAjbeR@4oDYaKqPu -mV30042s2k1xcXH4@6K_M2bUd0uK1W_0COJU6ABtW5Ht01W0mWkI0480SLO32M330X000084 -QHdXmpVu@S3AVtWE@J00007_000000GG400A_d102W0Nr@muRC0006e7@7A4tW2QDutb7MUd -XcnV8tRCEa@100yAP1SIQuUy5l104W0Rll20W80vRBHoz6Sab1G004E4lYq@D0iIIOVtCClk -101O0w_F10084hGd000410WG00G02W020200Y00AW000G180G0OG18K0302941G804GGTFCM -j1XNh210WWKXa8j@7IydX_8IuZE30G00W00000W0Il_60003eOT304000O201W0000001Y20 -0nx9HiPRqHl1HAaGf2C4CU200aRRT@Xt_P000A0000Yl@D00G0020820002W002G00182000 -o4G00000C0000e0IX11i0YWW0020m1tL00C08QT9QbKYzun0ybIJkx9ivV202A0cVbX1zD8k -T300080200OdU30028001002G400G00H00000104Im0aHO5vXR300GaCSCuiz42is0400080 -10Qhza5RV0040mwn25o@308000GGr5akD7tRmuWC00082414mPTBDjU20x30gR_XlqLX0000 -040008004101wzt000W01SBKydC4Tj1nwcpHzC020000G0Gr38D_k1XmR02G0000d_Pzd0X0 -00W0W85SYHYBOilk12020W1082G01WG80Gs3BjJl1WoU06x@100I0RnVYA00WzxDu_V30104 -0142SMVa0W20C@l1000mbC00yrVB08I0EBtWyfJ0000We0000e4W00014H8ADzuI80050000 -00OwAQYDQZ730G000004MPFXt@D01o820G0qOnbuWTUsSr0Wa80RzR302WWv@J08oH000WG2 -e02W08Q0A00SThA9yJ20006F300Tzt20e0WU@D0801Icy6iSV2008Xa011syFCLk4200Hy5E -X180000420m0000XTHgBc1210D000Gm_80KePKWz70wc_X5MAQ9w4UDt60010000GE@t0000 -SI500w@dX2M3100m400W90J400c80o2E10uC00WBWL40Wp8008RzYeF00ylT200qeQxd7V60 -hO500Als0p3H420004my0KJ39XLq2GG6YrV4g0y42vtWSSIu@V30a00qb16LbdG2rLScT2k7 -00ItebeoDua@4ovcXqbQf48L00KTDtE6RAJoClO0800efy4g7sWmsg8sy4Uw6ZLvD0OY3GhJ -mKZP2lqp000400140dW@mxyFyH19pRQ0K10WowD00800000IB100HJ@00G0X0HU004A01000 -028000008G04W0000020050G0G8W00Y90000000052G2801WW210X000W040W00KKG8EV300 -00MsyF00I5USj5W000018W1W8000004G01080140038040G080004QWepV30W02000WW040m -h7pytj10040g_tWEqD0Ci3moxIirV2@@R000W2480000G0H000001G0W41W00000G2080000 -0mC188010G0CY0Y089208400G0000000fj03s_t0WG001N03C00WmUOGh00GyHUSJE300200 -04202004020040420A2XFwd000aG008820G0cCF100WG54MnYum00es9USR0W04ikV200800 -028G0m00402a0W0WWrJ000auRXFaSkDYgF0_B3c@@P004G4W20WEwD00200H00W6sDeDyb00 -00w900emTL0600qzd1W00m0404auh10104m9W0Cel1G0G0QptW69DusyY000uDp7C0020004 -0880000GA80002000W8000G0W00440000Wa0G01W0GGhzd00800004WFs@3uh5W9yF10i000 -a0WCcD02040G000GH240080C28008594o042Y088W883Y280_yF18DI0fP84000UO100TzhY -W00000220000124CY884000014H4G168Y01104051I00f0I0I0020WJpD08020b00Wr6DeSV -U00mZy8lA00m8e4G00W020aA000G009G0G00081G205Dg10W80s@F10G000811G004SKWG00 -000_R0yDjA8002GW242440O@E30010G80001400H000010000060004z9E300W20048G0209 -ryVa100qxeA04S09200ifl10W30030CjT_300G000G200GeO6L6gxcX7_d10m5HuTj004400 -08240081000NUQ00A0W7sP8BS3ErC10GB0000GVZ2ct@V08k1mM@d0cI010il2X00O8G8840 -02910W09J020000a4H000W00040000oCVr30u10000_nF0_FCyV8B00StVB00Ks10004FX1T -0aWVg100yFH8F009A0Fy@l1e200W1W103mDxDN3ctddj1IOA0I00J000@060Q2kpu2KZ00mA -200I4t0S180m0uYI4tWC_P0Lr10G150_v70nx@3Ot7Ww_dn100008W00SNG20Gc50sO3G010 -GhNFmpC0WIV0PA_GLuOSSl1ZyJ2000eyane6VXUs@1G004@@RGxG6C6B67WB100MesiPuBQ3 -cddXJ@VePO3cfdXcwJuhUFwyb70R80jV@mE_C4RU595WnRtXCXk100GW0800080100W0Gl0X -y1l1pbdGR@FaN76W040wWF40W00G00W00220120uKU6gbOZDiPO6x4Egt000c3nuR0008WVw -J0202H4JC48i10GW0I_t01020004000GZ0G0004W801WI001G1010000080a18udU3008W00 -G0iRV3G2004Uk1F3P00000G008L_5o_yC0cfG8pmAost00W080000802004G001e800G0020 -00604M800WG00m08C400404CG0204WIeC21O0G828a0Y200000G0W80004Ge00448SqA_LE4 -10045zpWl80Wx@D0210Gi@IG001000G0200G2003G0008000000400C0KJ460020G14Y0200 -44CS98000W128W3A0u@V3010Gikk10034000008001000000IaPzbexF6_Lk200amJsRmVxC -0180a0W0Gd@60000i5U30002_Qd128880O000YWA01GC001100GS082W00040W0600CK000A -0000040010W0G0Kvl1PpA4uP7WpeXfZ_4Ymt01002r@p00G414000G0G0000P0H110008014 -G2000Y000HQPd100200010Mba400GOXXkHirISZj1@@R08020W0000220G000SzN2080W004 -01000w5@440GG0080eMQU00indw39XgR004W000GG4000W0Y0ScT200100W00zMF3jzR00YG -m9vC8sV6000YKBk1pU4IM@C0IQ0OS_J01IW0WW00H0002002040W0G000800KVb10401GaH0 -0W00m4HWW000401002600501m002A200G120W000W8000H8002KyV20040kRFXah2na00GB_ -deOW00A0000GW1041Y20400G000L80000C0000401G4Y0a0010H82200H448W0G0aW2m0Q0I -024WGWG011m0m9_9W820OMQ9Mlt300fjPFS2004024WW42HY28r0000XW040400K0G0m0A10 -860G0G0020W00WG4020000WA0mO@6CBC30I90410000W0000410008000Y000W0400KPS8Wu -H0Q@V34G40vlR080G9001W001be0000004XI008000I0e4080000WIA1000008g400a00410 -K22100H0MMd10400EdE10001800GJ_t000A00W000W10002800000300W40zWF00m5@X00W0 -204000W8aaSC0X4I00WG008Y08G100000Y020000003800G0000b02G406G00021H8m@@600 -22ePS300G030m00000W06WH10W0m1001202EQB900idR@d4H080W104080G1M2163030o0C0 -S0048WY2K324900G251000608WAW0OH42GDe00840nW80000e0a00000AWG800i6k10W0080 -M00H4W00W01401G0000W200X000SYS80dN0E@@4X0050I00101G0000W2W50I40840P00020 -02501280089000G40244104W000008100eHO6GX00200D9iT3W80m1W000XY0708000A2YZ_ -J2r10Wm@3H9422WMXOI0C0Gw510W110WOG40K0W0YWGlG10C800z2Y0mW02G4820A080H0uB -41Wu1V04f0HG4oWvFa1801005000@50HC30000YCpCm3uV0u@2mCp51W@BYPc1yCEI00uGbQ -jAWdP00000YPc100CJbgMWALj8pyVHu1@Ym3U00m@0uFufgABILLM4_0000O000m0W0W1031 -1060004000m1000g00080c2O284m4m820WH405W80A0J0G0c060008GH@x1G@6W@@z00w000 -00Sd1006100ePa2mFGFWtWV4@0@0H2_1YyW34v1UBo3y3u7uDmFmlK0Cm1_70tz70000a0SM -00000Keg1fK_3b00Wf_LH0CmF04NS0zb_0yyW0qN1HXO32Hk74LP6M8sDuoaPabSGOggWWF7 -_e00uT@0FIjYVIN_dqlF3WVL0wTs60010lXd0G0GWL@nu3NX00002600eIFX0I00iJdALaJI -NvC008DgpoS2vFXN_huOzA2RdXrqb080GGm@L0Cb0O5jD0840y@V20024000Gy3d1G002000 -8Srl1m200005W0e80OXU30100qAi100003TnWkxP0002Gr_m000WZJ00mD3X00001H0100W0 -80308020G050W00W040030W00G0J400HKGH88W00G02GW22Y9WG000000900W0000W0PhGOA -Yl200Ka7@lnrw6Cwl10400X40010048KT300G084004001A420G01AJ008418002L0G1281m -g_LKMF3LxRmG_Iqj860gQ0cHa4G410000008402G010WW0W80GmquC00001W103008CDGQ08 -04W7u4ARM9aC00iXx960008800yCY14Y40Yst00aY42000Y8n0010060G2IxN51000400001 -204oV2pTN102wur@zOBU3YUFXw@D00H00030410880W8400008H000022W00810100Lwh208 -6000000WC00020Y00O0080n2@O0eZ18eVLW050000W0A0080010180W4400000G00W0040W8 -0W0400G022606xN50W900060ooFXnCg8MO3qE00yRD940a0YDr008101SaW0220W000bzQ0Z -G0mpKOubUI8200GO0W00240880080G01jZ100rdIO2100480G040X0WxxRWIq200K8o8L020 -HWZ0e041E004I0W08Ba0828Y450026G08y@JWW08200G00C1OKs6CiP2f@B1mk2Wtw910400 -0B00GCA004001000282G0A1D4G0GHG0016IW882800WO241180HAHWCcFPYQ30W2A1000uDR -3_nEX5jD8CS6iB00y@l7KW8000G200W001GY000I94000Y200000I00002004000800e4000 -400W2000W0OVpA_@l20e10000WomaX0Tm00Wft@@R0023PET30180W000W82002808000221 -0000400W0000W82H800400040WG000003048zVLu40G008AG0800000H000801GA0X08KBU5 -WbL02BD420W00Y5W000004011O0000Wu2W000000804020400wvV6K020a_lA8020G0I0008 -0W888800W020000002wCM2000eBzlnWV60040000a00W0000eGtZP010800000a01W00I002 -W8040WW00G2W0000040_Q0Z@@b00K02000GD00048224000W000000O220001001j@@00Wci -@@t0003000G00Gi4004aO2zI0S0Od92100a0WnHC00000Qb0O0081H1O000WGI000B5eY03W -P030000J0_@t00uz@2GL06@l2Gt30@@l10O6WJ0aWp000y1A2100G00UL0mV@300mcVkxF0G -0R0KH00030ISN500WnYK0P0a0I2a1e28349038I24004GI0IAG2X200@@l1D10WSmD000S60 -0mR000N070Co1F10C600mQ0006W20D1K0O0gH00m0pL10G00c1E00yF00uVCbv@KfgWmKc11 -0002Wasb000ALI@a0y@3OTz400y4X8cuNu100O3uF0000080GnE00008YNz000000P10A0DW -@GAlRyx@90rH0QwddjHy8iNO0040qS_38080000GwU00uyLI000GDFmCne3pa9Ca@V200qdx -SRfvqh8AS3Q9Hbq@POQ834iT0qJ_9PpdmQyaS363bMxnByU00010000hW00WuiKfYyAYnnWm -5c9lzGkit00iJgfsRmBy6qk_30XG0cX7Z2@J01000200G0000868W0200axV2HASI1tCyhl4 -H@R0tf4WOzDOOp76ItWbtOOvT3UwtWCwJ008W000800020000aZMtWAzd9W@D0040000mcY0 -0m8Z2jKl1RjRGyxIa6_F00u4ZDncU7LPKHC2YNYfcn0ep0GNWXaPl1NuBH4zFKa9Fzil1000 -4H000jc6rf0JLlgJXrBHu2L45G8b@d000@iK_be6oAoo@X2IUe3dVgut30I101n6oOz64H_3 -zK4o@@yCzB30008pF_XjlsOYU6_tncE@LP_V600euacnyXVySl@d00e69HBU00204_H5vMB4 -000oyVJ00t1Gk0EzSDIjjR004IH000e6400stXdYZGw9vA004HWGa100mw900000G8IhtcmT -Gsyk599PLHn@a0q408LWVUPsW228fehMYGs0000G000OZXBXcro9NR3AscXC0Ve6G322q0A8 -00f0yGK0L4fG8f2CJ_0600540W1001000W480000082100004W840Y01G00008O000M@tWK0 -I8AWAo3WX@@D04c1mrqFaA0Cv3m00004CaZ1000vm900axl1T0OGA0K45m6RpR00on0880Ou -4000gRvW00W08S2o0u6aAWDX4mGF19aip@73zVnI@lo6RhcN1G66We16hkKOoX@1@200p1LN -VFOCjl4008_p2x@V1F7020000LiC55bls3muZS20020000Bn10WYz_VOm@3My@V9@lNp@t5z -@SL@@Ms@hrz@PX@FMv@lONTu00000WSG07004Ep110WJU0p4_TN1V@@lv@tx_@xs@Vk@0304 -0u@@4000mB000e@_GENDXeybeEV30002qAG2PwVIVu6000018W0q8yF0W00G08000G00eW0W -000000u8@Dk100a8U7t00004010G048000G2uXy4000W00G008W00G0840008000010G0144 -000010G010W40200aG008011029kyM_@7320m0hcRmQz60691O_Q300H0i@l174O0800WoQJ -uxU3010G00800022Gy@IG0000W30001000G10zgVIh@90G80yft4IU8X@@D002IGOx6OJ000 -0000010m07O00G0m146y0H20400Q281G000010801W2000W00021004040W004000X00yrB9 -@@d0020YWu3108000WNue3yOnYA_@t00m00Z1iHr@aW800OYV90Y00000GeK23I@t0pD50fP -8n91Ly@@3G100Upt020W00800I_t000W02100__N508YPG00000400004vzY4000Gynl1004 -01000000Wod00IWosyzS2400WIv8Xg@LvJa40001aeV28E000000020Y000800m000020002 -0000jFbS2jElnvoL0080ej43000G0010000W0020aQuLH0W0010900480002900008200000 -W30100W80W0011004WG10W140000100WA900440sgU60100440G0420W044e4Q34W008008O -VaJ0002000Ha0GK300008W20vja002400W0GW020K0W0220G2W0W0000MJ2023gmGf@94Hz3 -m000kq_1000AZQR000041201jaO0W08WMZL1KIL0X4WeOkJG000001GA2G0G4YW000W90800 -01G20202000ee04W40000G800000aGLsC00002080misI0H01ORR3000A10KWaK0e4b80G0O -60K20000Y04nD9@@R00410W006G000e0000100000W000020028412Ce4c0G30W000G0H0W0 -G0000JI8000Y4bW1K000ggX10G004000kmu100W1W000c6p00GW20G4G001408DK000G00KW -060009ar2H04G000001100000e00G0W4G0G4410300W108G088G00000008000CeL00Y0840 -805Kp13j1OG0vU82GH0H8W0O0WGY18W0GG0G0G5u0G00028m@@g00080400000G080403_OW -0940000082800W0W2GH0000W8004000EN2248040008000000s@@I0041000008G4WNYU005 -0040H292000W40eWGI00002I004G0015180@@V2Y30000mB000W10G00008a400008WUoGC0 -8q441010800G40m1W000GY000W3OQ91n00G20y@V600C0040850010G0000040W10000f04M -43420uK2XWWzLeN94002V1H0G00A40410z08000a900m@@g00uV00000Mc6W@@D00Pk0uXVH -CpCcKLL0uFyWBy5fILB2F000AeKLY0uV0000Kz@@C0eg50FyBYPcf2pC3km@7SXl8coSH0Ms -M508800mC00WaWI000n0000000070G1qCm2m5u5WBWB0N0NW01k00M00008SS005mR0000W3 -GK4C000O20000W1W900000c00_@d10m400mFWH00Wx000W00K0k0e0_1Q1S6y3m4u600W900 -50Gm@dGQ0IZb0ebIb6W85Iu@V34GG22WW4aW4889098000G22000P80y@VE000Psyt0C3@3d -DmG9EsazF6000OYueb@@T2402mNOa00OL8z966FYa@@Tw4BI0YG0y@FUtBPGa2aGP008r86Y -KG80002RGbmRII0800gH966BpWMbIu3E3W0000H01020000K00800000gQgYpWluU8BE3UQp -WPcU0100m2S60040000WGmR60G8Ww@@40GeH00000002otO9000108G00000010400000080 -0zab101000W01000018002G000000809aGUko0000WG0KGEhp0WN500040120W040W0804Y0 -04WBxC00n084000000e2400080G0G0200000005m2lC0e000001K00000C2000W00400C503 -0Ae020000C0GmYM6acG20148020000088OE3_cB10008P1OmhU9GG108IX40408004000001 -a1000W0000G050G00028erA60002000410002W01eazC0G01008000G02000000G8y@V200G -01CK0000W0400000W1a040VOKnbV9KHd1e0G0EWo0G080r1O08W000210X@P0G402GG0G000 -000ie0000SY1300400200ArE3004a6od1W100gtw1002000K029m0002B008G28B10048020 -0G200i8r9X4Q0001WN2D08800W10WeyC00G00008m@@D0eE2m@@C0G410G00GsU60W00uK83 -68q31000TsP0200010008002Isx4W400zA9140000W80G000cPo000WW00WXu600KU730G00 -o5p0081G9Bom0Z64nc432Q00400000W080800Y0SiN8V3QmfY9G01Guqf4G0208040u@V3G4 -1002082O00000lhD7J00008080WU7Ju5o4wkpW@@V8im48WG00G000C0W0004400410GO0_A -qW@@310201000YrxCOZ230000MBP240000880SJf1WBM0_@@100X8TtPm@@X000Y0G000W08 -WyECeUD3000o000q8ijGMfq00280W8W041008W00000m104O020G0FqP0042401088241000 -WYj00uog4000002002421004200G80DeP00000830004004800iHS2XMO00G000WGe08G0C0 -408GI945G05008as5g8YJ900040H0GON43cqo0124202O08200W404104G20400wG0044400 -0y_Er930eG4G0010Wg8200004WGa9GD0008mmVO0GC00W200000AY000009G403aI82100cO -604Iet0t0900000G040W2056184082H00Oa73aWG00W0A20284004oHHD00060X00009B000 -000W20000Je7E30000H800GHo20b42000P2WK1000c0Coc1BkP0G000A00000W4000020J01 -00fKy060002700Ou8d603C0OBJC000110000080800m00W060m03000OG10000080C000W02 -0G030GW800040W2800D003000yo70000Xa0000G94200O00000a0026001W000W0300GC5C0 -10200000H0GW@@J00GW0W4004G0000WW420000I00040020G841100G00_kI2008WLYQWeO2 -b@RC0G004020090206020GH410GC250K010000WWWGm82Z004B0EG0000QA0X8WxiD0G0002 -GK0C4XW000oW010WXW1K000W20W000004G048000S0h15hO00W20000023JG12K00000001G -HIi60002K900K5WRyUg120004000002001048008H000g8000G0We0G0021H0000400W0GG0 -0080X40G000mTYmfZCG000128000104I008eW08MS91W02W@@d0040a_PD000a0044eTmCeS -d400zt0S0OtB21000WmLNnG94200IWMb0208j1W0080WG0420q7000XTlGxD0726r0000O88 -40200YlXG0WmB000eCB01KKO20G00GV0004aV1zb0208z5010m0WG00004w62100DG890600 -G00VrOGsS90S0Od921mlj9id9C@@@WL1000CG2Wag40fW40IXGmWW@10J0000V9@@R000mCc -10000Fy_@F10m3FZ0ym@@O00021X0008b0438426CXm000S100m0W000033W@@b00I0mrk6e -000Wp000P060S1o0S3S300u6000E000uD02G7W5Wc0T0@0w000i30G00000j5WjID000OCG4 -mDWP000t000W1000d100s1E0a4C7838600GC000O000Wa0000010I200PpP000O000Wt240k -0Q0O0u2m0W148030mKAF100CD0iCD0000O100000F0F330BJ30u10WeJhW500G6V6W7Um3Up -W7y00Jd10pe50pO60000Q1OrMI4aW0818522GA8222000K2xWUo@@g00WHkyDjYVcXmXVedP -3cXUZqeP0823muTmqnB9000000G0ckw614hIE3Cy@@IRNVoKoRaeC300qXJcjb65E9yNCwRk -YNbV0W00mHDF0A208Iy42CsWpkD008000a0X0SCu@@7W000bBC300100028kAA3JmcmDnFCC -z3000Hk8tWbmD0002W000010A020000004000G0W0g44200000qE65010e00041008000141 -008W000100W0050100800004nDpJ0000201HWQpPuDA327y10W0GFP9nwVRaiU201000002S -Tk16800000W0820010000WXZ@@J0280mzv9000Y0G0GW000XztOOMS3YK81000W000011080 -00G0Y00u@@FqrC9m000W0004GT20W00000022208Xz4411000000iVJ1W00K0009000100GW -W0002800040080800rTPGOs6Cvk10080pyrWg7rA5T9000m3E00e9292cKhiKh8VNF0m0cr7 -09jfKqt@UCjl1nxoGWS90Q01uE_46BqWUFVRnV34008y@v6g200E1lYusVuqOIoUebhxV002 -100e000080bQpGMw6000E9IX70808soi495S2a00W4xR1082mQdC0800u9V6kVF1GI70Nl@0 -40000080HeRmtgjqi@C010W0G400000210205085A100dAOmm@6W400000eGrb6q5T2TSR00 -0G10G00W005AgF10G00HW7Ibt6SLRB210000400C000040uRc60002H8000C00040001oP00 -000009C@@d0300W2yVej_4EzqWY@tenV3W0024jlA9_R00GW10300001Z00002004gQL3gWF -1WG4080000l@0ywF3009is0tWvzJ0003mm@a000200K00100WurLvG43Yot000080A200020 -yA230001000G0000tR00mr@CiPl1NsRGka60000C6wJsFlYg_n8yx4Mzm0mH2X01zLGh4W30 -I9eZ_4K2X00W4000WM_hkC0008K40000Q0WiuO00010001fcAt8BE6cXxdjenB6UC2@s0030 -6000@0S000y3086E3Y1tW0vI00008Q00W_@D00I20041420085qd0H00000Y0dXBHr@Ia573 -veF3000yUQtOys7K5Lh20m@00g2muU9WMQ1OlX01c50yoYJTDRG306yYcGddR0600WMpZ2W0 -1GLwB100uCUzhcksWLt@140010000mP00lL5L1nFCmj1zzdGPz9SrT2LspmAnI000WELhYEb -d1100800W00010aaQ2ryO08W0000H0zyQmu@6000200W40028WpUD00100008014G00000Q2 -m00401000000SbU9F3BwcmPv9Ssj1m001W000Kly3d_mmh@F00180200GJ_9i@J2rx91090W -JGP0022m2qCidk1WRK0_@d14800Hvz0200WKXmOHT3Mx@10G00fzRGvq980W08ky4G004W00 -1OAW4G0000G08eeE300440000uiS3cBd1000mC400EhMYW_JW000Ghm6qci1LFUoR@5Llh10 -010000uTL36bicpgd2LzV28000W000OmA1e1FIQvwXNsCRUz4wOtWCthOcWVYB9dmqV00WHx -IwgiQNQ1ldWA00820000m50oJ2Z6xDuqV3oUebj@D8THU40000002yDE3000Wh300ut9C2cB -XU4X12000b00WjGDuOrS8400040002010009g7HY20W8GHx64rf1ZDF3088aC0J04000OE1W -fisO4wP000a0G00u7oYG081W200guw4csNYbvDOU730a00yNjA0W0804000800u9YPEad180 -0GHuR000ueo@b0000vIj904428WLCo_l2000j0WW0QunWWc@10K30001Oc000nRk1H40WKXj -Xgg2mVq2100mKt10GQl6u500uu@A0G0m30000005qIvm800CWt00mpp@GH00020Hm9@600ev -kQUCkbp00H04v_r2000001m@DS@30W2A0000egk0A_t0WVF0Tkx10U000000y000MjHetuj1 -000Dl10WvMxgsSFgFd14020BYL10WqmEoyuGukkv_40U70tIopwL2bcT2bQpm6RC0000sx00 -GSHK102W0002G6B6K3h1rWR0K0008W0000G00040K@53O004MR6300v5t6xKKz6aLl100800 -H8W04020080003WbruI00000004G000e00G01801i@V2G4G000000G01Sy_70AY0W000OAT3 -0W01G000umC3gyF70010000AkHt00200W0241m00W000c0H0002002W04zrb00W00034G9gR -00K0WxIn00002J00X1yJ8rz7cqY7000W002008G2qdy37eR00W080110@jRGrx900Y010002 -002eNtDOx@4004vLvU2TwPGcq6ClFL0W20cic12004m0080100KOF3zyN1027WJl9fj0X000 -G002800Y8I_@90Wa0uel4MjrWNog0004Zd10WoVhOwQ6kFcajczuA_4E1F10G00004GG00KS -1g1l9l10WQxWyegNk7c_tW6_J0004X8008G28000a08101Spz3vpB1e91W4pxQCR30002000 -01004004H4800008Y8000b04M81CW8Y2H00u000G000crEXl_V00002s00WPj31900GEymq9 -F37mR00WW41000G4007I910YX0O4GG0004e400i6_4MeN200dqhLZHky9000Ge77R2w_10f4 -000085W2000000Y0emDf6G9000800GatU06U1OMICUlt000400020k4FXlKpf4J30W8W4@T2 -C0004014yEl141002e63000Gw700or3ZRGV8olPUIr00008G0600000eWG00400nD_90208G -0001G00005000000G120yxV500QUU@NY8uIOCL3WWH0i_uFdxP00W2WsiD00W20000010040 -W400bG000HG00000010aSvn8LQ3YsdXL3CuSS30800aWl1bvbJyf6W200000Qo_gC0W6e000 -8GA06G1000042GatO0000sr00Gt@F04a414002085WWxC0G001I840ac00JWd300GL0G4H00 -U06jc10CD04700G0Li000Onq00mX@R000CEXyh0002_ge1tuR00C600G4O0GGWGW8W4_j120 -00OC00WA010406080HaqsJesV3ASd1Wn40Lmz0W90WmnJ00C100a1O4008NWF30g20000YbM -Q0G1W8410000KYG400000K50W700WVQ08E02mIBnIpDZPP0WPc0Gs@9GBj0eH_7C1004iEO9 -@OJ_@900m3T4Ej01WG0000400090W00G080PvAHTkd0yF0OtR6c1U90100xDdGBtdqgT5pnx -Hf@@00W0SkT30C00a1W1vU@Grtd00OAyVXPo2F40200W00018W00180OnQ3W000CDl1VpdG_ -s6qdM20W0000WG040010800030080820004UXt0mhD0Brd001000G00nORGQsCKUT2DIJ202 -W4002005001Y40000Y10100G01000Ge09KG0A400X100W10GNw604000880210G1W02W01KG -0288000219012GG00W0K4W0aG400G04G00000etZ00m41005W00WC000O00W01H@v6808010 -040100mbsDe6QLG0Y00G0140021S04G0K0W0008G8000060W0000010030004400whp01000 -7BO0010000W0000011180003000Y802000I010088800000u7b000Hvs60A000G08000YWbn -JeuT3MsnWltDuaUIk5pWdzD0e0Gm@@60A0X2W032040W5qP00G002H002G1001GO0140Y000 -0mG0A00a0000W81640808qYh10qIG008m00G1000W0800G0024RgR004040200fzbGbzg000 -GS8830000W04000200000G02G4jrRGZyCSsU20G00822000G8ekT600W0znk1000Wz10G010 -C0400000a5040YG0040X10000000J0GjAUiy@62900W00GW808QpV3000W020I10WWm__900 -00yJ33020001010YG00000110W0Y0G0088Y0W8000Z0G_@6G01000XH44G0000W0G81a0000 -20140024GV@602828HzS2YF1008000030008000Cef_4Avt02YH002000G0Y8000K4G040W4 -020400G4H0002003008G20410020W000000Su00108000010WGW5zD00106C0002000N0cpJ -@680000W00X00WW5@JG0020040mK@D020080Y8204Hc2040G80001042182nF@608000GG0G -Um608001000ao00YY@J00010WW4WmDV8CSO0G400990WK00041GH041W4018G14W88H0c0WC -0G0000800tPR00W108g8GG5HY9K9GXAYY0AG81Y5O0002G01afW8002YHY20O01101200200 -02G02DeG06W2GW0CWa080m0Z060I402000000n000400XN5d100GW000004100084002O000 -200028060300e00002W400SDd160PX0200W84Y0W1WW09H044I024u8GG4HWG00GC3008002 -01G4O4W2G0000I350200008G0J80YG00P0100Wb0e2C10W0G01G404trRmQrm0G000GA100G -0J8eaP00H1088A008Ga1We4b82004ZiKW0008K2abk1GG15QpqWsFOW2A0Oy@6006187T3yF -01q_c40G00090AH9000W0avgq643dAPrO0241055G0800HG02E000K0060A28WWKsD0G0000 -0104em0Lrp0001000E008800WH0SyR2JMR000W00C40@@B110G42G01Z0d3400G100004820 -a00804Ga8080G0G1GX0GX2Q00002K030C24000210K0010HW820G0001G0051070O0dW8001 -080040X000@@R0G3108000G0824200080Wi303001W00110006n6@9CjlA000011010G5W00 -08040000004G440b0W0CWC30G00KW400089080Cmbe601e04180C0X40G240W00400400500 -8FV3000m0O02u8w44000q1W12408X000G0W0eA@PGb4W30MDHG0020100010bY000GQ7qR40 -10Yl4000600WW00W090088n4200020W60000W4G1obGPS6SRE3800000uS20Q000Ca00000G -G8000000340W10e00W00sVHetYP86kMQKqW8zC0000002F0C3F00W8gI1W1V0WbI30Bb6uMA -DMcMQiCD0OPQ0O9p0moq0WgLXPin60000goCW@@D00ZLf0OWV103@e4nTHSnl2el20Wd7Gk0 -94v@C0u000Wt000k070U3eW41Ob0084100S400WA00WVW1091CCC1o000O20002000K400e2 -G1GHWA060L000O00a2G2003G9040EyW10008TI0000C000w080m0K3W1W20005000m400Wp0 -00k07000k94100008YKwVB08W7yF0030Lzdx0500GEP1G40000000G0bh0308008E000eYWZ -2CW0KB53K00Bc60j400A3000IKO1gw1KKfHLu20000Wb0000@0z1eCb1000G1Cu700mAMP90 -8kJ0i600OW11000070006LC000005K00600WS@j9@UIIJt3mFF0ZXJLEy90200usR3YDdXwu -R1000ZD00Wmm1xfuV00eU76_LVmR0001WErD8sy7sY@X1Hz0Os3mEyHT2k12044W8000040W -Y002000O002000H004W8in23xLpmMf9008G0000a004WEdD0000Y000G00WY600004I00000 -010e0C000W00040W0000001G400040100XQ@L18400G04400000940000000e0Ohs4gbAX@@ -D00oG2080020080H02821010G82WW00002800a201800e8GyBk1008zgjs0W8Y80G4080821 -0G00302420W44028G00G8490OaG00Y0e2904YI@DerV3000GC8V51vR0am000W04c0H00001 -0m2100400W80000GW0W80YKuXAzD8TT3C0W0qQk100001K0G00000X0010000GyE0W000G12 -G01G29iF3W1W8yrW1G4000021000a070044G0afyDeLz4kPrW6kgumV3crt00008dwQGq_60 -08088y4000e230G008008W00CWH0W0005080000W040We100G00260104Y5s0K1004004800 -G820G00801C0G08808W1108100022004WE80G002G00e01G_@Nb9OC0100IYE6G00410Y000 -10nx@n0044000W040G2@@d0101W9nJ00GqRR260044000W0004mTgJ00Y000G00Y000G4H0I -wFXex3100a8082WA7I0000nr@60W809NT341004GE320m808011000KW0000040080000820 -0W0W0X21140Gw_68kO1000601400010020W1UmF10200000Y8650000410G0mZ660G00X812 -myf6ioF600WmkZEXztD0H0000C3WPzU8jZ4Y@t0G4004HG10030G8WG0G004001WY4D0WW00 -0008F3890401gxt002010W0GYaEXTvJ0149208W82001fNRGgdXW0W000K0GMI6azk43fdGq -wCW8800W00000021842mq000000020G002000W0000prNsRGVT60221G0003022W4jJ00WWO -t_j000049824W0W0100028GG000210H240H0C0080H4H4N@RG_n64BW12480e801O46AG8YG -108O02000OG01e0A1022014A00CW0K0000WT6O4380WW104Y80W8WG0e2G1082W08048600G -4080828224G4Y000G10WG480110Gpi@9y@F60O801GXI0m0040400I0000W1000000m0H82X -1000000GCYZkDW000mtv60We01GG08GWYG86422100CCoG0gWX20GWWe2CWw7D00007nGW00 -6G0W0000840WX0504041W00X8tD008M280084J404KaW00100X10100GWG10WwTDemrD8K2A -H10I014W1b00008230G1I0022905KYP00W00GXSgD8tR600G4K2d100100W00000K20000W0 -0IW2900080000I208G02004b0G9AWe8K2081G4YS4k10G9W00WG2H0K2W000CP20A18eW40W -0aI0000YOuyD000Y008m00400000G0A8141000GW00WWCG0O0C08000008dfRWY00Wq@P01A -10Y000i000@_Rm266qhk180000ks00XY20W4G0H80060010G100A02W000GO0002800Q8Y10 -W08e002G0I80409250W0400000KGc2F4W1200011G810000mW0G1rz@680W0OWM30G409EG0 -egU3808000020010214402000024aQ_t000I86W08G0G00000YNWW08000i1P8C060m00Ge1 -00222G41Z02W868G0bO0C838Y180410240280000G0eWFuD41G0000G000G0EAl1vSOGuZ9i -Tg10012UVC10I300860400Y01GO000000262210442H08400W0622802000GJ10Y40W40G0G -82400aA444X0414W0488IH0401W00001804G240WW800010G100KCQ2@@Z100WOX00KGub00 -0u0004020qS321WKDGxD010I50G00O00000002iXm_tOWIG00000200100C100000X100004 -00000H0014220292000C8e0C4080D1H0G0G200410508000a9GG2200GhW8000W21000W200 -000_ICvCCkV80W800000Qr@1000eA@3uXX_h0028000000e0300WqmV@1Wbf1Wbu70cXF0WM -FO0uV0z9yKfg5mKcB2F0000XavY0u@5nCpO2Uu1W@@3kmN4SXl802VH0yVY0uVmHKZ0uFuXO -310W700WD40s8A0OK54000me2W@@tW80001100_120y6mme3u710G7100K000WF0004_609z -G0o0a108tR30020008040K1W0K1G100e9YR0C000O0O1u2m0m5m510WB205000WC0b1G1y6W -2m5m5mPWB2N0N600k80KGH0e0k0G1_1O0C6u7f2mC30WP600mC0Y9t0000GVVkHcUC0mL18e -VF000MAD0KLLiCjOOPQ00X600a@5mHFB0GV5zuYB@JNVWNL004G0e0000UWYJ01qi0OWVm1F -YmiA001L1m@F00106WY06000080u100Wy0m3082u18W00W1C000XtpGPxE5pD60000H040q3 -@LHmQJysI00e8upWJEsVcxs4w@V30Cu0i3FO9ehobyL000W0801GywEDmi10004YlrWhmDOC -S34020yzf1BtB140GWtmJe2R30000KAl100YAA6d1000AZ@RmeK60003G1m0Gxy600010202 -m@@900W486HFEeo00002X2Y1G01WhpD0G0Gmy@6G0W808G08800LGW0ma0OG42eWG0900000 -00i6001W40W08W22W00G1080GAI1142020G40080AW0240a00W200O21000000K81000400u -TW4Iol204W0p@RW0m0002000WW0QhBXgJJeBz70020W040000W00H020H480WOW908e08080 -G06eW100000um0000GG000W8W2000e0001080W0G4000408020002C4O8G000000H2000020 -4HUrz4UpdaWdbe8U3IYt00014nhzmrx900200W0088W0Yz@D00Wl90W0000G000200100008 -02IH10000220400009000208W0W400mGO6W0008Vk4QftWiuK9@@DG40000030800KZz600Y -0yK@70n110022OqF3Qlt04001G001YmF1n40YW0140000asW1nuR0004We9@vtJC0G08SfE3 -0W011001h00000G401000001040040I0000000G0010010000101024008G000epV30054W0 -80000W0000XhBIOLpYEym04W00W040gtsWnpD0100000UfxCC0G401804200W0bkP00000C0 -1Ga880wlt0G0e00020o9p02000e104G400qKoFxYdW8000IW850041M2CXGzD8UI300000AC -0e6y4ACmW7zJ00G008e040002PxR0W0028040W080guqZ6Gd100G1H800G940000004G0Mz9 -32W00000W39I00000028H020040W00GK04G020G01820AH8W00m4I0HHWI0W12a001eC2001 -02X0G40040600GHGua2amXA00040KWGX040W8aW01GW0IG88A10G81042100201H4000qu50 -0YWm0020804G1Y408Q0008G0022200X0000eHK8G0XG00KL0W30102000YY004G4082004H0 -40050048G8gMX000I53d1000000G4A00K2WAe00W2E00W2800WG4a8G900048000WIA0ea28 -0IG00YA10812GH00b0G8002G0I900P2001bW4W48311G9002WW40be00101Y0aI000030WwH -4Q0Q3cDq000W8800WYut00800C50We000G4080000jHK080020800W8YX4o020W2XK2G1W0A -0NZ4002G00YWG0401Y8Z00Y11J00002H001000G400QG00000W42GV@M4O0000W1024WW085 -I10H010W024G0D120D0G00n004100000mY7ZGW840W0000Y40W0PG18I000030Y2a0801PR0 -08GK2G000WYG020200200000qK_6000BOe13U9k8W0G400K8G04H08100102Gw@60W40j_V3 -0EC08890080004W002W000802002400080401GZu60G00GW082080G900WpNoGNGRqo@C002 -G0000I4241W00W60002400YG00G00086cU10000N8002401U1008G0082000500W80y5K0W0 -22OJgLs0000500800uB4000000l0Gxz600A00000wYB5XMQ1000O6300080WgoF04yF0@xE@ -5Xx06000FphrD00WBDy3GLC703u73GzgO1nTGfN0c7e08290eYQ000u1a2w30p41LX70C3AW -EkDm@82000mFgmC000005O004GTHe200W1W110WA200420003HA060K0C0O8O0mGY4WX5910 -00WNQ8YK0C4f0K1G1e2WXH5WABI06Ma48C890L000C04063acC3iOuCG80001100c100K4mm -W0ucTLTBLzl10gg000Gh0Wg00cQ0eL0000040uX70m3FLgYP0uVG0m@WO6000jd2F8ggQGKL -Lm1FyOAJLnKc1XfC3ILX740_70Ym4uG1R@FZx4Hi0GEP18Y90uVW1m3FpN5qdxE00mmFW5mp -fBV3E2lYHih00WDIaQHzsMBfd@m0w9CuD3WX402LvXNqt000WGfs9yLh1pxlnmsOSSk1fah2 -000GG300prfoxb6Kys9vnFpsVCCXU200uhI@dapnJeGz4AlcXdrb0012Guw6a2N27idmdvFS -o_300100002e0008lR60Lq0aCE3pYdm60DayU2VNinN_CKcl1xrR0002WTuJOkQ3YX03W0Y2 -Bod00400G0W00808000000ONW000m103KMV20004000A01010020050G04WW040040W00001 -G04X8myRCqEj1vq@000G00W01n_p0010WIKD8IF3QelYZxDubV3EvtWwBCW01100000mY30J -up000O0080000106Gp0CWG0tqQGgzC4Dm3zrl1K00X3FauARC0040O0200200000OWhxIekT -382214Vd48W0000014ul12010gyt040q4G800EuF10G020002IvofO7FyqWfRQu@bA_FfZ@F -Av@YM_Vec@3wHzHhVuRwD000G49V2PIlNffOqxh100GcIzt000G24000gGxX2@JOLxYgNhYE -0S8l@AswrWsxyO_1vcjx10240t_R0040XTGheXkDwft3W00WG000tNdaXsPG800mTu9Ctk10 -008ASpWTzIuMS3010K0000W0005061eWdD00008WG080080Y0008G0000000182GluC41l10 -0800000ziu3000GkuJYAfD8il4G00008W00G0000K00404e040G100GKSk100CBG000WGG40 -800uFVC01005020210G1080000200XW2G020G0W0A0800000W0A00004GSDh100G0010G000 -20000OE3C4fk1HAO0004WOibONP3E8tWfxD0W00uvz60OW020W00002WDxD0iw10008ea2Ju -eT300W4W0W0004W0W9090100010IW4000H0O0K0314W000G2Y0000028mCbk1000G0080006 -0Ogy4gzFXazLvu030000080A8XF32Kt01000000erA20000W0202mNv64ul10000VMt01802 -joRW020WXvDG000mWo6G0400000qSuCS8U5XOV2110000G00G0100028W00uwV300Y001000 -0G5@@@60041iUU30080G0018bR38WP0052000010oG100G00G000001W8W80elX44C2G0010 -00100028miyJ001400Y8WWzX13000004000G20002_bFXy@CGKA3Gkz60004100Wu_x64@G2 -3YR0W040Y0008000G100000205GW0000100K08G00000W08000100mV@C4hk10004J5daNuD -05000WG4WHsD0000X000480WO080W_@t0300001008000yzE3LWd020020401fUd0G012000 -000ca8W004Te100000MGmaxF3PzR08Y0WKNc100Y1800IG010nTOG__600OhCn@70G082100 -0GK0m5y6000aO@V300O0021041GG0800000XG01W08000100eetR300O04ll4ZFe2C00X@wD -08G80081008200A008208G000W8000m1604W000010W100Csl12404000H00G800040040G0 -G0X44a000000P100D011KGW047W2O2G20GWK801e02C8W09W0C2004L20crF18W80Y8G4Jpu -40G060000G2480441090MX2G401E8fG008G808201G50800000Eq000f6R0841208220G40G -411Y40G401Y0XWO686W8041G0WCp0008001YW8GWG06O00460n0M0G0K0G4010G110n028xf -o0000000W2K0000000G1Y0O3LL0000004XY14f45WC0900HK200Ayt000V4R_dW4000A000G -0WC0W200W401C0eaG000Xa0GXrR000018214K2eG410920W0000G20008004W000We40000p -Gu@@46jnWTO3fbxA000W2020uNI30000TPl10H90E@t0000Xv@R0002800020G040010G100 -004em_D6000C0GYG0200K001X80000GG0082000Qe0W8WWxOP0020Go69KSl1D54IKz600G0 -20040OW004W0G01000400G000W0K11000Qe000V_d00GG02080u000Y@t0000O0G00GW0W0H -4C010000006010000800004iQB3ZEL10G00000AjyAH1nR00H0G0250W00oxzD028W80H004 -09000mkR@t00W84l_dmYE6W00H001O20280020G008100Y00GW0wzU30G0001G00I00W400m -5xVuZ130G30Ktj10W01IvFaRqJ000GW000WCjD000000e10000W80000Jn0iwF30W0IG800e -1A008GGQt@906000002080040GwADARmwu60GHe000800D1Wizv10G010000Ye2000000m10 -0Sm3000OEK@70q30co9000000000W3F00md@90Ww1000G100uV0000000c000g20mC3pAu10 -yrap@D00@pE0000_foxyxj0OcO0u7L0mZ@3W@LtF00WxS000_g9122004140010100G6OFAI -0040UEj12100e800G501040L600Li3@D000F@WF9GG00WEW8200T400GQ000d10080G0m0W0 -W1WA200600089000N000p040O0eme28510W22004600mO000L02000Ce@@D000070KJD0ul@ -m300GHr0qm@9g2000WxdBWeE308pi04O0_NG1e@V00gN1iul1W5900W2000000W8sD00004N -S000000W90uVG1000uhwX700KFG2F00GL0tn100m40yFyT@R0uL6W0G00WxE00OK10@ZF00W -P_100RHZnFJgCsF6000mT400SbFI1tdGkI9SkJ2bThIyp9akT200SywK1Z8tyuIxJ_5VZRNE -100000M5WMoFfh_76GU30800rZRGa2RKvj70200odF1000qe700wB6fIqbOcFRgnt000m09_ -R000OvD@P002GmBEC8000010080H0WcoDOeC3QJF110065cR0020801G0020GwCFX2tV0080 -Ggx6CKV5Tlp000080002fVRmFw90kY1y4_700800G8GW0WWGq@6G04W00000004G2G080000 -GY0020014W080040002100H000m002G00ewz7QZ@14000HrRmNyLKOF300200004y7l16000 -0800000W5F01mA_9CSj1W00W00100200ubV301C800W00G0104000000Y2W4000801W00G00 -0WG00G10004080sA7Z56j1500my@C00ebx@V9000q0W00e8V38G044jV204G4guF14000204 -0100000WG00X0Gs3F4qjJWW00g@73G001020200100002eaU3000000GG40X000W0W306ua@ -AMEAa@@F10H0G1@6000Yc@00m8eIyKL2G4G00W0Y02040100W0800004020H00201Wf80uHW -4Qwaavpd100Wu@@6008fUXo7kzd1m000pzRGu@6080GG0001041W7NQ9cTX0dL0yFF6TxmGN -Z9inl1W0000G21rwV2Z@R0A00Wh7MgH1340000000nm00migL0008004G2Y890W000048G00 -H0000002a208020400482H00421Sa13000CIg0Zi7u180000W7d5GP00001G000000W00500 -0800600000G0H410280204W0W500000AXY82A0G0H00W204WAwGH20X00hx75WL5W24V00G0 -050000410KG9000210Hb0000ammk9qB2Lbqw1000Ci000nvo050000200K0WG0A00W00IW08 -O020000008m0000O800208O6I3_@ti5OD00mim@@6000031000010GW0000GGW00O1002WGH -0000QY0G000Fqc00G0006000000OWG0KuvCr_Q3uZ7W_Va0090800W0G040400040020HW0G -000uEY6iEc10080YPbXjbDeFH32iQZ@@j1000a400W@@P00X0W8081K6W020m60000800Y00 -0G900000I04L1Ln3TOy@@I00C8_@N200W30un@1000mz7@700K1@K10_Q20_HH0y@V0000K4 -400000mF@700@@trDq6iXj1WzJ0_@F100WC00000o8HIWnYa0M791a1K2OD861105600kch1 -e20000W003000406mcsCCA03@@Z4060000W100W1000GuT00u@@400p0000eIL1mC@32Um74 -pO60cnC0_7ci1000m5myHrgg100WAu@_1mNJ0mF00mQr90000KW10m@@Id3t3zWRGOSC010G -00000eE2WvfhudQCgwKb@@Dkjc7AQEX85U000TSa2jSVYJ3mpm0eR0yi0e1nD0800G00A000 -0W04010008W01G00800a000040Grt6004200000006W7qV08W00000aKqJe303A1WXY0I02W -00001WllC8UP6G0G0MOl10000HA0WqEU22040_@t0XG0000400202W000000K0K04040W000 -010u80G82600100002G08W08KG04880W00000G8000802000W0G0Vnt002001Ydm3_6K2030 -0000840000W00080800GG0020030wotWpyD000Wmco900GS@zU6Ert000W0O000080G0W8G0 -040068000101bxR010860G0240000G008000200C2C000000C02100W00yYaJnqR0G0000DD -0z5OGDwCCNN2@@RW08401W02G00WW0IX20020A000040G014020XGUvdXg@C8_3Uskt000G0 -Nfn00002j200tJlnlP9000Cu@V3G0W02G040300marmyqF980000X00W800000Gqj@90WWB_ -u@A00XY1W8WSaV32NF1I000000YU4sW@@D0041800GagnD89qY0202a_f4fzBHd@60002254 -0300O0m0W0Fqd000G40010001006a0200100n0W00WW@xDOpT3_CxdIuD85z4Uwt0000KvtQ -GDSOiLk1W082YMq00WO20G00XO0000K000YW8041aYHJuzP3QRz7G400G000We00Cad1XpRm -Pv9Ks@30081G28G9G000pG0W03008W00GG04e200eA64W00D200G2p0WCeI41G4faW004114 -1mx_60100eJHU00080G0049000W00WoiO0SM2GV@I00X10YW20D4W4021041G000W02000i9 -C300g82H8GGKe0000010030G00WW10010000HW0GNj51181WIA1000800g00Vud0Z00Wp_b0 -04KIAt60X40W2Y0mpQ600e000A14Y001YGW200010W04000121022bK200620WK10I_sWkcv -1404000W04820X9o0008wi_h0802W08W000G0BYR0000m_lD01400040002004100WW00020 -0Y60G0200YjuC0009IuZySwl1094a1000e000uvJ308000sR1eAOI0000O14W00000W1G030 -010G080W10240HW0OH1e0ImzoD8Eq7YGo6G0G9NrRm8w9000WDJnA4000I50000010W00021 -0880000840Kyl100WG00G4Xa8021n804HW4G0400W0Hoh39K81m00vN80003000100G09000 -0G0000W6pJ630A20Dhd0400040100010j200qoU20002GvD0320V100080840000n400G0a9 -0y7UE00WW40eWkXe4WFO0UwN20e20_@F0y@Zg0000eiy44U0o3_0000ehCbnFVwgeg00p@50 -y0A00X30L00W100gA00bMB40E200OD00mDm0WaWp4009RS3000WxL008gV9IWp0K18044mW0 -10X0002000K000WA000602051YGO0mG00W1000E100OD00mEm004WQC40n800CY00Wzj@101 -0AM10000KMv@d000ygQFhG000202G02_@V000zPaH000C3W_X6Ww96000WkU@V080100y0Kf -doq06q044PBjtwH_sR44U2bvkHOF9a6k1W0200800000WI7000020YSIC8UNIU3dXBArwjS6 -00y9cOk4v0lnsxX4jE6rbcGzmRqRQ20480oApWQzD0m41m3r60W00e2TFcNdXvsDedUOwl_1 -20W0JRI20006H300npRGOy6040040008W08WayD8uu4W020Ccl1G000100G16008_V30W080 -1000800YG0GaVvh00W0Gr_6C1G25qp0G00WsvJ00W00GW0020080082AgF1002000mbgnF10 -100nsR0000XYxDW8000W0000H014W800014G2G9a0a00O40G44W26W01C20YI0040W0W8W00 -02600400101W22011OS@70800Kjl10400Ahc1080WbVRGoz90000480400W0WGjDOk@404G0 -5fG20000108002000440W000WTsD0W0080G0184G0000W442000006WWW0O240100400O000 -0W0W20400400G004408@QQmadsSaj45sR0000SQ200NfB1G00Y9vD00G400080000W00W04G -0G084G420W000W0am00GW104020801G08000W0X8WW08000GW200igVB000BkxVZf_D00mQ0 -0W001080n_R0082Ww_V000W10W00W0104300Mzd1G002dup040100801G0G0gnLb7uDu1T92 -aFXjzJGyIAGSv6y@@32010800080G0000W90000G090000C590O2G020Y86X00G0000004W8 -00Y0004J002820GGYSzD8rSL0W004xj1DuXnd@60000Yj00000000408000K00000W002000 -0A0X40W002m6000cGyd@300200040220W00G00G080W4P8v@R0S42601G4W80IAh7cBzCO__ -Acxt000DG0008AtpWD@DOT9300WHG02W000401C2YBJC0200Gt@60ZW020W4000GWNzD010G -0800YX@D0000q5tgSul1084000p0ipV5000Xo@t0Ww310101410001108553800000800C2m -0HK8284O4008001012AW7G4082G002001I0a84X22C0e0e0G041W4HK40O0eI4IWG04209G0 -5020a00WKFL10H0m6P6C2E3Jgd00m0Wa2CGN0G04100H8040008G00Y00401000aIWW1820Y -0a42129r0nP0204002W14008Z8W8Y82XA12GW08W00209012a00C08mam00G414DXW3G004Y -8i4jZg244100e40@@BH9S643d10G1000qp104WK800KM09afk180240WIA104GW00f402X80 -W0000W300X0I1W000G0000W00800WY250G40ytj10400e40WW04GOTWA_@lYfBC010000KGX -X2Ue4H3s@F1GDf200000014qNF30G400e0mY020W01W088G4008040000m4YqJN20GC02Et0 -J000G0000W6Q0008W820aYAAWi2F91E3MAtWj_V0G10Gy@6000Y0W8080008V04W0304UztW -KEC0002010018G080H0440WKW004122G0000002I0z@R008A080000Z800000W012uLU3080 -W8000e6UL00G002000W00msuO0800uQ4300G8qKX10G400200MPV20YI0G8W00005Y00844W -480261049008000G2G4090C020G00Y02006000G8510G10J1006108G00100G050y@@9e000 -00W4Kxa40W00ohr00GWD8400q0000yOf00W00ID1eJ@Pe8S3y200yLl1e10400W40c00000c -Gz@C0W40e103UjtWs1CmB00G2SyKql4000uT600yck1ytVE960AKfV2200czF03O0AgcWKLD -000004qg0WOs0020We@VWl__F0eA0GyV0mt000C010m@100020WGGabkUu@VF0WP000Z1000 -0s@@CG400040000010I200a1a1kXp000UEA100uC0001W1020Hqiw60004100G500G4W2WY0 -L8C0cP00m00005100m000W1G003G9YA0A000b800W0000O000G1G0OCW1mC033006s@@g0x0 -01x00mLxC000ukAT36Nz1GQJH0GwMUT@10GC0W7l08FyC30GmqcG04000815000002000CpC -0c10OsCe00800Sm20041mNJ0Wwh3Y0080_7G6O00DYmG9tvyr@3000eu1004JDC1xp0000C0 -0G0G000sjF10080NPbmvz9SuT50008gZkYa_J8lV300mqasl15lHLVyCyOT5LtMnJ@I0_Z1u -3uYwJdXFrP8PxDQ37Z8nP01000000So020Lv94100W1y2P4y7c_t000820410N9c10202vkP -G_@900uVPqV3M5dXX@J0880mEXIGL40u2S30880yVj1tRR00010e008Zg@GOu94Bl1W00G00 -8W0000TN0308804NV2xvP0800Yr_Jut_40vy0KIl10520sfd10e04W1000W0WG0G0W000000 -1WHyC00C0GYz60028000W004G040004W20m01800G00020OW_O008083U6000GGG00000014 -0C00680VXc0002080G0PfRG5@9G70042000000eyqJuNV3C000Uq@31PRW108Wm_Du4e4000 -00O0102000020WQ_DOu@4gztWvtJ0W000800G0200@yPmiO94tl10008UfpWG@V00mPUCzCi -JF301400I08034WO4y4k1t0w000000G000111G0W00W04G000W020O00G0802400QrS90006 -00001001uzz6qIU2vORmtu900018K13gst08Y80@@d0Gz6WM7F9AU300000025OWV3IitWrz -V0400Gl@64MW18000I2o0010G0W20MWYX@@D004a00081000W000GIek200GqO5000020004 -1eyR6Ukn08200Zhz002000aGYnwOW88402400XnWHi@FSv360001004000W400000810W05C -OVy700GA5@s3082000H0q3l1@Yp002004800001A0008jcV2PfRGZy6G08G080WG8tCi_h10 -0000030004001G0mHdIKUl1000CQ3DX1pb0CsYOVw6qQl1@fRGf_6G40G8WX780020W8W0G0 -008o8u3yD00004W00200050028_lX10004h@R043100000K4208848e4008tI3_Kb11AWG00 -00004W8G8053000Cm08100YLzp0000SJJ420G4G00H0G4000008200W800W8lsQW040W@xP0 -11C0084108WCA01HG0A0GBW1W000000Gv6kC00GGW800018aGrQpW00000002O00G0028100 -14600mVL6y@l1Y00200000H4000350Y000a00Wjhd00Y8Yo@J000P0410WrzD8qk4000101W -G00492G0002500Zxd0200010GDG41O00Ye2201020W8410002000400400G01G0eWb4_U@10 -00800I0fa24jHx32800e0008100WI00W0G010e0000G81WIA0G0004H2m_@90GD1W10G00K2 -0W000jfp04920Af481u@000G90HGW0KA0Utt00e40084001G01081Gi00Gz46Cl_30010008 -00008a8WG000000G8c@@dGwD600H8400G05K0G2O400000GW80X00W0000OIw90000dp0002 -1C040G04100G410aQI20002GG004Tu30a02043G04e8W200G7P6WG008LE3000Aqw23@@d00 -21W9yDG00100000G040Lh@W2m000W18A821GK0WG00020W00440WuTP00WvB001400000608 -0000sLe1044100C0G100I100GpsC08A00G000K0Y0002028418000W0WWTkV30200yil1fBQ -mbxLW24G000GGRtFG00W4G000W44840G084G00008aGY1xUp0m_4WcpD00G0Gxt6ioU20084 -AF_1W000G0000218200Ia800000C8W08A000004aW000002H984I0aSWh0100000ub@vVeNo -46Mt0800WQW000000rsc1Xud0000AU2000020Y0FXvJC0520OnRFKQk10S70Y_t000L04000 -j2C0040OdC2100000W800000000IYy@F6208r1000WoA1u@V90GL00p0eIA0SVK@L00eF500 -00020Gm208m58W10T@B0000004W0G0P00Cm0000W160Cyl10GK005K10000W_whhTN0080W2 -K00up@t00003O0000WV_bsk13jdGLx60W0000Y0004400O68000WK600y@l1a100IWp0A200 -K1Cp00eY45W1000L02000Sp0O@@40ZI0K9d1000Y0cP008Y00000006200G500WAG100Wb5G -22000G500030104WP0g0C800gO000Y000C100u2m0W0WBYB02000C9000000qw1u@V300v00 -0000O0GEw20O070ya7C00008@@l1000F000m300000YuE00O60bS0ywh00Gb10Ob300g2800 -00K100z@R0000kSuDW8Y0m205qgz3dDnWn00184000GTy00cRI6W000KLZ0c100000200800 -000m0ll_U3K50046UKc000AWUco@@9i@4Y7FX7qOeZy700um60_63bRmo@9C1X1LctIpU51a -_0Ok@J000078u3b@ppr@64uE3bYZHoLF4f_33bRGlwa4ze4zcp0400WDlD0W50Gyv900G100 -20000015000Pkdm_e646W1v@d000tx@vVuFf42hdX6uVO5_70005g400iEU3000100G1y@@4 -0W0GG2G005I004Z0020000012gWn00004400W10G001G0004800H0W1Dt08z0GAz6qwk1JwR -max6aLl100K000W0aaU2NxR0034XRxC010004400810080000e00010GW0000W12WcuJ000W -2800401WG61W0004CWW008GU30000sCg1mW10YwlYj_J0000ZF10WL0IO0D6YddXVxPOPV3I -as0200200010002OY10uvV3G000imF33OR0428WG_P0W0001000W4200W00@Um03021NrRmq -iC4zV200UUJo@XY9D8Ff4UktWRKCu@@40083rkF30020042000WG00400220804G0C004040 -0irl18W0400G0SKl4000HYu_XS@n0ul0ma@F0008eUQ6IurZ@pJO9@401200480080W00200 -2020zwQGF9Oqk@3nXK1008aSQIOJ4X00H8_@V2020A01200W00O7G30001yNk11mp00088Y0 -00440H040M0008Pd@7QyF100e4hUo3408000010828IeFX3@DuVP3W0800210eR@4ghi28G4 -8v4Wn7@90UF0O5@A0W80U_Q298OmD_Oa@a1GW00sxt00G208X06020aG8W200WG21080W000 -8100G0G0qyj11rp0G0008220G4808000ylu3HkoWc00WRlUuaT3sGdXbzDOuV90GW0W0000G -1G0000480W000H00WG2Cwl1G2G0m0141G01004HW10000W00400W2hd10020G401W8H00H00 -W00WA00W8000nnwK100DlYxbuLU3040ayYW1Hdw180W002Y80W08008000Y000W0000800eW -200W400YAyJl1K0000W8141k11xnGn_I00G00400aG00Wh_P0OK0mE@IG100Ou_40W20anw6 -00W4000A0020108eWG84009I0020000c0000000420300004000500ILi20090rTdGe_9a3i -1@Sp0000Gj300TKwHi@X000K0G20W000W2AD000G4G00C480O014AWW40040i04X00001000 -20A000c3Y1800G001000040G408zJ3U6k200Pb9@@mtX9SIi1G000c0oW2Wh00G100020080 -0CW18wrsWqhCugy48G0008004W00usEF000004WY0002010000WH8400G002W0G100G40WBa -b0at1GVkO4zl1luPGl@R0K800W0221H0005000A000010GW0OG30001200H0008000413DW0 -04G08Gmt@IK0F3XnR0G0WqCkbGu00GT@C00I8C2S32QrWDxDW400GuCL00250W00G2v60010 -01I000Go4K00mU60OmF0mJL08Iz0G100WeIAC00mm@Af6C@F30NU000q_x30ioL10mE@O00e -b9kVC0mFL0000Wlc0mi@R02001800000u1V000000@40000006Ha2ef85G7WAWc0T4T0w8H2 -qHYa0Z091a400W08r0@080004100m0GW00W1KVd1000csSM20T00pzd000G60000HYRGiy60 -091S406E_@100m8000C000G0K0q401m4G7100Y400egW0Fy1HLLf2ym3kmXdAL54LgA8uvVG -gIL00eVV6000Q802G4000010eQ000000y0ov73000aXuQGpry0Uy10000ufPLCnUB@yZ10Wc -j5kfAhSC0000c4k1HcpGgvX0sh08S89gGtW4nDezR620FX8uo10G00WW0WRz99zV6YFYdRf2 -9A0Kkvt3WMC0DoBn_x6ank1bbpGUv94_@6ngP000W0O100Xrc00G00G0000010siEXkvJ00G -XmWz9C2t3XzdWj00Wy_JuyQ3YYdXquJOFU9ExtWprDuEQ608GYaSl10G0000C00410000A8G -600004101000Y000G420000104000W00hHXHy_C00WmzITC6VdXccDW00G00000G84YttR08 -0G020W0XrP000KaboIG0K0000004YC00000042KCnf1O0G00008_8l10W0WoPE1010mvURmY -_9aUe4Wp10Is2Zmyb0080000040A00BNdmTz600X0QtV62xt00002twR00004e080@aO00W0 -XneD0804Gc5d0000HR00ml0F4583nsRGlz6ywl100W0gOtWjbDegC3000W4Lg100W0J@FXJy -J0100GZr6ykl7LOZ100bW@@jvXoA41008000OGF34120CDR20048_5sWOzDGA04mr_FqFd7Y -1L0_@V314000W00000H8048AHxAUki2200001002ttWWfDOrV3a40W000WG480GQwL01028W -KC4500qQgD00022QN20010pNaGol6Sul1HPa00GW8G0000008ZiE104005BR0W08e4uh000Z -SygE100400m000K40O802G00G0W0X0084001141m1GWm000000800O0402G4G4nf@C008000 -1WutWO0E_1O7sDW020004000XW8480najb0G00meyC0008wiT3slt000800200W800110082 -C30C0G0H00nC0COfsC00010004nql644S2@9@GEm9Cz0CdqZ10081000G0Y080WK008G0058 -000020KW80008W0048Ewc1WG82o2s000000eK0AZp002W2X@N10W0uHr29Bk4Ay@10W0G00G -0a000G10WuNV3004020b08eR30KO00408G00WWY0004000C002G010083C50000H008O001f -Xz010eXYin0ut2mPYaqq63NjA1002ezyC0G4002681G1W0ZWQ0001030O800GG00WW0028fG -V3G0000W38OYy40010i1f10W8GUIj2000Kg100g4UZ6WC0008mpgI000OukV300100800004 -000100000GJpO0200000K2000000G9Uxl140G40050G0a0OuG3YccXW@DujNC00mF4gy600G -W27k20050BVRmKU90100ua03000G02200WYW80800900Y003G4000I48011008H04WEuDeFy -A00G0qz06WID0MVE4400W12yG1c609H8W403G7y60004000G22119havY0u@51m@OILLnKcm -30@N4JPk8yyFHcnS05WP0000UuFW10000OW20O0YXM5T100rKxaW0e0O0G1G0m2W0W013012 -00640A080K02000g08022mWC38Y0Gnt90000002200414Y008TeZ100Rkyit0008800G6W80 -0hgRGn@900c00000CY000X000Nod0@00000_P00WV50pmdzl100WkBuqWOdD0sO30Ou780fA -SYB00HT00tYV2K7000FMP905KlYQC2U5Z@@D0Y8200002L500rbKHNRQ5Ez3t5QGERXK8DCr -35o9Qd0001000JSH2xDf_90L00A6ehVs52000j910WevK9dUI0288Szl101G0100G180G120 -000G1a7mJ0001G27C00K0ubSF00C8aY@9WW008W00iOV2@IQ0G00840000440c@W1W02e00G -1cRs02200G60G0A0220K000020004eysPe4@J0XE0SaE35sRmd_R00010W00mEt6048G2200 -004010e001nQWG000A00m7oR00120G0G0008X4GWG0002050W0000005W0000W2Cd100GGZI -62Z00WRzPu3U3cSMYTMJ0400K0z900W10G400040aDwI000C0W000W208200000C000GW10G -040010114GlZRGlE6i9l1fiMnZ@I00WfPCgM2EtWRyD04G02280000G0W0W00030C7k10000 -cOs0000040G00G00cYl1G010Aq87W7E0x_Voct60H0000H0m@@68880e4k4_@d180020H000 -GA0000We393Uut008G0rzR0KW0W2mL1004MuZ9izV85qcmVyC4gk4G80802240aC4100X10a -000004G080n0G0G0R4100000P0W_wDecKL00WJK1NBveRGGw6qF_3Y00YQxt000W808000W0 -0011000W0010008800m00010000204yGzP02b0ClkA8242g0_120002100Y2c10Wg04eW0G2 -53W2HGW0e4810G00W0G4K018G85AG1000G4n4k6qVf1flVYO10WUuFX2YW8GW00Y04048200 -0000004600G000OWLuIu@V380G01K2GG0W40481L402AW05G003101Kn00W8W03404900Fmd -GBzdKjL2Ds720Y00H0W020H4Bct0000G000G00G000O0e0T3000YKXk18004000A5rV20000 -f4020I08aI01ueT60004110eGS_g02B0OP@J00O0SF03nwR08W001e4G0X00IdC101082H0G -00100081000e000W80W610WWGG804W08I0ab00200W8VQ1000iY00W@@F1m0G809000G80n@ -d02000XH808WW0wjt0A000000202q000u0uX7300G0G4G0108S0042SG0Hm0H000210046Ge -XIFQ@@100xb@@h242WH8H0000W1svm00m004G0W0040HG10000Hmhy6G0W001G000G41000K -00He8920X00810WI20000I4010006480408G08FzM0gq0Cj_9W2804088000000WW0e00A00 -000W240Y04e01G00000H22XXCD00K00000mqtJ0G00000O5G1040YmL6Y81aG800D10YKGbY -dQ1Ih8GVd643d1412I020iR7X0000GuTo60004101O9U2uVk3nV_3pz100820_xN0Ze10GSN -0000y000uF00iFFC00If3hN5w@F00005c8zXN@J0v0000G@V10aO000g000O0K0m0W1G5030 -0WA60088000N000L040O0aoW0G1100hgmmO_X0ga0OkLLW100mD0102WR2c04000CH000Y00 -0C100u2m000WBZ800000Y80oHq0GBF100U_LF0gw@00Mm100000Uu30p86CL100010@08TDO -KA00K@@90W@@508uo0000T@3mJSi10uoF_RRgT5Z9uu1W00OiSI08000u50GRvyq9U2RYqo5 -TVMsl7Lj@GDP6000fCdSU0200q9U2DjRG9yIy_c1G080coF7Gr60tqR3000G00840W0WEEBX -f@J08W000000W080BRRGrs6W0000W00Gmq9C9T5xIN10004L300hBZnoyC00600020moz6ix -l100G0e00WyTj14000e000G002W01Go0t6qTT2@tRGa2FakQ5R@Z100law_tOoV6W0008000 -O8i7080408000W04q6@60010W082OJu94tl15oQ00G000040vwxnuzO0oE1OUIOYjt0000C0 -O20cqs020000W10EltWnOb8YG3kyF7000Ko600_@lb4yJev@D0800qkU20G408020aQE33b3 -30YZtF9X9gV30001K8j1Fv@0A00500W0000H02GY050001Y0mFM60010e@V3O300qeEC0V90 -2nq9000GW0003ZtWlyDeFpV0000pJ00uRpP400000048huAW34104I20212241C28AG40WW0 -H010000GvgT3YZrZm@h00GlURhpaGk1RvZ10041008W0G00W4G004000G000Wm1WPzI85kM_ -@tWasJeNcJUCt00W200WO0G0H0CP@300W8000W800A0001miX60G00000e00500G0W2PFaZO -00WCRL10A00028100eITxBnw_90020fSR3G800000WG0000I0GZQSv10W1_X_RS@@3NXR040 -00GG00hzRGWv6aLe1zpdmps6i@l100G0G400I0cGW1A00W80WG@j1eL2m@@LioY1ToN12Y00 -0WW0Ltc0002ug@D0220m@@602004Y8W801008200GO01408800GWiOuS000WgC00u@@MQbq0 -00081xd00G0WbHD000014100m001Nz_00I0000W0nR_mR_g00O9EPVC0a80ivB3LmRW400WJ -uD0508HHT600W4vc73040G00000fG000LLL041Y00Qv1000G842uI_7eA00qwFCWKM0_@l50 -00sm600004xEdD60880G0N000C04080e000G0000pQc0L00000g8HNF3b10WRzt000JmOoF0 -00448Z00023W3_P00C1CY00utyD04000r100C0200G02eA00000010e1000001Ocg00008JL -0ieEC00sn3oUcR7DezROwgUc3gD0GH2mEy5r6_6xp@3000CP000POx1010Wnpn8jS3gBNeIv -wzjT30zG0apk70020AUVZqoDOMU9_o7Zuohu0W1sfV3000i4500QI@ak@U02080000001200 -001008YKXS5tsR04W0WZ_v10mDOB_U00GGeBT3UHF180800G00000G02100W0Wmow6qUQ2vZ -RmMNC0004OrzV0tm0qct35oBHr@F0e00G00000024100G0G812@F12000ZrFp7x6KT@6000O -ZV9aLsD0008mBz6C4N2PFOGCk60088fYYPwz@400EhNmTo3@F00A800G010008YH00W800Eu -Lb@@z008W00H4Xm@VGeI2mywg00W0OP@4_ZF10WW0V4cGM18Tc@3s400orhbH_DG40W00000 -04H0lcdGUr6SzmF5@RGCRF00WT9bLUG100ahP8flA4uT5WvxL1003Gd@600200840000H010 -2GFBoGM1@0020W0282H4Wea2U0Q00mVYd0300Oew4020C040021XA00GK040Y8000Xe10000 -000050GMk@0W00wmy700qUzjU8R@dW0I010f4008W469t0c1aI0008000AH90WAfj4oGbd@@ -D004Gmx@F0kA1Oa@J000O68W1m405EtF1846Kf2aGXx6iG2F0u00Q3qWe2V0_00GNcd01044 -00000W3000020W800W00004q08040W02O00G41@R00a0WOav1G00OLhI00ep8VhJ008WG000 -00G1mXO6atf1Y0G105100910000Z8000000K2NNjnXoXqii10K41U@@1WD60@O6224000001 -eG0G10xcG800iYP3O2XW0eNeW00200V1W5LJ00WW4P00WYfp10P60W160000WfwQG@@9aA43 -nJfIew60040W2w70n571000uo400wlM840008200m4G0iQ830085FkE4WC0000000mP000k0 -00C1E0m0G8f3e610mT200O800040000050000OO200kXpWfkZwCHCQwN51mig00000nT0y6v -9Dn1300GSj200vt6oM3jqQv3bVaGEUgSg@300ENJB@aFmDumv7wEdXwpnWG00mKipK@F3WBB -0oIVZt_au6T6M5DamhifVz7000mbi@9Ll5IhuF4orI008x@bGb3hivFCX02E0S7z90001000 -G04000808004G1080000G0W008044G0220INq6afuLe7006uca4uDe2_4grt000W00000901 -08080O_l7Q0aXip_100eVQ3j048000G0040005002brbm4x644o9tGoVu5yqkl15RoGA0Fay -V800O57Qu@gIz8MwJ000muH00euKjAttWuzV850AohpZ9t4QFtGcC@XNpDOtSL008K5qVB84 -006@hb5vD0011m@@Cq1W1f0mGy_U06w0Ot6vYKv@vC_f9EOs@F10s60HRbJHTR4wQBJyMHCw -90000QjlJ000d34003800010b5Y726400Gevob04y01O682Y4IF0IXM45519G92wmJ0OivSM -zl2010000ACJuM500080W810_V2000400W8m80600G0002ag7400@90G0L000w1000000X81 -00000G410x@h20W8Ys0C85090A@0qp@90WiOE_aX84Ev2f@3jr910O00000xsFXE0C8506Yt -t300F0Zat500G440IW2a0GG48120001HYG0008G4821f0a00000YG00ljl10004V100hkxaS -800G02d0X00gnE1Y0008200cWEXE0C85W4QTt0vO0240CEb31000Gu4O6008000WGGE80100 -2d000GJQuh@3Ex@M@X440200W1Wrvi400y9Y9000002_70u5WJ0KZ7d0GWpJAmfTubeaT6Yx -bXq0L1Cl1GKzC00848jVC0WGWSNV21vAnr@v0800u8KF000m1T008Tq7W00GCXS83lknYiRi -saD00CD6Teepzo10G0GTpX0yp1eQw74020Czk700G1M@VcTfV00010240Wg_D8M_DG000000 -Wdp00mjiI0G000W000002eVwV0010W01000400tedGTz90400eSQ3Y3t0000A0100001080G -08VV32fs002080000W0G800000810OB@600G0000YG6_Iy3W1004I04000004082WGir60GW -002000GW0WL@D8a030018KXR20G040010000H0W48nT@6iA030500_@d18001@@R020WepVV -00408100WivD0400GOxL0010W0000uf1WDlDuvO60040000100002W00WHkDuJP3000800C0 -G02W040100800G840E68XOmb0502mJuL0G000020810200800010GYvFXIuJu5u4gSt0000C -y420A0s02000804000GG00100002It@6Sgl151RGeaFa1l1r@d0W000G008fri1016n8oP81 -M3_ZZ400kSV7NH_@6S8j101000220yRk1v7dGin6W80W00000C00W0g3160200000I004o00 -0ofE10080G000cbFXpsnGSc00000WUMCuzT3sjs0200A4G40kNF1004021W04000Xe008Q03 -Ext000Gon@@000We9kb00W0000m04G0G4018W048Kol1011200HYm800uFIF0000HR20600W -000040G0WW000820003000W40100080GX04H0000282G404004W000820G0ZIZnRtR001o01 -000100GH000010081000a0000W80P04WX@910WV8GGG222G0G00002000G0G9ZU30G00002W -00G0G0E900W004I0G0XL02G0000AnUyFijV28000GW00I4I000WG01G8AHW01200W10000eY -O280G4W20WJnD85xD0d40GO292I5048004H00e0OA40G000W29W200aYOA00000q8W841W10 -380We4002002J110Ngd00002000An@R0G20W1lbOTz4WQ082027cYe2C00W400A00W0402GH -020d0e0E1g000G0205jl1000O9J20000808100020OD83G200000022400099GHK4G040000 -400043008Yg8000100004H0EVt00C200X2000g0LJQ2XeQ080G100G8XyR00I00000W21HG0 -0GA1W8W00Y0420400G42K20B00H2G10000W000K0Wg@t000wF2001G2Y20I400904G100000 -G40K20200080800008280K2W0000210A000a20G04G45_V20005000GH000Y241GWv90002A -_y4ohF100HGCG00G0100K0Xyz2300040010008000K00200HFqx1Op680400G402ILF10001 -8G10G80YW000W8601080200000WC0000W0008eC@7000Wiel1NtdGtw6WG0108002010Wx@C -0G8000808380C0W0000051W8821040010W25I000WGN_O0000HY14Gc_604001e024020G06 -W00a900C300002000GW04G1O00W0080mC8W0020010001W000W02bGO000008020V@pGzC90 -01G8vU3000f00A00100200004W800800000aG081H4Y0001YK0009W4002kqWm@h00WaNU@6 -00010020110804A028108cUF100804100Qvn00200NzOmA@9060eujV3Yjd1b0000810spr0 -0030400011z00810a900m@@60k00080l2021081G2000010080008a000GhxO0W5X09000G3 -000080G40000320000mB01mZw6040400000A0000GQ3m01000WY4oV28W000G24G00100s0m -AwReV0081A3mE0cgIC05xW010ylU100000030m@y30f510000Ae0W0fyW50000000e2WP000 -ZO40000000W0uCZ0eRL30y_N00QZy10028Vw@0e080YBE00008S700q_F3a000W08100W88m -T3000I200e2e0G1030306000L000G0000C000w080W0G10087_l100A7D100m000e3G0020Z -XPWC000Ca01C000W101WB0La80LD00Y800WY000O000e2O000GA220000WO000m8000C000G -0A0N6x10k40_@F0c@Vq0Fe_xF000008bg100U000280@z700qBc700000BVTB00U20000k10 -0000@0000u@P0W@C20000WPctV@lFWIS180P0e300G0u10W300H80001j_GAy64YiM000WK1 -08ytFCxyun4lFqtRBzAz0WW00000000EAY8tZ3ug8HQm_w@1WYC0Vctr4pjiV_3002W00000 -00WKo08mRoUSfd4Tp8nSqUCjl1fDBnUT900000040H_p6K0D3ljR0000M200040000800800 -0W0W2GJq6q5j1000GG0000204vRQ30040i@d49ncGh@FKYK25d@000108100L7c004000008 -0104_@t002080100000GSJd1@@R0OT300W09000000W80X00ulB3W000A42100G821000WWW -2G0404040GW80uIz40We08W00uEV30008iie1ds_Grr9KhV2W001YtN20080000010040G20 -eIR90800000Wc@4000O0W67D01401042000000081e2004HW10010G00400000n00mvw90A0 -084m40010M6V5znK1000208105zcmUy60200OvR300G0qYa1010WwoE1006893O001082G00 -l@OG_3600002W00210K0K80C000W0G00iwl10W002DmWcwVeaaJsCPZ69h040008uMizVJ8z -F341008002OBT3oJ940200ZxVo_w9008281V9gP@18000H4R000J000Gqa70W40mWSSX1080 -0G000W80100m00020W@_D0W00InZOqjlD000W0220y@@3Nxnmcy6KAV200Wj0000k1b1fUcW -100WNsJ020O00G400014RWN4A20W9xL1282GyJ60Cr00008GvY6W820uQZ4gBpWXyD0200Gt -xRyyv90020Qbs010H002Y0Yt@1120Wpcm008000GY0410000C40000pkO180L94004Y41108 -H000128204G0081108220000GI00W50A4004mR@L0000400Wue@giZf1nXRGVu9qnV2pyd00 -0GeCwD00294102000pg0004080OeG0004H428002000Y218008W00301GW0W0000G00220I4 -WUplYiKL9ZX4MUq040W2t@dWG000A0005so00Y0We_DG4040W000G1B000W0Ge2A00418mV3 -0900G9000WD52bKIK000KWG10M@r300WIz9oG1jRW0000X0000W02400W9W_00000080W@@d -0G1000G00000G1G0400005E0000m4T00W2YWG0G41A43d1000800W4W080OEV3W000yYE600 -40ox3ZfAh0044014400X82V3d00G0WOpD0I04u@mC0S07040206W00005_000G0000X01020 -004W10WfVD04040440101002W4080G8q0562000002020000088mCod0e000200W400XjaP0 -G20m9F6KDM2000080Y5G0410a000eK4WZOD0WLG00G00840G08000020eY00621080004010 -WW100sKkYCcDe@O300g0y@@6W10000e0SSS220G0gZpW@@JuvG3kSE108000G00070200051 -000eE8000000Q000YBs0m00001vLGP9000qVXG00818440001noX10eG2W30f8080Ywt900W -Lq400y32010SE60@300Wx10000080G1308gRg220kJq@@C00Y8200qF0000KM10_700wud70 -W00zEP00W001008j@@GFsIa8X1@@R0maJ400WW000C02080KHK1G41003300K200GH00WE03 -0C0rGO0g100mGch000S200mR00000700gwd400G4W000000uB0Itgs@40Ggg1000WdV6Gs@O -0000sZc00o180@@E1000W4180000yt@00000O6F000FRR0600000uY2W10_@VcgEIeTDO_@d -100gh9MNqTvCKNk1dbBH@mLi5E3p1m0002W2qJ04Z0GW0RyZE900204000SiM8n4Bn@@d000 -Wkq33248dy1gOXT302004kg1FrfIVc6KQc1dkd000iys6su6x7kMtZUuJul19wUs00000m00 -GwatWt8V00G1omy9yyf4W@1880G00000G000290203000TlO000204000000WAYBXbuC0400 -0W00m0zVetC3_qt00080004010W00GG14W02oIqC0m010010Ogt9K9W100K0Q_E1000e0440 -1000kBj100G0X200000WW91GAG0000YA8000000802005010WWW0GKY04041L06rF100G4A0 -0800018G040010010000540XXRGm_6y@V20200088008000W01Ky@6KDU289G0otqWP_D0G0 -20W000G1003zd0002eyyP00WG0000000js4a1Wozt0018G0W500038000440G1C0902K1000 -201Q9t001W20W40004210W0W0000000G4208zjR08W0WukP8tV3008W00001001uj_9CKX1f -@N1006az_D04000W0100148B6OGt@60cP00K02000X6020040001000DPa1G800cq@1a00GZ -oR0400YckDOIe4wzVZLa2P9B30W81CDe1vi@00040000KVdp00Y00Y000zTR0020n50A00G0 -mNz6000GSTB3UBqWGD29aU3ckr00002G4008200qOk13Z@mOu600Y4002IW800W0nDW00000 -82000G400WuY7E10E20@wR0002G004n00001GCa0G000041Gdz60G00W000G_eFqL@3HOB10 -20000G2TMRmni64O_3TiR00010000Y00G0Qqp00824T8a00W_D0m8000O0A@t0Y000JrRmvq -FKZk1LSNHNwFaLz3jpd000000G0APPvX80000208ledG5h90at1e3a42ztWoyP8pD30240ir -e4ljU20OW00020800G0404G4G0ujV3InEX0pI008EC4Z01820gG480000H000G4004108400 -WG4000W8701_Df10900QLF104OC0W0410o0G4410HG040XX001C021H0MWpWl7sO2V90400W -00Gm0280009040008e00s6w18610xoP00440YG000004140H4de1G820080000OH71210W01 -0W000450YGC0C3P086401020000020xQPW04G400Y000021002ULt30020ohZ12000zSa000 -0Y8mD00100b0102040K280gmt000W0frdGE76G908402000K0080G40G150G08000K200W0H -00200000CPG0G9H12bK2H0eaG0G10GGW0000eG8000X0W2AG00120000001100H2A1001W00 -e0580WXqV0800KPwCCuS208C0Q0p0O20140840104W0021000020GajxP00WO000W008Y33u -R0003Wq@D0a40G_y9Ou001W84028000G0X21050880mC0000800O0000041fQR00008GG00G -00IxftW5xV0040Gs_9K@V29cP020K0G00W008Y0000lzl12800_dzaE@J00G9A0O440W0000 -WWC008O00I0E000G020W022000000G482GG020GGmpR0820WW000G00004m0@@p0040gQsDO -ZS380000G40G000Y810WQ39PTV608w0KUg1002W00Y0XI0009G2040H004W0pfa00440000b -J8QGN@F00082100m6y60400000KmznC00100XdG8GGw5m40000mLUBt0010C0000GA00CtU2 -000W00jt0008L04Unuz6040800010Qb000GA0000G98bN0S08rB010W1W000008G20000Da5 -W1J_R00008aG800O52T300aLU2zHd0G0Wq_VDu@V302IYivF30Wg@Zjt00egh0e_@12000g0 -0O7SF0G0rhS00000Wvx_900OF30000020Aw300c1YxG500000G60m0000O_v5D000mE0000_ -@U0x7An4vU000m000u7G700WV00JFR00m0G0m4OC10WJm@1y100Wux20m310002000030003 -U00V0T00uT104wl1WCZZ000U300e2u0W1WA2306200L400G0000S000Gu90m041G1W2000J4 -t0m000an3000834zV2000c00000O00O2030CH0Sek7nTOmRtj0000MQ00mg@C000GMo10Ghw -908000000UirWzcDOVqPpWuj0sT08Uy700W0Kfk1tbl1080W5xP00040000Xg0nOEyV00009 -T00erzY_RVZ85u1000000Ng0tV0000nq@9q6F6LH9120000004h@Rm6yI8040euUIosNYfnD -0ie0mux9abV27LR0000evyJ0010000G00100010G0024red1000W6stW9@J8j_4wVZXKdcvP -T6000WJG00WAA00000G1WW00204e002H408011GA80004GG0484G802OWX0a000080050028 -8V3d00G010W04VVRGij6KBg1ZyBnuzd42h43wR000s_1RC000n0204G00W00820002CI0i01 -00W0aO01K0108G4680I800W009010004041G0420G0080G800WGW0G7XI02000G01G3xCK9y -3X2fo@@60GE000L0mQt9W042e4j7ozX100283mpm256C4B30W2GExFA000ic10W_@tWmtOuO -kA04104yl1G4008400q2PBBPp3026K00K4000Y00H8S3A90W8Y2tBXh5POYck0CC0aKU8FjP -008408Y4ZjzP0804W@VJ8A7m000WkHX15_d008GWmbnG000000400G3040006rtWk7634000 -00sWPsC00H8GC@90900umV90G48I4000G04XW09200KK02W0020uy3VETyt2O73WPkDefR3G -00GqMj4dyP0042H8op4Y00010H8_IQ2W000gkvd5@hW800000YWPpD00002l1000W000G1Ga -00000W0000280G0e_@b00A00G811XeaI0000830043t3vDH5204WP@D000i40G0010000802 -VZt00K203WdGfW9002100A0eWA204GW8nSBHj7QT0h10PF8s@t00160bqR0020miig0000C0 -e0WXFDOYN6G002UWLN000uX540qbl1tYQ041009200h@B1000L2G1988002w9XxGD004GmIN -N58d1050000iEXG90OaI6000WWOH0ezV6000bWG00G2KU3000PZ8000008QyD1G0080WK06d -1CGW003@p0AKFWQ@nGL182t1000Wv@_300y70000008HyVYktZQ3J0000Yf2000030000F00 -J000402H00Sh@FG60000WC000e8000T000ky50OuvYG0W100010WOuOubDj00yyDxECu@V00 -00_p@00OBkq0ep04HjA9mrLI@O0000n900GfORKD66nbRGRvC004GeEfGout600@bB_xqgKK -1AV18WEFgBsW6rheIS6YKGeLwPG000GIz600002000fL00WiuPeeS3004XKml1jnPmQv6000 -0600W00020201000008801008000200Y800W04080008000S6j7f2kn@@6W020OqN900WGL_ -j104G000m00040200H0201aH_D0W0000909W0000I40GG08904O42042004006G100100804 -010G0002000088480DaRmSYRaUz6haR040018000Rwbm8j90YA1010040004G1000200G0W0 -0040eIQ300000q0002K0000001002400288I00110200081O000080G0121W040024000B02 -80epmPez1aEyp0k200xiRmHx6000W01808018ecyD0GW0000400G1W00008100X0200W0021 -01000018040kqt00100008G_@tWCxC8dHd0009G40002000002YlyD0400GGx6qvl1G000Qs -FXfvP8xV3wrtWPjJ81qe6_t0Xf100PGW020000WO000GmVY64vV2G00W0004n80W04004000 -002W0bW@GnBjif8ChYdWy18WHaD000W9GW084000a000o@tWPeI8KP600001000Q9V3w0tW7 -GzOh0X00Kn200W000buO@60300erV3oDp004H4D_RmIA9awl140000022ytl100W8w0CgPvJ -8cV304VH04X0WCS5001X0H0W20g2G04001K000Y000010G400W000O00WG020000210G0G00 -028dpd0G000500008204800yHRKNMQ00800000qm201W860000124H0480600K00c1000010 -00020084e8G0084m4001Wcft00200000W001W00W821090000202GWV@4IOxp8100W200wPC -6000A000K20208A520T@R04000400000AW0WI00G831W0e400002100K208W8a0G9W0G00Y8 -YK2000H0WO0500W904G0WI000YG8WIfDunS3I_j8A4100800G0000sFLW002GBP60200W800 -0008008200020G00GG408004005003002100030004W00011600200080IeZ_Rm8@6G0G0OV -ub0G000W00m02002008000GU120W010C@l18G0104000400eaS3000XZW94010448X00E6I0 -0000W0SG00e640C0X8220WG00001041W0Cmj18001kU0frqDOqs400qg31GeivS30000140X -00i4mzx90H0020045HYH04W0G08W2G10000Y0W4000012G80Y00200009GSfl1LVwKsu6002 -400WW4J000Gr250000Wk92KFF300e20000P500010G0GG40q3G00WmN0N94000N1Y0GC0A40 -020DkXG00O3K00G00f40qIy9W000G200G0oHXF0000N1000mCLK007@RWC20__zI0y00W@1m -VBWPcM4WCj8ymRHLL5c0yF0y@FKLLYnmr58000S1I000u2000000GwLJ0005100S140m0maW -1W1000300040000200060e040SHS1u200u60W28005m40AmFWLWtWx0T0t100g1000c100S6 -0000u0Cdl100aHQxs9W2A000000Ggd10HLN0W28g_dPed_JJ@R0W000i@F0000DeoB000000 -G101800ny_b0004d0WXV0000W3002tocg2Q9OWYwsFXbqP8v56Ydh50082vzR000byTfbe88 -F0G008000y_V30040A000Oew4UyjYIpbOLwJ01004Nk10ZC0AeUcoZJ87x4YXh20004f_6oA -MRaKl10000DD00STk4Zrl100WWMsCOyU3sfcXm0n8mz7ov6ZBtPuJU600ibUEk1xNdGnx6qW -M2vVXnSy6000WQ_V60088c8DLWGT000001004u3A38000H0W000eW4GG108W20214GG00W80 -W018W804G8G20220940G8202H4GG004020WWjqD0110OaS6y2kD32AnB@C0000HI0000G400 -402VsR0000GG0000W002st0000I00G2004G0000002500000220I404W0002801G00800W10 -00002HIxnzm@000DOMU600200242000818WGG08WW0W301100KiX12W02000GG0018hF3IIF -XRzD08000G00001263TVIy_j0Yn0010040W8W_lh00400022020200G202zt000220040Emr -008108000kTE1H001W000@QUczzz00040n50I8Y000041M@tW_oCeE@40800Chk1WG00100o -80W000200042G8I008100W021W042010140108430HFwzp5@O00md@w@4001Gif_39qdmzs6 -000010m0004I0G0200002020000009@V3s_F10900zm75uP7WYCP000W0040Wo6I00010100 -mtFCW40G000800G0402000000100840GG0G08eqIJ0000uePm0200uMD3YKm360090G00GY8 -056k10G40000W0400OCL30200G0G0K10WWP40000W0243WW1X1A2YGG0WI0GG400o8IWGH4W -8610400KG041000uCsF1004Q6@g008qaG0AIDA600X01000ol@6qSa1010E001H104040q00 -0850H0C00GG0428002G5620e1W0W5W8H00WG082W80W0040410Y800a6005gw100Y0W00000 -3W000800G0OkV3wwt3mKF0200004001000SAE30900G900G9100WK20000Y0H90002180102 -a0e000400190LgQGuM6eG80080140G4600WI040Gq4X1G900ewTFGWY200Y0OE230004GH00 -Ot@GI2m00GW2oYW00010G1041X0G0500GW00180W69Y12W00002m12H80WSmJW0000H00e4x -DGO002280068Ki0W0800008109u@@GWW00000O0G000000mHq910Gmi0002000G020G00050 -G02WX1GW01500030W0A010G00060001uY0e110900W0020WW8KxR22000CWaW100889T3000 -K00CCQ5K3_XsZytDOYOL0mn0008H000G40100044208120MW40m4B000GW8C08GW000a00a0 -0G00GiuSF3Aza100020G0i0080009L008Y0W20a48JegpD040G00001vY030000W20GfcJ2z -W0WeyDuA330N95202N1zIGC00q4820nG800080qG6000Wc030010i20GNXdmYk60408b801G -So6W2M8688@ov_H10mS000W000uENZApOc5MmC0_R10ytYCdf5X7UBoCpm4Uu1W@g2pCJ45q -70u10000m02XG04aW211152232000C80000080WzC0AGVio20ObL_rX00c00080C200u6XD0 -600Gata0SS8000G400010L02040@0C100y30G170W2y605m4uAmRWH0LWx400IH12W2240S1 -O0m0u0W1W10303GW06W03e8120N240g0C063eYy3Oc10mF3WqtKv1W36wF400UZB_xH6n6GG -22G8W4a4W4819e42IG000X2YW044115242A1G6K88K8bfiG800CmFHyh0s70q1UNVZ@mymp0 -00W5O00GrrIqki1@ol14G0WUmlAiV900yiKgqL3YZHgyp0c908IXAAXlYooJ020WGJlX45@3 -dkBH0wRCNE3000erC00iFF3rYdG2V6e000000WW0000000AFlQ080040G200100088WqQE3H -tR0004mBHn8b@S00CVdCR24104wOr00H080000100W0e00004G200104CC02000W0Y0OWG4W -02e0H4O0I00040X0e002GO00182000204GG00T6Om0_dStlAWI50oxFX5@D0000840000040 -04008830KDk16502W0G4W404W20Y0400G8e0200240W05084G400214000201C2000001G4l -V25@cGs3pC6E3000GXB00Szl10030G020cOl13@R08W0000G0W0080G00qdl10800X00WW1M -00801000YeB4Ve6UFont00060h_3300semxn8Fw4slt040m0Hsd000820W0X000O0400000G -4082mE@6ii@6Y010_Hn6000021602hi2100041000801800GudR302O005W0W800Iyz6q7k1 -JUR000001WO4xwx10200300000m4sZ76000q6600kxd12G00GG00A_b100107XRGn@C0H00u -j13AhpWUvD0W00GQW6iae4000YZPF70069bbAnb@60200Gc000H00WnxDub@4G000GG00000 -0800WWFXPe6V3k3l204800W740G10000W0300m3@m0AU08PY46@t0048Wj8O0H0G4W0n8G00 -66Ot020104120840g00001GH0W8210Gm18002000YW0H00G40000W0W41Cu@V90208201004 -8000G0uMSdXi00GT@C0G2000X0000O0000W00H200W000G001W000428b000200000W01020 -WG0109028W000GG40gSs0Y410G800odsW@@bG04000K2W3_j10GQxn4C08Y000I18GK2000G -0h2O0120eqzJ02G205K2WkuD8dA6e0000100W2000000Xmmn0W0GGvHpazl1WB60M@t00O0X -twR0G800482041100010000G0840mE@981000100004naMxDeU@4AxFXGkn00p420G002040 -rzPGqCmeD00uq@4004WO082028009e0C01000018000KW8010000000W2W0E1GKy0La000Wm -0uwq402000e01080M0a0K02020P_QmrxIW0008sz46v7600Sy9_p0W400001Y0008GO041G4 -HuDL3G000G4060G100002al5DG200000Y000X0xUO01a002002DOkHxy6O500OYVR0vb04xl -1004aYbB1hG18000GZ0FXwuC0jt0E0Oj10100WWG0YwtWc_D00400004etsD0000W600W@@n -0Y0000e0W8_dnh00GV@I010u4d000000GG3000m01g_F1342X08429424I2G64000eG00000 -00iCD0000KPL00uZ_D000G000C30000G52W5_X10WZNiSCG5W920WR600mC000L24080E0K1 -W0W0W148020G0S000mR04WEWB0C0r080e000G00G04000880001G004020H0P400Y0@@Z10g -20xyF5000mouV6mB303_d000eR0000Gax0WOL108W20pC3ELL50_hAu2F8000Gg6zl1l9OGj -r6000oG08WSNM900000W15mBRpCZMQ9zgolSm00eP40000W04WwBIupV6WG00iaU27YRmH@6 -0G81eKy4MXtWMxc9ZVL0F70qYmFP7FprwOaaA6W0000040200GOM990022SIU2hpd0800000 -18rip0G00Wt121010mRz6iNl73eN10WGpoGz0004000042G0000008060G000000WW80GWlq -D0G1200010W82W1gdGWzCKgk100001010KZg1W001k3S6WF90fod0082ebqD008002G000m0 -G0080gYp000003UO0G0L004002WW01W00111000040080040004O02004GqRB600W0002000 -00f6VFkjl2000mM400_RFXK_JePV340W00G0W00000WaG0000m0W04dMt0A0816W40400000 -WGO1C301080X000GW084W0WY@b0G04000GWcxD0050GDxm00G460e0Gx@642g10W00000400 -04e7V3sdFXj@DW0G0W000WSxC0W4400040402001800004K5k7Pxd0a00W@uX1i77n@@9qeL -5000180X00000402W0040WXyD8PS3w@t01W82G4W801000W00O7UC0600W800G200000Ge9i -X1004ko10WDlt8lS300W0000ZidV30009208Y0082mXp60I800800008004XG02210gxaau_ -t8q@A00qxEt@60G20100012240YMW0200000204801000003W0g6O30202akk1VtR001Wibh -g8XB3k8D10400jYt2GM6WBYP8qR9MED120040008004008048fi42ct0004G000Y0080_@F6 -G410oupW1dCepz42Vy4NH40B_Z100O4o000K014CGC0800d0000A8GS810WCGe2000He00W0 -00G0WG2W0e2G42WeG08o1gOGW0014Gw@I00041004820WG000008O00008qvQB00U2Yyp0Y0 -00X_bmb@900030000CG94G0C30O2e8WO1100014G800GYY8828Hm03WW0002G000YW01C041 -4000e0WgYkn3W0000G92080ASIHBWBF0A_t0G2005_N10K000G000WG508W000GK2G4104G0 -0A0000404GY20G000e4E3ommZ0yDeyV3G0WG437CzsOmX@R0050W0000m0020420OW02oVp0 -A00I0G08kd@10800llj120WWq@D02M0uYw6qn@900s_g8QZyjD0G00000AG8Y82400I8YWW0 -i0GaH424m0H0800H00b000209000m00G1040WWon0010mqC602008qR36@j5WQB0V2d0IW4W -e_b0091W00W28G44I08Af29021X000200108140G0802G0240000000024001002BHLyungr -6080eX4000G90WGpK99L3MIVZrzD00D0300H1ak2G00O0W000002b0000W680Wj5Ou@VF000 -p000000k100000W7001f030000u0000W2oIy@10OPQ00WIXvP0Wbf1WM00000008WC0200MC -TQqYM0O6p0OvAS6K@l1I30000BJ30B1OsVC006000D1K080k100mvpR1Co2Ghy9S9l40GH00 -WA0A000K000W2000S100C3O0e9e2G5G700WA000G000WY00080A0G0W0m00100030Wlgtm@0 -000Srzurdnl00GuyR0S000niCD0OPQ00uq0WdX5028Z5000092B000001S004o008Z0moqWW -00mYfJ3V@70BHAiHQyv00ueOvRII4tZJmVuyt4I37Zy@nuUd4o3u1mL90FQVIGv9qzI2vfXn -k@6SyV2ZQGIU0R000WepCFo784000GNB1JCSOas46002W7U2ZZvmeUEOkbtZppV8tD3IRx1G -1B0ZpBnRl9abU2W000000G502320000G00qgl1W00065sWXxnOjU34000_Nl12080UorWyka -G810mExF0000ar00GGMIi@U2020G00200O08evQ302000X20014W028055GW2GW1WX0e02G4 -00W0Y005K02E806401kaV3G000jt@m2U6y@V20G0190004mQ2@@R000vZi@helV3Mxm02000 -00H0Fmr004W0K004X001015L024m06GMWBND0042e0208C10p3@vncz6001WOF_42YNYIlb0 -yv2GoXLG000OW_40GK0aB03nWd04000000C0202010W00W0vFV3QWdd4fnWK00G@6a000100 -W0IQ26CBj180000C0000200040Hu@600Y0eK3UkutWE_b00neUXjR0e80uF@40G00004J00a -G086408G488600a081izl1b@R004100W00VRRpPyU0QS0ueVCshr00008F_BHwY64@d10X20 -UH5fC_D0000o3SFmH00u0lD_ft08200000408000G200030180H0610006G00000I000Se16 -kD_aVFne8H32ux1007gT5l18Y0WBPCG00840684G41B08a0LWGHW81OLK04eO0X0004H028W -000W001010Y002000W040RpoJX09qL730vM0gr33a009XsR0084W_HCW80W1800O82G4Y201 -00H200a9200G088W8a0000I000000H006uAnDExtWSDDukNCG448G9088Zx7K600KAa7KW00 -004G91b001G4200I10000W800IztWSmJG9000002G00We009bIGs30001j_w101WWiiV00mH -yV@R002J00000bK00062G80050404agj116d000300a005xR02W00001KbFIonX9y@V50081 -0800az730T80svV3XH00001W0WA0W002W0S002W00408O8022W00G0408wcV600088WpG8w@ -J0040z@@60052ozyX8NDelV90m00C@l100WK0200240100M4W2440A00080G000400H00exS -300a0m2W000001001WOZ3100040W10000e@@N1WA0000qA0000001Wa@V200eJgV940G0984 -4ay2120G40W900GAy9002IO0P6800GW4211oh0mYqv000eI0G0HgZI0Wk1ekV90GW1ikS508 -0GLF01HG8s5X2XW1a0436226C18DO2GI86udW400AhCWg@31200GF@CSLU50030100W6GS20 -00826nW4ob0600000402200y34H00u710061008800WAW4060g8L0O000K10G000W0G001W0 -W0W801WV0X800tH00G6tH10mjPk@A0m3@300000C3F0000OML000000G010X@0Wbf10BJJ00 -0BBc60W0S00cwlAJ_m38w6WJts8jVL0001qNT5TLnGm0R000WuDPC000GnHG0eaSIoX_Xz4J -uWz40204in860000hNkYf5E10W2wXQsiRB3pgR0102WRwEPjtAkJU3mF70d@AnylF4fm3tkZ -HpsI02000W008000mrsDeGR3UYt0000WLtpGyNC4tZ1Lbd0000OUW00dvR000GmGit000001 -0G00004L@R012002480000005012104K048202001G00bgdGNu9SNl18801G400yVk12000Y -GtWpNC0000A028W5wDOCE3cxs01000npR000Rky6a000WmNz6qkk1200GQ@t000C0TnQ0W00 -0008HG400W8W0Ga00WW02018WH04O40802@wBXzWP04840020H0000W0W0EtFXaBI0003010 -GW14IOCi4wMs000000Mb0k4FXHnD8JP3UNcXusJ0X000040WqhD081g0000400C4G800G020 -01a0018001G6aZ@V04001W00WqqDufV3_5sWk_D8dz4oCtWQxJ0040qlv9000Wpn00GiY9yV -U5pCRGLz642X1NsR02060080G001110I03068Y0802I80W_zn0008olra0004CoP30028a@V -200c6Vog5W000lgPJNu6y@V80100pHN2XPD0htto4R60080yJV3480GyXT2GCY4AtOZH8L10 -G08000aCcP0N00GD@d029W00000W0082000GW0cW80800410808000000808G00000WKqyV5 -r3oGKrIy@F34020W000SYw3000eh1L5G00080W0000G4dE30GG0cKFaPyD89yG000C300410 -0G44100OW00X@d08l1WNWK1G241W2C004C800GW0G0W00000IG08X04000K00C08820C2W08 -udUC4n008000OPwD0000100066821034W5iO0000ez00WYsF1mW200020018H0001W01S1G0 -G408I1028mOxD0W00041GeoBauPoAYjj20W0G0P08040ALz@300obxL269W800G9010WoW1G -L20820W0H9010YG430e4W000OWG3A1mi_jqPC30W01G0GG0G20uz@70Lk0y5fA00300004o0 -0001G0000IG0GW808480814003CWGGH080001g41jlNHl_9qj660108000C0W01OAd4Isd1s -100Fet20W20000Xam380Y1000ZOW0W00OGO24P8088W0W820W002wR8FG040iqj4dZc04A6X -9eU0000000HiKdXX4O1004G00GW2020010802A0040081041800L201003y5c4ZJ00002W41 -8100G0O50Q@@1GWC0TotoB36080e09420IV120022OV5X00m000G400K81001Pl000DIp300 -80WoE0000VpjE1000eu000_YMbmkD000uE00008dS03Sc0GV50000bnmvnZf9ixk40010oxr -01000W000G000qwD300MENJJ50W1000101Wp020x0dP00cp0005100u200u6m0mQmDXRWT60 -0tii7t00E00000Sz300@@Z100eA10GK2WRg0400KS730NM06v@404mCc000000AGV0000WNL -lx60000K0YuE00004nzy0000G4t1qdd7XuQZg10i5eXf1032UV3G000G020000004G0ezV6I -1mWV1P8tP3E7tWLeV8xj400CF_O_39mnG8S602008S_MoHdXTqPOYV32JtW2xP00G0OD0Ca_ -l40z10czUc6e2vow420KYhqVOEz7gKdXqyC0000kg10WbrP81E900G04tV2ZgpmcwRqQh100 -001G0000CGC9R38005Cbc100108400ycQ2G00WK000Szj1W0000300Cgc1dOd000AWueV020 -W0400WjvI00020400WcsOelN3k3tWmmDeHS3sQpW9lDebS30O0200101004mIv6000400m0O -E_CqNk10080WW0008000A800a0102G0848a000200WG0001025000WYL808400200aji1Hld -m4_IG00000I0G8uC0800Ofu48W00000W8DT3000A000400004028010H0hDR04400C400048 -42nsWMoJG01000OWWsvC8ZF3W001WW10uG03804000007t40GWn6S@l1W80040200W000001 -Ghw6ahk1G004cmr08000pVQ00G0G00020820kPt0800200404G00ixU200090000000X89u4 -000Xy@l1PFaG_x6000G00G000aW008140200gRqWl6C00C000WeWC7J8FL62nlY5ynO5JIA0 -JYHxb0W00m_5C4JL205V0sEnZZo5QrUC00W00004eUV68000008GOHE30080040440008008 -E2000240GEAGhlmd10400W0010010000W1010000iP@V3YhSZ5mBgUV9Q3AXC5Cm008G89C0 -000G22WGP@60Ca0O5lVczZawyb8p130002yzl1zbQ01000010G9kRW0818a8W0W002000W0C -000800oO064fGNb@@0000n5@D8_V300o0CWj10a001W22110000C0080Y400A000qq10084a -j1jKS5800WVQIOdU609WAH100YI0e4bCB1A10aWa480WIG204W00Af4000H4fa2RlR05000m -u600WW000GAW0000K20G26NH400u6N3IXd10G000W020014qch12AC0GW20eem0014820C81 -40W80080K40000000T000W010400GJA45W10WK@JOx896Tt0X1C000W2O0408042W3eW47GW -801Y0822G0G14Xm240800e0040000f000Kojt004m5hAUIUbgaik108008000yBp32008059 -001We00804Y4940W2W012W0048f481Y2000W2008000001208000WC84e0000e4gGAKfJALI -7s0WWa8000000IYq1W1@@R000WUIGC08q4410n0800G40qVZ210GlGV4C80azYW0GW00GC00 -02300HGG300084Fn8GW0GY920GsaFqw2O0eg50m@BYPcf2ym3kmL5JPk80yVHL5UY0uV0000 -W7221002A426G2GCOG000Og000cGsdCCwnI000c0G000O208zV300J00000Ev@4S005GJ0A0 -JWN0l1c0_1_100y30G178o3uIa2u7m7GJWVWE0TW81w0HQ000000C087GJ000J0500w48X4@ -nuoPRcON504U0OcvWeggWZPc1W@@3km3YAL5400000CW@1XPc1uKeh6@tZjdVejTC004eMvk -7vaJIou6qh@300G0001W4sM27Jpm7M6qm439b@G6eF0Yt1ukZP00G0W800QcaG4042SmE602 -082otWm@J0G40GJxL0000g6B3spjYQJt8xT6QLtWcpD81F6gFtW85auuUO00aIFjqCJiRGVz -O0e2W00W080500WG0000440000104000W04000002080G00040G00040W0WmLxFKlN2G000Q -WqW@@D0ac2mZx90800008WmxR6iKE3DcRmFy60010uxT30008S1Z10100oaz1080W00W00H0 -00W00aG000000300I19sRmG_6S1k1pnR0G00020206G00840G00IG20010G41100CG04K108 -0080H10000Bc01aBhDedB3AYjYpmJODV3_Dt0Y00040010G00G008vvV6008503G0200I000 -I040GC000004880G50QyU3048400G8e3V3WW0400004004000W110G400G0WW000080G0100 -010G000200024000000U2402GL@680W1000WGrS64aV2ladmX@60006001000G00800620X0 -4G00G0GG0080mniF0000a00900000W002b7nGM_90004OxF3omd1W004W000104GG0001401 -Z002WsOD08b2G2@9q2f4fkjnlRO0080450W0000400WPLVknTzCKUE3@XnGGp9mK00u5EUIh -u100008Q42WW08yYR21vMnFrISfG200G0000K4Yb10W0004400WGaiH3300G000a08KjPW00 -0G0004400mxy64Ne100Z0wtRZ1sP8ZV30W000204iwV380W00400000W0G40000e040000Pd -0iGEFm000W0100I000W0G00000H010BpPG4yXitU5000WEpt00W04R@R0G000000Ss000EUE -XLWXv0H36jt0800YW400004008Y120Ce4H10008Y8BNZnmyC8Y0000H41410110020e000G0 -02000W4020028244GKW01000K4aPg100A06swaf@PW0X821200201880710W8W00080WYWX0 -cG0G0G00400W8Y00006yVxAoudXy@D0A0284W00m01086000H4800024W0008088G000WJ30 -G2W0qt193@N10G400K00O100H0042011W8W0m@@900H100A000G0090W2dKoGY_6Kr_31SQ0 -00114008K280000W22W4108820G00000iY00800W0q8W1ljE303000f4HW40ecarWcMP8oyA -_D730W0000O080Y92A08010a00A0eqxDW00000WMflBCecIR00KG01W801A8Ia_9yfV20800 -000420001000r6xC0011erVC000a110e0AW1mlh60W2003A00e000mz1GY808cTr00a00fK3 -38W10000HW02000I0Sel10200000024000400GLY6C@V50H006el221484010000G9020GG0 -804502000uya0200G0Cqk1lF2302002001048101000128QFU30WD000M0O1Q30004o32000 -D0GGy9K7b1000Ix6_XHsD0Sb020OV521080WGG040m02W00h0000WZI0K205AO0084W4sX1r -00000q400004G30000m10000WYih0GiR10FpW000GLu0i7UE00W@1000Wgg600000mUgA32F -y04W@H500q4FC000F0WgmB000020_7NfQ6OZD0W000mS0000C000O080a4G1018610GC200y -@V2O20000m4jR@300080G012W02405080u200u7m0WBmT060hW01K0160000US50W20304WE -02000r000Gdzj0WG0006300G0G0G4G8YAWG400A800mk105z3WPm31000yC3ydaCzC021882 -2A800K8840000090I00WWI0IA0600u81005qr6RKml72005eF0000k08I@40024aFj7phpmi -rL000G8oa70ka0CRz9jSR00W0W9eOuEE9Ar_100040040AJE10040W020002001018QP3_ct -00800000Gw5mWigCOEy4000GeM008Eu70040kLl1PSxH5z6iyz300I02mMYRoI8l93QwsWJq -Vu_U300800800WG000W00WqkJ00Whq@@I0880eCMCYbzajmPOKP6YstWJkD8Oo4EOdXn@h0G -A3GL@CyST2jzRmuuFKNh11sQG9u60010Ax@7004012WKG45G300200m8GLBP0000810W0roR -0W0GXHhD040208001000080001002SVI2rv_0000iqHOOjP3cHdXvQC8D63sAtWzTDW80000 -0GG000481A20004SKL200104e0G0001442W0K0000YGWW04P0IW00W0W100WXH040mGH000G -G124O8200400000246G01020384000000XG000082002830NKPGWz60083Q8V9oStWJtDurz -4000YG104Y010mrx60GG0400YW000020YW04018W00G2G10080082W610A0O0dHCA0000041 -0i028Y10C1000A40005808001A0002052W2YG0420100000O0102G0Y1H0000X0241000010 -0K0o00004180000D3R0e42WZObeuz40W04K@V28014002300000140004040200W0010X01Z -240010WW010L22004000000010W02000014600WXGz1a080G0098000G0G408r7l10200080 -iSZy399B1000KN100fw@GzYC4vF30K000104qeV2020XsLs00140K0000W0W000000eGmguF -SC23v@dGvs6yFE3G400Yht00W02ra@00WVnaiLfmV30G030W800000e800W2sD000aujvO00 -82eNR3czF10W20H9cG5z6yok1O008YKnWxobGmJ0GEvgaLX1VGp0080004H000GG8000We08 -W030G7xFq0e1FNXHV264_l10012t29XdyP0000cw00Wqr9100m800G00Gi0W10000600020W -02Y0W000K0310W0800000Y0W0400qo@6002G88130101q_l1m100W200y@l100HG0W0G0W8O -uU_4oAdXkOb00W8nOrg020000I00Yf0G04GcY00H00010480000Ymf@6004G0041G9j6i3k1 -400000WG0W01OKA36mt000208A8002408G5004b2W800082042000000H06000280GtE6KRF -3WtP0kh@4110800G0L44He2U2q8Y000HaB142n0008GIK52012XGGG453W124G001W06WrWG -mD021000m0000100OK0wjE1K001K000kvF104000825000200080W0eZ008Ws_P0000F310W -Wz9100G801CO094WG18000800H612H0008G0K5120800LXA00W40019G43H00Y@tCWI0a00G -01A100pQO00W0GGeaI80081f0A100008H205000G2H0K2eIW82Y20b0400030008a0004840 -e0H0S_F300aV_@N51002K08000000I5000G20p0G10O00FWd0W0000Y000G10WG800008000 -4214WWRuJGeQ0028G9A8208b000021040000082W4X040e12W00GWC00W0108444110al@b8 -n59EyTZwLV0W000B000K000@Ya0100pp_D000L00000C0m000A0W000W0422nA8aW5W00418 -4K01000SCok1200G002000201W6mmRF646i4000OZFIYcttG0G0024000000401G00W1AG00 -30W000201000200KK80mH044040000088001000G4W0041000Ga004AGe00WG104H200024W -400100G003e01001040W000Y0GGK0WW00m80m5Uh00WAqd@gG208022H0800082a42W40W09 -804IGG000W0041mGI02W081400000eb6W30090000E0000W00bI000851KV3m1WTl8400702 -12G0WsR840as0uA048m_A4200100WG80eZ0002qDXG2000n7dG_o90O40u@@J03000803000 -0001200001W104IfBXeBDuG43amC100000008pO@O0U0000WXl041WI@F1000F610W@@9100 -8200yF1ykg4vg@1OW@Jzr30NL50u300_@V04100Wm@UwV1ytN1O00000d100y60000O0mR00 -04WV6008WK0C000u7m1mRWVcE0@C00w8000Y000C100T7O0WHoAYBmT600h800G000e11000 -810R@p000XsWKK10K100K1G0e9W2G705000sOY1HrP0C0C0O0K100KHQwd100m30000uEH0i -Lt3d0pW000000a0Y0000Wll2004O4036o@1WV40x@V2Q102I00G0Ws00508ONG0N002A0KrV -103C2ev@PmFr0@y105000kJ4WCdb0060GMxgSol1zf@W600WGrJuFV6s_d10010LuOGm_Cim -E3lxN10W00G08a002G0H00000Y0W00W80000000W02Ysfr00004W00800W000814400Pfy9K -ni1W0000840020100WyC010WGRD8EN3Y_tWrfJ00001GW00024W00080a00i4k1TyZnhvF08 -002W00mT@600W0SjR6QctWpnJ04004005WFoPOUS3U3m00I30V0aG9v6yKF3brRmxu604W08 -Yz4oc_XcQt0C00oqw6a0015dR0G00ZBpJ0800200400024Pfd0G0008G00N8m00WGIG000Do -PmtlIKPG2W228sFm00030jpRmCjLCjj14W080O0XCyk1G0H0YonWhwD008K00000G800G0W0 -C008SjU220098124G080040L0W00A300W0G0400000K0G40000OV6WTsPeLE30W00W000410 -08400W5yJ0G002C00AW00Y004408400G0112830018WwYD0W04msr6004105G4841e2Oe5mG -03C4Gm00WO000W21G0a0008C2020W44804G08FO300480084W402208W0180Whub00W84021 -4W020410000007ZZ0m@@6C7W1200I4000K7k10W000I000GO000X0400248040020W0SWW0a -8W02YWX0G9410Y0850802228K000H0W1O45110w82W0K04W30bW8I903004H2W004050000G -00040480F0X0WGW0eWnbO0K0G0W80002XG0400WH61G1W00000040402G000C0Wabj100000 -0eDD@h1nPOG3l6000e002200400O404800W08000G0100G9GC760080WI00e0000028GW03C -40802064500We00IO43010U2H0W00Y4G00100814W48W05000200GGrJZ11xR0100000G04G -00QwF112000W1080W00Ge20000i0000KG080140039OaS53000W8000002Wu_B3soF100180 -002000G000W1002CO0cB0030WH08G354X1E00001000WWPGCW00Wmx@9Kck19@RGy_9CCj10 -80010Y0C4j1Jld0Y00WNKC0010GI96auV2000O0500KfV5bIN1030WPlC0AO000aKG004H00 -I4m8000Y00K0GCa080000018008002GW0200021000004B00400G0G0K02W00G141W080000 -20a820O0020080010Gr_60000SaV3YptWieP00XusTPLybc100JWQdt004G0G400GC000408 -QSV30021G0000G4W004440W0G820090GW000987130O00a9k18000000010210009mlw60G0 -WW00G00004W0Y0G0000W8GqcV2W001WG0Gyao300000Ji04@k4000m82000004vPJ34C0800 -O0000G0002H001W0WW810014kd10H04000GKLl10008904008804A04W0W0mu@D00800020W -@@D040W200GWXrCOaU30210Kid1000c9000008GeqB3oztWluD8bo7gId10090h@RW8c1000 -W0000a7tF1W0m4rfRW8I00WX000G008008E3k14280W0D010bL004JqI@6G010G9W88000PH -08I0K4400e1eW02G48m00Z8A820JW0G084000H0410A000424010142004WG0Scl19kR000V -o@yh0gO088m0GGK41200K92W00Y0G0nY0WQ024a0H5WGWgG5hOW4200m0YAi4aPGmGe822IL -J04GWGPWYq80G06G0G8W00O4X000OG01W004300000W20G40000W803804102A09G802G80W -00W0W88W01un730AH0000u03W4nDJ60e00ew@40hz0ayl408G2002010420W83X090H21028 -0u001000G0H4HW02G10000I00055W004880K284WXXG00a6A840OW40E0Y10G00GY0b00008 -2G0G100000220K2W2a081G101008A00cJt00e09G0H042GA10030Y0em@@6G9000001050ea -XXC0000020G1000em100_@N2aW00aY05eGPYC7W108100804200G0W0f0010WifJ00a000a2 -0AeG9h@R00C1002W24H0e0044000W4KG0000G100XYJ6Q0G0W00820G002000A2000201103 -IW04G00W0J3ozt0Q20141800X80ioU2@iR000Ram@g00002J00000G8zpR0000O0e04WK06G -00GS8S200104q0004J08oS60C00S8h10A08020408AG000u2m000G00040000100W0000011 -GvA60020400008208W04Hu340QRt0600000G012000800088W000000o10vBZnYZ6SJc1800 -GCW880O020800000yZ2qPG02I0048W0@D000200200600000A0IXn01420G000W40G00G000 -001081egCD010422400400G022W01210W00W080Grw900040400W00GW8WDWJ00G@@L002W0 -004XH400YW048H2mG80Ge88AWG02002eWrJC00mGGC460GW00010006282020rtQ0WuQ5200 -m000G8004x6211Nl0Z0010ac0Gv@R00W90000WIWU1020ip4X08A03GuD424aU10500408Xg -@JG94200I0000tpn9MX70010q000001W00000Q10G00828600010C10000G8TEV28y00ABtW -qJD00210028R100m0000040C3000Wgg7GMy9G170W__30C108@700T_d000G1p0000Gz5MRD -10ukxy000OM00K_V20t80w@V3030000Gmb70Kxx00KG1mkt3mKgIH@_tmC98000019m01XW1 -3XG3c0a4A81942dGG264004Wx2000W100mD01Wc0hC@0r800kp0005100u200e2m0WA03X80 -64008400GH00md100SHQ0q4m5vNK06ajl10KL01009A0P00000YH000000KG600w@N2100W6 -4009g40Wg4206WP600C000W80005100K1K0m0G5XHCS68W00GWB2W0LLI1WHY2G50pYp0c20 -1SD0uXef0000@i1w4408p80y@708YFuZtV0MT00WO0ml000a00mCF0400mtbpPewV3Exc100 -9wd2L100A0002004@z140i2yX30GV50M3B0SF10mVVb1yy000z9Mn10eF3G1200O200050m0 -0G060000W04nC0GK4Jz3JORLS0E3PjPGd0jiui1LXBHa_90200W0G0mcQ94Dd49mP0H00000 -10W200sCBXduDu3S3000Gqog1000O0600_Ld400286SsW2rJOpW7cDoWJgb0G000P0000002 -7mbGlTL0800e7X4Q_cXMKCO6E6008000GMC7lA02G0iCj1DXZHhkCKB_6FnNHUy9000020m0 -m2w9ySE3HvQGDx602T0O6UIY5nWaxI000JmDyLCNl100041084W8W500180080180000A400 -00000WW200G0000gjXD00400i00004u0G0H00GW0I041X00800G0G0W00408005040001012 -010000lW0000G0MRA1100200000028KJF300011000cGl1RvPW2H8046mW05A410I04fU221 -80000GG0aH10GW014b0480900G0988WA40500Y00G902W00W64K01Y22Y4Y11WO08000140W -800WG18018G88G4000900282000GG8C0030KG01W108000000fZ04G01030swl1WW00Qh9Xo -6D0403G@z64El1400000n004W00G8G00000401G01G0GGm0G10848000a8400e008G004b01 -H9amm0000H0X91W1100e0010011G01m0e48010oWKC002G840000S200GWX240O000A0T0X0 -418W00A1W000K0H80m3W8020801002000SPZ00000A020020080G01X00080080000X002Hw -R0G100000We00WERF1X0040W34n028W3em28048G001YYW220901GG235000Z8038000000W -4080WO00000W0GG0240AWv_C0808200C0000EG0000a00G00002000SG004G10z5Q0G0000W -004010008020e00G200000Su128L6cm4j9qce4Z@R002000G0W0002N2r00006dqPmz@C02X -0egV34044Y02804401210WWWC010O0Y01WzkD8f03Uhp008000K00W00Giga1rcO00Y0WE4D -00GF5000G0O02rO@Gw@R001000H00c20W@@D0W0G00a0XU@D0000eC0KW3BDeaV3Iam00010 -0820G4004ck1020000a0Cul1JXP004800000I200WC024fl1G040gyF1HI80@yRmg7UCaP5R -TQmPl6000000O0WW8004001200400WGaqj1f8PG@P600100G00WW0080204XWRmTY6ym2340 -0GG000KIl10m00000010005u04mG1j0000100800041GC100800G400arf1a920gIf23020N -XR0W008W1000000001WKeQ2G40200040080unU3wOt00Q00Z4Q00G40800000o200110000A -sT9_MDaxzD01014Y4000492Pzd0L820020800010aW0H00649aW0W04040WgO6mW1W0Y0320 -0K130611008000e02000290T0000084090a0488kG1003011I0G0000Y08200W040000he0G -840mG00W000WEW89BK340G0G00450WG010e00W01001XW8149W00G48014512004201GIG4G -20410XG0100eW0000o240000100541080W02004320006100KC0G300000W8I20G008Y0W00 -000O020e000G8Y0000G4H008W0000pE0m0W008C00YlhL100GC0651W4000820e2W0YmeK10 -00aO200I000Wf40_@t0A1200004e0000G0W00A00G00090400010G00A100G00140000002H -2K2000H040250000210O0800K88405040G1200W240808020e40004W00W801GKYI0000IWe -NbQ1040mAz90100WI0200G00Ye00W2WGW004800aJ810G_@600006X00020G42a0W0W00002 -0S7g10282000011014000000AXEkD0m0G000GC0000022008W0W0000eF300Ag80G014100G -800CSkAnup00IG00001a1024WSW0W0m2840oU_60101080044G100nW03ZR00004010DG220 -G00000O1q000WGW100001E08i00008001092200000n0O08210Axt0000aG5GA1AW00i08uI -ALIFY1004W0W02G0040W0GW00004G08f28WWH49XW00009Wa000040800014062HIfqWJwCW -0080000810011cdWK0W2004bG0a0Uxp02010W204cJt000H7IW0100W00AY0OR@J0mI0iyC3 -0X04401G00X1PNR30a0KI2W100400006000000WWRG80ie1W0000W4H400W3020180I4t00L -3000fL80003021X00GC90048P2Ce1000O2000W10X08008w6422IU1000X_qh4G00xl84000 -04220HV1JKq9000020q20000G500000G00F_W2UyD30gJ6000215Wg20009A00q_l1O70000 -0K00GZJ08Y80000m15LbdP000000qV000000m0S38mT7Y00WG30WKpD81GCceO300m@@LR06 -2F0mhA000UC100_hgN1000Wbi20KZ000i600W1e0GJ03WEWE200TaqkD000m501mR0N460@C -0YyE10u7W0GJmT6NWQ400MH00WY000O000q180e9eZa5N200olP600WB00WVW3GVS6SjF900 -30JTrWmmPWAWR600LWUrPeq@40G41W1Y002e2800WA1mC07jR0T00G020000300000WV0000 -G4l0WFK1egwf@B18i6M1elS0000UccXtz3H5000000G0y302G4H0008004H40GmCkxF00_P0 -VlTotOFS6S2b@B1000Ko2000001ouAXlvW9BA3EhtWaSOukH6U8F1004H3_oGatFiE_30408 -6Ct000bw002WUgrWexsuwS3AwtWClDO6T6000GShY40080oxEX7rJu@fJ_LtWZuJ0iZ0GHnF -yq79@admJv6ybi1PmXHpu9S@k1LXdma_F0O0We9zA000W9S0004000004avH2PbO3Q3dXf_J -uU53snt020000G00100W0505000201GO007I8W001000023G4a0020004010W04000CG0W00 -04W0200010003W4A40010028O00010Wqih6G020000000WNY@@J0W300400W2tD000e00aWW -nNJ00080100A8A10NBc00501W008K8205Y80009G10W8W1L0020W000300XWeWG8a1g0000X -0G020X04G0002G01G84106820GG82SWG4G0014IG6000Y400I05K0AgYG9048g4G0m8440WW -0H0G4022e0UYGW3002G0110000Gb4K10e08W00X88G0G8000G1O7r64Nh1850WX80W0403Ol -S3000W00G04WW2CY01203C0GW40000W00G1050W14842m00W0G0G0010902G1K11C0G2K014 -e0054W04W01G0048W0092100am0048002W0WG0101W04080094G0000H0G0Z4W1XCW00022H -088G0WLWL4XW20080G020000SQ3e00014W0040GWO28100W02e4sD030I0000B00C9000014 -00W0288uO300GW0AW10G00440001M02W010010138202Ay45081000OW000W0X000220vEP3 -808a0e081W280W00482Y4K00GHW80100811H00241800X40K0Se310GY0Gq000W00WGI0002 -00apssWXsC00WgDW00et2DuSE3wwFXr@I0W2002004000m0GG062n04W1WWXGW121W00010C -a020801WG010G000005000Y10G200W00J012G8a0W0408000G0a1qA@CG04GyNnD6_t000O4 -0W84Q3t0Ww10TuR0200m7DJ8st40G004OV2a1041W00004100I0000J2440801018G4P0004 -01YC004020C200G40k9t0I004400410001040002G0080000080m0a0GAGa_l10WOY40W000 -001002mmP6020G004510G0WPqJOwN30001w400m0050000009210200wzFXuIJ0W0C000074 -00000010W90Sgl180000G000404OTS30008200G082C00W0000X11SR08008W01W0GeC10C0 -0000440a000000a00O000Yjp00008n7OG3YCG4W084q700aQ3G000008Gfj60CK0010I4040 -nAxD000WO8t64Fl102000WGG80200400W00G2K80WY400onp0G00H0G0000WK0W0200080W0 -0000100800l1F1O2019GO00X0G8008H4Rm7_60010000Wmwp9C5l1B@R0001200000gP000a -00040w563ouiYMvD00W002100G005Y08380008000OrT3042G2000000aHI@6WWY0X0C0804 -WOe04H2W40050A002O0F0G20H68AmeW0a1Q0203000G008A04110000A0040002W02100W08 -W000H0e00BuRW092041404W20000WW500W00A26WGG82100WY8GiWG0002SyV3W800WH000G -00800OG10G8000KW00314c61mAK2GH802506GXv08YAG0XWGG55X00d23r8G1Y02208YH120 -e208884GGOGCQ0804M10e00f01W000A0200WW0Wq2W0WCW1G441008010040120100W00W84 -Kbe104404180itl1K40WW000000Y4W04C0G000W208gG80200qTk1pAc000e20m20004100X -01P100J06101K1W2824XW08A0020120W0G019100GaA0W0000WY0W008uU30W0000002H08o -Lx60002X200W000000K9W54b00K0100IG0004b0808840K200W09G00b04090000I90W02C1 -0b00X8008WW2000eJ6000b0G085ACCXMZUOZ26G0XA00Y00000LAk6aId18080IndX0@J080 -0Gvs6iNj1W200000O20000106008000GW80WGG001400CB460G0H0W011408G30GO82W020G -0I1aG8GaIJD0000hp1W00020800WkPIYTnD00A000820WG00hVQG6l9002GfvU3G00AWG08g -Cy4Acz10G0004080401000m02W00W00C1C0m20000m08032W00Y2W8H4040J06H10G000000 -mJ020417040000204GWY0200W100WmB2O004020X8R00W00010Wb@zmLo68600G00G060W00 -804W04188002W050004W000610K000G000100001W008000003W00l3d02G40Ge0G0400198 -000e040012G813920WG5481002_kZ102440200100J480000XW0W081001W80I00041Y0000 -GNFG0G9000202Zq0400G00200084C0V2H7RW002000400G88KZ02042WGCa03X8602X0K0YH -000W0WK000100010082010p2RmS@90600OwS300B9qwl1G40u0G00W0GeZ64Z0081H0G0020 -0410zbeG00GBqB1Y100aW8007W000G0WcR840as0000GcJC0000iOY00awa4f8p004101000 -0810_GcXw2CW800mNy645W10E0W0U00WP0tt@0kAr9YC000u20Ow5008A0WV6egf6mm7U0uV -BmCp51VLB2W@N4ymJ50_7JP2FLgQHCbvYmBp5X7y92W@n400GLLU60000O00W@100mj4W0uV -F000xyR0m000004000E0ctFXGqC0010W@T70o0G0400tLL5000Wewl33010Ayhi1000W00@@ -B000G0t7G4R60G0e2W1W1032W4uI00g080e2GXm5mA20WL405uC0AWt0K0k0l0E3SHy3uo00 -udb2G2070l1SHw0yp00eZ000000GyQ80005100S1G0W0m5X1020WToI8WQ340600000IH00G -q0903031606000LWOuO0G5H5030006400W_000m1G4tfmF0W8kX78c10cgA0c1K000U0_7xA -m020300OW520p0Ysr01Cm000050y@0m0008@@4S7S0000KtO00mWu9mbW2m@w30u00Op1500 -G03UkF1040WR3Rmuh6000_N05000UcPi3030400800000o3W2V000000r7Z700A040000u0W -ueh7wXZaym310mAPjuRaKj4pNZHCv90000PaI3G04K02004W00Ocj94Dk1JVR00010G200W0 -G0__EX5uC8PS325t022107iR08U70008Wj1O0000eFsC0000OCRCaZk4TunGtsFCHk100000 -420biU5@cRmD1CKmk402000008_XU2Q400_8WXgsJeFWA2yF1G040dvw10G000100W0GWUop -WtVCOFz4gfp0002WdcdG306CbG2Nsp000ycm0O8pC3IP8XK09fnx4owF1200800A100KW000 -0G1002X8G00408AI520G1008240004004G02G008800424W008000A04G04G0GK40900000G -0011000000K202M80@@R0eI0000002004008uG80000800008000W00G8005HW00400H0G00 -8G000Y27cd0008W2eCG00000401020000K00YA09003K88Y00WWG120HOW04801CZGK0K08G -2G0b18G000WO10W48WKYO4e0W020L4010002KaW8900n094e0G04G0O000800OAG4W0W0041 -0WoA00200IO821H00000py1408020K0180008G4aG140400014040A0060010W04K00A8000 -GG48020254KWWQ8KK00XA00GWGG0843AX544028X0K0190WW00020000200WGWCYG0804m01 -088KG100801GW2o0000WX1WA04000G11e0300G00JX000040AY00800W08W4WG2G020H00W0 -00012O0W000200KX9G8m00H08G400008Y41001WG0282002W8W0eC000400I0006WY8000G0 -0a004H0Y2080101aY005W0G4WW2000021X000G1080H4801810010000030WH002084G8010 -40000W08n00WW1050G8M004K1006O0K04G40X0GXGI4110804W01G0G08040W8X340234Ge0 -00GW0W20C050035000030W000KG000W0A4011000000a_7W00000800044G1X00Sjf101000 -00m0O9020W0H3x6G08000040W1G40eI001200G0KW00G1G1600025m0I0G90G00H0900W0KG -0mCz6qOl1G4H1@it00008VNd000GWZgC000O0000100010440wOq00Y8000000028UTT201W -40000eH00OVQ3O410qnF3G008_@tWNgD000000GaeXiDu2y4Q@t000m83sR0002081000008 -40024Wk1Lzc00880W8000A002dB1W410f_R0O0800GG4Y0200CG2208000WW00G08823000H -1a110000100H230Y80I000rhPm8uCyia1lbRWC00WXoD0222005KWtjDG00a000059A08000 -21002X00004JWGzP601010080uNu6iDb1jkd00G4040G0040410G00004100HGc@60108040 -0410WfXnD00014140040160000097000200200200024090e8W0WW4000G000100000J8I0W -m088000002WDaW000G8G6801040HYG041201e01000G00000W1W80086000660eeL34008CB -V2W00100400200W0004Y2000020002WY8A1GW00000200800101G000000G000022400_5tW -e@D0000ZYXG0000041WO0HGG00E020082X48H1201W4a8490e0G048t_40024000WG6G00G0 -00C0W0G0880440820002G208W010A32040G000G02020X08utw6G0C000042008WO6C02000 -0W0G0W10W000WA001W2W00G00XG10GW0000X0G145GH1002105YG44WGiWeG6H0881A48000 -GC4G801W4W80Kn00CWK000101120220B00WG0eY0K1oW089017I0461400450092004I400X -8230C088WG1GG00G0cn45WGG0020495YmW00oIG0W5400W1eW00000Y1aHE2W080800O41e0 -01681W82840880W0W4006WC000H0G4W0e840124W8G0igg0A00H5W12Y1G4YA0HY8X000aW4 -GW3O0G0WI0008_5G1IW408GG04nCG0GAc8Y00Yg104100G1HW04mWW0104A002W000W8wW40 -06H41JWGKPMW82CA0X404WC0K211100206H2h5Me8G8G4X28WG0008W40000WIA1000008g4 -00000410A1010004eKA0C00b8080000KI90200001508000WIZW20020002Ae0W0400We240 -a00G40g4000471G0VZQ014008e41budGJS94ml1A0004G001050210000W2WwyD8fL6EwE1G -0000082G000000C010e0010K2GW200000014a2W10G1000e0W0b0000G028020000a408sWt -004200WH00044000C00Y90W00KcI0000Ea000000W100002G0G040a2p38100m400000G4G0 -240KL73H_RGer6iSU200011220p1W0Y4W1W3408G10E0q00440_0K000G006G0e020W888W8 -0W4W0WG20m_0E21ZO4M40842p00828EGv004H8y0c0320YWWe00CJ00GcWGG000G800W40Wf -rO00e01WG000a20000000G0420WmJl600HO0220100m08504m0800080SkH200000048W001 -0400qCm600401400218I02G00G091aA0A08G0G2048a00048W0W214XW01YK0G0W1c8IW000 -40040200G20G10GW20G003W4H800W080Kf020408G049muc6Od811a60000H20420086Y14G -40000G009W002208120W00K30mG02XW0840GW484098a80OG00WWHG820000020O98Y0G805 -a08404G80KW000000K0W120mNW8068W0Y0Y0G102820_25f08XNe04640WE1H08G41020001 -00W8O9040W02WW00002801Y000G40W820C2000OsG002CkU2000Q10000G20u8O900C94Ek1 -DE@GIy6CnU200WCjeggQH0_7cm3FggwVKLrY0Fy5J1m0CpCcOcPgKr@Kfg5nKcB2G2S90Ogg -ok0_f0WuE1WOM2GSn1W@@3BW150OEB01E0KMP0040002y020W1M00W0LX2CKzVz0lq086108 -2m000020004Cm3Wg0uTG000G8Y0_d00e012O01000W7J@920W0000G0p080u7L1e0000020G -G0000100k206E8I7uTaEmFmTWtWx0@0t1H2k3YyWK0em1GU0lff0k1k0q4y3e3e3W6G70D2C -0Q4GJq8WE0L0D1w0s1q1e1e3H31000GKt0WH0D100O0K0m0W1W1mC3W8mVG0O0OMS688000L -00GCS945060WxWWOt1HLcH4mpZfgO5Gd745eF8MHVG0R_WO0@KWBSfiIy3nT22mp543SB84t -L0Yx2Y0_P4NyyeioWGSn11uF3YoA74Wd7G0FFnA_PALPG0wyW06000AY@C8AhC02000zr@00 -W@foC10kw3uL@7G@w7W@90_010W088L300p000iW200088S7000q@00W00Wg0m@_300G40cv -sV00200I11000yx@0KujzG0820q9k1rhQG_qFioz300G000Cak@@9dZNHo0FK4B3dzBnOh6K -bD3@kPmKRC4rl10080Y@sWcyC08r2m@@dqGE39fdm3wgytl7z_NHPw6000WJx00mp0vixE3j -yvnE@64xl10H0025s000059od0G00W@_D00002801Y78I00e0000Dt@@FvnP3_SuXZtb0004 -2WG0000LGc084000103800A000a01000200100004W04G0108580200W000W120000824G00 -004Kjw602001AeWmpw6000G020004000G7607kR00200G000W010028000002811Gh0604G0 -9203002000GG0480oxN6000020m0040010002BmR00G100800004G0Cg0000048W02014G4I -0A05K0G2G0I0201058201000G2G00G018n00G2000028Q008am8e840W0A04180W0W000G0G -GW02m840G0880Y00100007D1WG000Y80G012W02G018dU3040014000G0G801000G2K0080G -80W00000108WW024004845GGG808200000W24001G008e02KGW00000084o0X221000900Y0 -0W00oW2Xf04m8WC01188080O0G01400020328W0W012400CW000400G118G10G01qtz6084G -K000C0029008820500G0000mK408X00080000201GWAzFXQoC008100008O00G000404W100 -00G00K00100808C020G001000400202W0180000W00mW000400K004G0200E01350W0WW002 -0yqX1n5Q04010014I000C00I0100200004WW13G013088401400G010e010G0101104pGQ00 -2080000WEU00000G8001GG0GTu606W010020GX00W08G0040040W0K00CbV30GG00H801e00 -80200GW0802O0000W20000G002e00c5jD0001myq6W020H0K000000G0W4000m0q0GW0410m -G04WIW00W000W80U_t01402htR0908e5UC004G000G2Y0000001mG00000WlwO3URsWgeD8O -Q30C0000300210GSu60Y804020202028W40hbO040000W24nwRmfS9001G40088H4W6800W2 -1000W0W01c002G00Y2W0G80W0W02EiBXM_D049200542G000G60000030000001500204004 -0W8002kq004hF0000b9m2cnj1FcdGDk600H410Wn00O00004000a080000GW000028040106 -04TyR08000W0G8G050006W0a0G028000004G00We400800002GW0G0WW0W08000GG4G80004 -0W000O0068W000GWHRwP002m00010004Cox8X4JD89A304000uQ0000000GG8K0080G40W60 -0WGG002000080mmsD0000o4x6CLW10020000G0214K001q9k60080040WPlx608C000H0q6@ -6020G01G0030004140TpR0000I2040W0O0W001008C10G00W342W0000008F3t0W01GW0000 -G00W2140YY0041G00G02000WCF40W20800O0082040O320W400008G00o00W80A1080000W0 -08000000W404G0Gwz6W0a008200140WqzD00KW080004010000W01008W09202Cee0K00G84 -001k0I1GGX03G00K0K108G441403W40D40940W8CH008040M4g2c18WW84WW0G4104X0X800 -XH0G9mXm07CZe00G4Co1002000pueWeGm448H46OH41Y0Hu21GLLW0IG90K020828G00008W -A00I80W0200W4He0G000G4004124CGG8C2C44Ge29400GW02W8088G0K0IWG188GW10169HC -AG0Y0YWAh2GXYHA0206aWW8W2be0I0iM8A0CAe0YW4300WW80X10GXP108J0K02021o0mGW1 -4YW2L8X0088W40O9G2W0040049C1000e0S000WxJ000WG88AG4C0I4Xe0n5uD0I011IZHGGW -CfaP4200n08000W80HCW00OI0Yo02qW41IW282HX0aG00002092Y0aW01IXY28424g00a0L1 -0i426010200G4qXi10040000A00300040mMR6KZl1JvR0X00Wu@J0000800GistP00230000 -0000qG40C8WG0G1b0000a0YK00082000100G500W01AFS680000004000e020G00WW282000 -W880023001O40K000a000G1Y0W10010000840001040K08200GW80m000OgL6kTE140W2XQ@ -m@@600A18PR60C0000urY8aW0C04WgwI0G00011002050xwRGn_6000G00080001800WO7OQ -0040008C00090kot000G80G40G0010700G20002W0WACDuG830044iv435NOGma9qul1IG0G -s@dXS5De8S30GrW0GG0G80G0120WqqJ000m0020G000GFLo0040H40G00G00O0089080Ws58 -4G02WKDD000O000W0000G00104G000G24040W08H100000XEO0240G4000000AC400000G00 -C4W1004400880000G02X0G00000201WeDAD0300W00WH0400008010WG000WqV1G000W0820 -0000A010009Ga0a000e09Gm00200G0H0W0000000K090002018G8X1008a0X440W2n004G00 -G0WmNeR001100000W44000H026800202GJ_6000WH00004J00o0W0fJz0H00Wk7O00G8mO_6 -0A008Nm7008dXs00000s00000IW0000020040SIl1PxRmEz9002100I0020000e4GT0O000W -04A0WG002A28100000WW@50@@B0in6ru@FWM7KYvV0eio0mCH0udA00mgJ4WGM1AyFW2C0ib -V0DpT0W7p0006Su1BG17Y1004W8gBWw150JCX005U0y33ypV6udA0W@@303000O5EB10jy0u -rNXr7@3CnKWL700rNF0A000_@X004080WPGMo0O6@30GO6W2mC0m1F00800_60w600KG1000 -400wJ000G30@@d@0W000800WV0K500oPpWmmDG0g0eW00GX0009knGQt64xM5PlL1000KKM6 -00GH00WA01051CyD9W1G553d100WG000A200m0W0e80303WA200C000m8000C000O0A0K4G1 -W1W210050008p00400CW5e20uwF0w7G000_zB0_FN000Y0OWV06z@WDS01HG1Wg000W06WlP -6000F04nT40my8Be0Kb@1Clk308G10tudhTzlvd1U0440_7X0000003A800e870VxV00m60e -W@fg00W000G@F00G00W@@c@0105K000000SrL0CF00u@z1W00WWxl700W80W00nzV0820000 -000AK1uVE302wt13000O2Wk1e8SXEg@1m800jfLKzzRSSs6Zd722000000iG700QAGh9qzOM -kJ00uS7fWMrm3pWVO0Cx1Ox1dkfpWpyJ0A00mdy68000uEC3EcNYXtJuwR30G81aWF3000eL -D00KInIW000UtqW8fD0W80Gr_9yj@3JJR0W04W5_3100VQe5RyDR2l_BHPYIqll1000WG220 -iZk1000GGGG00000QcV30200jrE3G000YzoW85UOZH9uBA0KHF6TtAHQ8U4zV2P2Wn4V9SEl -70014Azd1000kq640s4Pcc7Lfb0IUot300D9VU0Mz8RSsv90CA0oG9jvCLPzJ3wLt0000qq4 -00QSPib1ABLKR000G01008fqPQWc100gevo4LzmFqKQ5FnIIs390yH0OLee42000000W0200 -00W00G00bqMnahai_l1000WyA00iK0L000G6lrWPUKvtN90GM0000000GbTQ6y762d0500wy -s0G000T0aG@my00WHE85BN4Z1Wo10Bkm90020000ea400ADBg6sDW001Gpq@00eY0X81000C -iqre20040010W60Cu9CUgyt0moF0pmHrbZ6Cwe1@S@3000MS100zrv4030100O0G024000G0 -180K000neo6K709txzW0_qG0I0000aT3sp900048100G228H200000W49WW40009zRH204X0 -W480poR000X8000008G2000WW1000iK3m2WE10892019Y400004aW000009H220HIGE400S0 -XJ0000mX30000vW0E008ScJA0F4503088E00000IYy40Ya0000MGc3112O000WZVyJ8L0II1 -W2S008u400wrv@TX_FNf@lbw@Qj_VMi@ZLx@FT7Zls3mUT@dtt@t5_VTZ@FNv@nT_@Rf@tsw -@hr_VQl@VMy@bD@@Or@7sz@Vb@VNx@lL@@Pz@@xwK9400u@@b00KJdiVK0801Zxt90D90pKd -F0G01000000H41020qu@F00gIIsFA1W000000010HiJX19_BaOQ5WbzTA7V38Y0000001820 -00000Y0W0H_@3H00W2xZ20000800204I01_N400WpMFY202004040000028W0WH4480008hW -V00A0iilJ00m0W84K8W0n0YH0C01000000nKOmb@v000W6F00GB_E10H201ne0b0000600K0 -000000y0W1vxd3000iu_Z20W8A00W000W60100_@l8Gz10RF45H0000004200000WWy@W19S -y3Z00WzHe20200000H0800RA8400Wa@@T200Gh08080s0W@@R008C00000Q000_@d7GeB0@@ -x40yFHggA0@@x4O00W@@TI10K0d100Y0C044W0820100G4u@@V00Kd_@lJ00Wf1Wbf1Wqi4B -m@03pBJiCD0OPQ0O600y@FFWW00_@@@@@@@@@m1000UlbGvZq50000800000G00800iWpF@@ -d08@1W85c7040000080040000004004fWbnR9q@@F0gn1u@VmEJx700Gei600INBA0O00jFa -m@@2100dz@@eMem00200HSX4Wv6W@@T200W400O0W00810c0082Wa2_1Q00m@@E1080X0G0G -h78100000GJx@@H1281080f0004WOzIuWaV0Pu0qHPK0G48G000W000O6E3opu7000aXcw40 -000N01W0G000800b@e1fKA40W6_@@ZI120400G0X0020010gzqWyw_1GP1m@@E10Yb0m0W0J -40WPFD0G000GGIayH_X@00m@@E1003ARM300Lh_@VH00eD_@t900m0080D100C1K000G4m40 -0000J00@@@3845W@@@FCiF@@V90T10HAyVa2P284G8Vj42aoI00000140YAsf7bCu@@b00GH -03008IXb00aZz@@@HSBK_n64BSKfHBq@@F0WOTVGXC@@F1Gz7050_90008h300jzxa080Wm2 -T2003x@@H110GG004mXjS200G00X205K0Wy_N2Y00GXzHX0G0ek@b000ydiVKW240Yxt9GD1 -0Bxx4020mIXS2000ah10WczT2X30OHu@q_@3000kIaUU04000020004WaxvIm700sczA0WPk -1_N40Wwfmm@@Bz@xYNzPuG2000TOuHbs@@PFy@@@@ldw@vn_@Tk@N7y@p9@VSq@@cz@jX@@Q -w@d6@@dv@@@@@F2_@Xe@@7y@tX@@Zp_Vuk@@Dy@TB@@sq@djz@NZ@Vrw@FD@@Hx@@@@@@@@@ -Zv@@@@@Ve@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@g3qu10010m@@@@@lG00su0002y@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@d00CX@@V3G000@@Z4000m60Cu@@P0030y@@d000u8400y@F60040_@VfL6Cuc -XPYKmihlO000Gm@@C00W0u62C_1B1GoF07Ker@@290000000j500W@@bG004GK0Fy@@Fv9mG -a2C4sn60G00INm000H0NU8n73FSoMNPFWn@@C0Ax1OGYG_@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@OlDBaBXJ0002__0Zrtc1Xh9HgRI0001eeC90yc0K1NKvlDJWKUO200u@@n0400SXt30 -00GITJ20004@sbGyP9008Gh2Ejc3Za2sC8Wv4Uup0400W0G000400CJd10x10@@t00050010 -0008004001H00GH6Z1G00O6G301W00K0008028000GG000fBO080400218W000000WXG0000 -020G00m99YAhFI000400002000q30600G0AI1301GW04W008G04000000_qW000000G000Wv -@@40W80ijtOf4OGsW6000100G0mvW6ike10W006h81WZ50X4Q0G000W040004004005I1O08 -G0000WT0f101008010afd1000W1G0000801204WG000000G0GG8000G3O00eCI3W000CWt6X -Yi40W4Ga0080000E7m00400W000w7m000W100100008GG200240W0W00000008e500040304 -004W08008W0007zTo@@v0W800000000C28000020410040G00WP01000000G08000HoMq000 -1GxFO03000G030800000A004G00A002001W@Db0020Gp29y@@FI000G44000040041000000 -0WPzNO00W000I10004003000240e2K3002201000000Z6W4WdHDeZa40004z@@L000c000i0 -1H0X0002HY100840GaP00Wm0Gn00W00G21000m0WIAW0Wn442G0L94H802bWX88140000c12 -18G20K40Oe0020GW61000G8MJGYr7B200004100008W040SG2W8I0000W40000a08W0Ga180 -100120Y20m02108002X180040030GW004K5000e85mDLC00G08e0000080G2022Sz104009y -K400018000K200020PI0b040G9b1KI1409GWCJWG4X0G0e0WIW801020A04000100f200W88 -04Be4000Sl200I00004G0WC0G40010008YKGPW0000bW4aFAG205L400W0Y00XC500G04W00 -G401004H8000G00000I1044GW8G04m0020W859200G4088GY1G2000mF100WG000180W00W1 -0W000W1W2GZf90000100G0520WWFB20000G8000W00g0I0G4000860100000Y06011008204 -1000000X0488W000H42a000H0a0W8G010I00002a00130W0800e8220001080OS99y@l1080 -48000W000w@VX0002EVg1A00000W80G0000005020840000A0200000084X4004000000090 -0400G900XX00000j922800004W0X000Y0H000Y210411mcGdP5NL00500000qB4000000l00 -0dec000S5G00000m400000GW0G00G0Q8408y2000UM170400251Y0G00200820S00000e80y -@l100K0000010A5000GwjB5H4H000kI4Y004u60100000G0CGL0m6sGS158YR10m72GX050W -pA0_X1Lge2@NtV0G400b_083000y6pq2ggg5PcPOgggegAu1W@B20004y00m@@NnO00WPWP6 -00pC00W80001100K14082OcP6W820WP600e8000L000L020Q2AHu2GX00W2300000G9sY0G1 -S1W2u2O5m5mAYBWL400A808u@@4m50000mBu@Va0W8m300O0HW70G150CG40W1c000141000 -NcC300008V5W20000GU08gRLLsp4NC00FpLUD00WUF4200W0G88K8KjA000015ei63_@@yAl -V8sR6_Yo000000400100G0GG0ifxD000056k4hSPmLpGs3sOnUp0000mWhD8I1302W02200e -xyD0bW0Kak4ZhRGISK9001ueQ30K0080000001WG400W020XTR0080400002010800803005 -800W1G1K0000K60GYRt000W004001008000mepSXIW@10002zJOm@@6W0010000800a84000 -84G28480A2000000100W441400G001KW02440008204O0000Yu040010W400WW00W2002000 -0Y0PZdWG00WwvJ8VC3_hEdMpD0G80OIO6010G00000GG010W0BV_mmEI600W0mG121A02004 -02040001S0CWU20400G8000100GW004004WrQC8T@bkcF1040G020044000GW008004K26GW -G200G0000001HCW000W01040W008000H8WGZ00001sW02W00O100W000HAvpWNmUunU3oi@7 -08Y87ndGB_646l19vBHdc60WW000G001480008000EF2QB10W8YbRAnf6IKG9F000WgVq000 -G400080020000204G0008WYftD000A0801YuFJ0G0W01100X_L40000800W0029000040W04 -K01042000022m00089q4gWy70010BSP0000100408000W00000Y82082G6f9y3W180W0W04I -m400ykU30400000WcB000100Wh7DOcI6g0jYVsj1000Ht@600P000000400aQ9D01000G0W4 -G001Hnd09000000IIG00000O0a049zV300Kn2000WG0m0AW4000008024000XKQb1BQO0001 -080009s@30G04H410G010K400800u000100K1HWWIG0W0G00g0Cfe108m000W000a520wea0 -010G94G4HeW0gG0WG000GM5W00802W08014C414O001162100D00G0800K0WO0080cR16pxt -2014WUUC00028002040500W0080X410692082eW0008W860000W0f0W00b00GGY90X08I060 -W040050100WpgG0W8840I4OWG400W000200W0KG00000G0G8400000020zh86frQ0008m@@9 -X00O60KG1040X080W4A008B0IYI4Y10G0OAe000WG0oxq040W208a4WW00G900YGX425014O -Ga90G9000GP53d10Y881WK0082000I1Gxg6a2G2PnzmfgF8080WI00maXXyog1m400m81G00 -200X0G000005X009Tc0000G010004W08004G48m0000W04GA0080800000J0WH21004G0041 -0W00102001200W008KM00GA0600200000wKbLyIZ10002e000i4O8W0000m40GG0e0001000 -W800WY0H030W10GWGW0O8Y000C60180800040e00020002884C00M050e12a000Wnc0PG118 -9G070GX040000C0400094I0G07a40eUYDWW88060WWAHt0G1YmbGXKYh10G00000G1G00100 -K00WW4G22HG00I00G422000240W400I10206G06C420e42H4880W2000200000cB41G88022 -40041e80bmSCOc830004G0B0008WGYf6qfy64000UIqW2SzG300Gzj60228008l2281WK0C0 -0K2mhl60W4e00001090WVcD004G0A001l000WCN8YXZ1001C2000924GW000CBk40101a5N2 -@@p000W220A08b0W_@@4000yK500YAp000G00egk00G01J00W2A0m@@600k2W2A3WuZ00G3l -w6pV30000wp0a1000Y_oS1m3@YW7UC1m@Kfg@nKcBYfCN40Pk8yWVH0yFcCZPggYgW7k51mV -9gA0frn300GG00W8010g0Y8S1eY00eh1002100W000G70104WQ4H0G0002H000Y100C600W1 -e003030NWAICS6000K86k700m51W2A205m50AW90N0J0c8c0CH00OY0O7kAK000WB0000W3m -hsXGL020m5Z90W64u206yF000000up00qU0000yO7@3004HLK00c@B0H2N0ChA0400OY00y@ -30u@l0y@l106G@50sCB080WvGuFg3aP4nT00wh0eSi102@10000xcu42000cq00GjRdSN_F9 -cRGLtp00G@VCR60001qAy393RGrm5z8UEWWV0Yo6ZQkBw0U9AFdazrP0000ce00W1tPuklAk -s3902002000W0008000ud_4010010000800qejFS8k1G00000Wf000W8EW402000W0000820 -0m208200T2ZnomR0000CFtG64oWdwDOh_42Np008000H110002W010W0g000G802000W5N10 -W0W00G00014004093W2WG000W000Mtk1vEd0O00Wv_JOmN9W000iZC3pNj10G0W306O6k4Av -dXkOJW0000008WBsD00C00000QD500m00000000120020F08080000700WO0H4GqFT2JaQm@ -@90060OlyPY@d10100rIamkz6yNk10004004801000204002G30001080000yS1000040WOX -y6W0040G20GShCi163xsZnT06i@FF082G_Z@1000Ahxd0GX3W@@JGI00201400004LnR04G0 -X4jC81m46uNB4G001xRGLt682010008mJP600022_0W0200G00008W100400008104002201 -GK0W4W000m04000000a00005000080nczpHkO0000100OyPy600W4hRQ3004W000a4040000 -NQW9080K4000O0Mml10040000ayBl13oRGsu6aT_L000A04004ik10480MrEXspD08001000 -004E00G0200aH2000200G0600WLvC8nU3440404284000WG0WWSNSgn@74G408Y80vhJ3020 -100GY00m80H00812G4000eb48H0AK104O8245W600e6W000508W21W11YWCW0001IW040478 -000H001100W0Gs3K9K8000GGC00W80088000a100L000O4W21W1G520G080a8000WWBGIK00 -134W240O40K200003003W0440G000W02121204e0000q02W0I020GNpHzxl12100e400axl1 -0001040A000G000e02008G00WK2000Hv0GI00uMU30000H40002000W000a1010280W80800 -W0000G2080eAnD0G0000C3WZ2SAs@40W80Dbl18g80000YH100200J0000Aj00Lp@R0210WH -vC002G0508000018G430GH0W0000011008G100A09XOGlzH10202W000209000088040000C -0a24204801000220000gKL8000G0000003W3100WWX0851800602e0W0010WW10X0002eG04 -2020820042AGp3ETvV220G8000WH48W2W02W1W000390G010m02W0X80020000k0W6lD0W0Y -0000100G1001008W58240uVT3W01G8W20020000B0Yn2DeAUacyd10G10000GhSn04000zKQ -Gfu6000W640030WW00000800WYXp00Ge8W062YXZXiuC00400051nl@2PZVOM6qWHWC0H0Kb -80000G4qW600K48G003C0000000GJq70gM50KjA5CZP00m@W7k5egAB2GcM4W@j80@3JuX7c -P_FCpSnK0C00@d80_7J000co400A5V9A200W0es00010004100e80000W206000pYXB10BT0 -000C000O0m2m0W1W103200640A880K060e0C0K1O0eWm0mK0C0G0K040e08080XmaGy_510P -410005@@50_V60WxC0egP0000mm00000000u7000FW_100GfRw3W0_70KNb22uFSYpVmWV00 -vh04Gn1WgL30LhICgM5hiiAOuB0W_I0e2G2tsSplG00U66rt00402JNtLI@y0OK1uyV9wDAg -W_@1FW0Gjjgy7TBdJX4001Z@TVeWD3EUmfrhJufK32xBaMiD000W08000W0000s906ED1008 -04000APLY@JzONO9W00WkHF6JfBnFx6ywd4000c0202W00000003300020000G008W00iVk1 -TtRGnt6G005eqSXQYdXdIbeGS3_@N20G0W2020000000mVO5T3W000000W8fF32J@XOPW184 -0Gtx6KUkAla@G1w6030002100G000GS80bIR0028W0XD8Dz440000W00QDOIYbUcZS810041 -8002000ye500M4Z18010G1Y8IM@afUP8jN6sTpWB_vfuD308G0008000XgNB_C0810AW4a08 -00yTtFW004001180000SE3Gnz9000404040G00W8uv10H00008420W8000H2lF7O000nLd00 -00An100ZX6500100GC0LuBnH@d0004W80002GW010500W8000OftqV2040041W8W00G40W00 -000eQKj100W84W0H8GK00000G4W0CplD00H0000WW4G0214900100Wv809Ap0IW04I10Ga00 -02FuaTLh04G2mqR6SUVEW2002fqW2xDG200GtiC0I00OgtV00W010H88_dY0300002000mRG -riO4@VEu1C2W00G080081KFsxs30002G0G0Q@t0WP80B2p00100X000N@n30Y202030tjRGJ -lvWY0000108800O0C00000mpU81I000000200800W10ezV30290y@lD00eLYv@dsbJ00Y000 -0w_3tV08I0mrey0002G002O_q@00bL60000@hE0KTV0W0000mU0Syl100X500000z@3ey@4e -bM0a4kAmC00IjF10W100G50100WlkOE003000H0A0004G000000UN1005@R00e20001Wrvcm -j@6qID9H181G1WPc1000WMA3I97@300000mZxt000nX1Bm0@10000uv7PoGjPD_iMZTIp000 -02P000v8wK23d@Gz3W830s2Eg3lDe4T3k8cdAmD00009hX0G0404WGG00000000Gw2SC2zK5 -0Ge0VE@JFz6000020200040000Yi400G0a0220G4WWW80P00WhkCW020000O080W038mJB@@ -K6N2W8780108038W00000020L1X000000GG00000085d4MsZa@@J000WKXx6irl1BTpZ0001 -0000000Or1200G01GG20e021YHROemf46tvdLzgOSCIo@F100JnHkP00C30001000W000041 -00H8m@4ot1600020W0400010W81OkF34900aEDC0W0Y000G00Y0u@V34W60IW0Y8EN34010W -80WG41000m42W000600O0001G400u@@M00220400X000200G0K0408200G404azGEW404000 -mwT00040000820G00X8G22WI001000gD33O000qO_C0020YYF10090FedZ180ml_D00mc1Y0 -41040dntR08040400480000040G00W0100mqwm000200H82iGC8G020Y00W020082008YAO0 -00Y000K0021muZ60AQH400B202G4g218Y2168W600WP000O400400rW4400WGHC00qdVB0W4 -41G040000WYY00M0WGYG0H41043u7684100064W800W40G0000gK9I8CW828E00WI12G0GZ2 -GJ5302eO49Om2kG0GOG0yGY17@t202014aX2FlR0b00WSnj12000504WSoD00WNIm@6G100e -10341000O00000000KIXy@j1020811W0A8Y80W82Y5mWP_X104500000o0000004G0000A_1 -0e00m8zC0000200W0000J40W6j@F30288G0000o000U0e00040180mE@pCwl10002G100000 -0IW000m24400000B08061500080G0680280400CGG40_@V68H4aG0a004W2082202I46G91e -PSdH000000A00808842000Kd2I01W0Y41O0000G0201000e08084Z29K2Lzi6ClBC0008428 -GWq4000081W1000G100000tQtcGxDW9000WhYWVxDua23Ykt04G000GA0y200C2jD00W0eWp -0m8p0040Gag1WRx608fyF30Q100000u40f100GGcf1Wbf10n5d5Mc6BcEDyW70iOQ0i4p0Of -q006YmC00W8mI@769100u200e3W0W8G512W8M1os02000LW8200Ce5TDW00005100O0A0K4m -0W1e200030004000GH00WP04000K10SRACl9O00W6F2000WuT0coA7qAJ0d_R0uBG30e030m -Cp011EWbf764Q00Mc60yCD08m1082Ci000Onq00Gxr_100WeWyA00084fVKnyd30WkeqyheG -pYku79mH20j_N1680WPpF4000hO10Wq4eAyP3oul800XOf_p0400anmJesyVMN@1H0107xD3 -040040000WE1GW000410100100012084000G00200SOF93jxHx6@i@k1G004000G1K00u@@4 -00A00O008I1LsuVZ@tV00a0IS@v00Wi528W000C0G00WfcRGIr6SOFFf9Rpn@R0a710004W0 -20000G408W00X80qGV2I0W02zB700e0xc@pC@602000004h1181420004000G04iHU200080 -18WSEeD000200010080u@@4I8@700psT_d000200020019Y100G81X08z33W4W0SzWV00000 -o4000W000HW0HW0eC9D0G400082eEaJ8uSO000GG0000H0G0000eBzD0021GxZvi0G104O04 -Wg4WW80W80834808mG20222040oO08GY2I02Ii9pqyl1008G2r19000yo3020000G820GW8G -20g80H014A2000W0A2H04K8A20081fZJv10X000W001040hxp30WF_t3COXS3Unt00e0W020 -0020AjaVEW00000X0qbT2zX@30v1WUmCu@V3010a000G20G00000K0G04J_d3401WdN2f2@J -0000RLmG0K008m200WH00A00180841m00W0W0a00104000hfPmd@me0W0G003mNg2j4j100u -cb00G010000010008850000WW4G82eY08000G4G8h@4Sl1000aot4cS@V00VG100000QY0f@ -pW4e000W1W8000oNV60020_71000y0a5sIi330WG30000eWMQ105I30000Bc60BDD08O10iK -Qi0Gimv010100020c000008IthdJCu6000hb1000Z100C160m082e2W100G50008000mO000 -605000O00CpTT00W7s@t0m3000kug400C300004QLA00O4iCD08WC002700X60WbfHGCSvid -zI000WSE00KOEOP8dp1y600e3fByhcbR9GTA0HAaPkp6000WM840Gl3A64V500A3Nd9Xurp4 -ee9014GWguIW020mDx94oEFbX@Jo_C0000QST3YK0oRuP00Wo2428WEFD000WGKu6COk17di -q@@@0sk1ehrSQ@qC00G0M400QcxdW3uSFrq0Qd0yD7LHkw4W000000eXKm90W0G00000O00Y -KGKG04G010009004ynavZO000004GG0000HA8nI00Xv600000ZW0400Oj55Zz@10uE0rmcGI -1PoA80080mEH42WqUciMcL1X34000O200m4W1000J00fuC@@zO00G0wkVL00qCNJUQbQcGR@ -m0sI3u2N3gFA@hQPerU3UQU600Mi40000W01KPXbdUR08C1000000410EhtfhVV000Wo_ljq -Dk1600000030000bF00010A0000000Y88802G000ugnMku7ZJpV0042mYoj08008IX400iEz -@V2zycGm_gqCMN0W10UZo0GOD00010HH0000000BX0mwy8L4V2TA94000OY201D86LDbFSyT -2RlC3000004FC0W006nF12000PxQGldTbdT5ZvB1008WpWD0aI3mefCaovIBF_p5_CeV0060 -04q36W5s@39tBHCvLW0000G00000II08C00eG0YzF1W000j5k4C0008200XKymqGLO0008OS -9Imt00_F0G000sLF100mWTFS5G000A000@@d0402WwSJ000e000110W0031mmr_9000WpL00 -00I00Af4000WIGcI4000GW8Wems4ZjoU20010000AyYf1Z_@008400G0000yOlxt040W800G -1GWYAqbPK010080G0y@V2pXymG_60Y34080GHd@L06000G1A010X0q020G04004G2G030X00 -20m00WzUN2821GRuUqfD6000W4000000WKw8080XY02Y000000a0H00800G202momHH2W0uS -V60080H600uZU6GW94200Q81@7000W09H0000x1Ai1S0m_B420W10XW080eWD420GR0WTSR4 -0m0mq@F0y00O7ibkys0n000a400wYFXUtO0000100G6W4000P00_@d10m40DmP00004ET200 -mR00WVW30D1t1w0k100qXAB2004W000W4WDum_VohtWfm0x@V90W10atlA0RF0M8FgvQd70m -kNfMZ5Lee0010wLMYqgOesmA0020C1d1000uD908y1W12800YcF100081Y@JW09ijh400400 -240aKE3bydGy0604008CS600G710040020mYu6K56I0000dUC100S0nF_GPrIaOU200G8IYK -20F100800W008004GeIS6YKudG2sepO3000Gyhj1PFK10G00040020000G010000pH04m706 -SHNN400000204ek15st2800000Hw4000YwtWYzIOTVg2IdaQ_betQ34aV00404400GI8f_jV -t6000eXN00KlV2XuQ90WWR010102e0010000248Q@kW000sGF6@DM100WWNcC0upH8WGeK02 -0I2000Y5MB0W0698II8lI00100000cSHW00000G0G00001e200Oteb_@d100G8nmnmTcd00X -0000100WO10WG0200We4008140O4EO000G0040QQpGkoWafSV000G00410O00080000A5000 -CH004G0500WBkDuxWM00G00020uMqG_fE10K0Itcg21000000G000W5F0000054808Gce64d -9CNZzm1oRi@i1nSgIhi600A0000tA00W2200000G000W8ynDI77@Gxg6KKD3987200G80010 -004q92000K0f00000GD1eNpD8W432l_aQ9D0GY0Gsu21Cm08P@DYCt0g2F00000W800CRsRd -wQm7sI01000J0JGFu600GaXP000C000G0E0W0mW008ZCWgDtgw10_C0g2F0Ju0Jv@90KI1e5 -U30100a8WDJdt_m@O00Gg8o8sIyVrzrR1000Yg10WGewgYSF6bY400IR0800k2tWlmDODS6Q -iUcYwJeqR3UmsWxitOqU9kQtWWsP08QAGes6G0028yvJQwMbvqCecQOk6@1000KwB0440010 -002u_R9w0tcKMnOydMoDc100aoZKcGYz6e400Odo@Jugbu@D0004eh00WLF@Frqp100W1Q00 -u1cVcC4C00IuH7Ju_tU0uD0u1@40000bDi1@Ct8000Kh100hxd008GqYzn300Kmk@O00OFSZ -22Rzt3WkF0v7xNsRX00005D00mezC0600OmM9gR_@OnDOBy7E_@1moA0@kfI5ssV0E9004nx -Wpo2pP0Sz3GPT_53Q8000mjA00SArd00MQp@ZmLiJ8fj423tWk@D00z0mri6CXdGHu@W000W -Jy39PR3Uwt3000acM008000G210y@@4kAnW6@b0010GFoaS4i10001_cd40800d2pGmmI0G0 -000mQnxw6SkU2Jsd00W0WUnV0100mjKyCZw6RuAnxT900000Cu2000000W30dpbG_w8bmv@7 -a_V1h@FGhtPp7208Gapn31000_wz6WG008mUIIStWexFP@VIEttW8Lz8f03EDJbvEDu_Cygw -y@x@tezRI00WxMDr9bKT200uSW00O010080eiGyGmW0002DNk4WW780p0HGaGw1GAqYee881 -AHG7U20H1S2000006mvf2u4000400080W810_V2000400W8m80600G0002ag7400@90G0L00 -0w1045m90000500WaAWJ0WiOf800i9f1bvk100WCn00aH1iH2v900W240a5pA0FaA06H1Sow -u@dk_@dp@Vv_@lfz@h@N70W@lxpnOgphEzV6W690WF0doMdJ00W0E70uJAeH0060D@@000ir -Coo9smkgic1GpE0lbEJ4@@VJh400c2xvud2Fb8Sy40080qf_6lUcmFv6a1g40G00MJr00mB0 -PKJ2004WquR1G000000XotJOG0300002001z@@40820Urg124K000W84l_6OI0088004Qw30 -0080200qaj10K00QVr00808004G1G8W00G200000G210W00020000080yFl1W000k6WXcKP0 -G00Kfx608W18it70002Ccl700Q8MF8X9zU8MB6QttWQlD01000080000044000QRbXKvJ000 -1mJ@600W0eE@D00H4040800000W018000G4W00oUGY3nD00WXm1@LqfS2004400020001000 -400102W20WpFO0000masDOUP60084y@l100W8kzt0800GfDhIXpL000WCry4Ga80000000W0 -nCPO0041uvr40200C_Q20400sVb100G2@eR0A90008000208MxFXwvV00I0G7zCC@E35ip00 -Yul46a8dQCW000001000O40002000G402G1000G08408__7G200CXV25sQGVlIyQi1vCQGLv -60040OjD9_qt004805x7Iiy600200000ISk9000400W0800002308@5@0818000004008104 -00W008ZOFC000qqT50020400008000000iw00Ww@J00GWWW00WPlbenO6414002G80W48GEo -6W0000100KSTC0084101800WW0X41000e801GmKhW1LcBHPq984008v@A0000280000GcKNp -C02100004HXeOGG00002000K820W8CmW0C0002200G000110H400084@@d02G4000C08280H -400Z00G010GGKACSzF3040W1030aTk1000402m0qnU20000ZkE1Wj40j@R0048G000201301 -400jvt3JFR00801000400L4110010000004CL20G001Wu800EU@1008GK0004G2000b00040 -0022W9LCW200G2x90050000a00KG100XG0825G000004HWI00mbeF00002000_S09CEO5020 -0000a000100A100WW00e4G00nG080A1008G4040W000H0000280I@mW@@J04G2mP@6igk1G0 -W0AytWCMDeRz4gPr00X100500f000m008u@V3ERr000W200200010q8U2@1amo9C00e8uL33 -0100W050GO020280060W60W00000W20W80000A00W040000m00_@F1W020000000802G0012 -0Gma06C1V29aR0Y214A0W10000HO140O0821HS0gYWYnRCu@@40m40y@l10qH0_@d10CW040 -60_@@100G4800080aX005a2G263080agiDW18100110CW80Hq@mVw6G01808108085WaqVOK -R3G000W480000204W00000G00G4000Wqm@3nhR00002f200@@p0800G8800@@R000WY4@JG1 -0W80000011I4000G0240WG4188GIDw60005Pao7000900004040000WM28000a0290W0qfV2 -00WG0G408123W01GW60002400Y000GS0086WUPxz7000W08G000mEx7xI00HGuPB600G5e00 -GmAmL0200GhW8080X200Y0WUG2000Q2u0040mk84200QQF1000L000c000000GP700eA@@B0 -0000fN810000XF0pOUG0yFuCZPggAt_D00000wt1u@V300CG000000W7m@@F00L100000@NG -L_l8yWVH0yF0m3Um3t@Ocf5fgA92001sN10m00001W0W2GC26WA000SoU3kmF1G00000a14f -038o1qKa3e3G7GJWEWE0TW81_0Gc9F300300000h8000000cf10WmrJ00O0OC06a5m300u20 -G150W2u205m5WBm9WN0N0k000S11gW2240S180u2O000mA000_@t0K500RNO00000510000Y -8ksdXq3C00_70L3F8CpSGKLLmnCp0mVLfIL110@3Irg@Pm@0000G4H00F000OskD_100H41i -M100F00q00000G1Ax0001Td@181G28884KG44eW8eG0y9@jXyxn@4RCDj4m700w38dGhPG08 -0mW18by@300whx2Vl@@F1Gl2Gn1vq8E30W046Hx1000KFj9101GWUwa8pD6UGN2000i_100U -c060200fPXnsw90W000G000G20W1sPOYz4002001K00W02000G1W200G0G86Gt0WW00FVb00 -00008W00G0000OBI004ODlAkTdXctD00012001ay4DW0W4mX0601000We2000OW0wC00G0Og -w90O008qT3QlB10280@@d0800uq3I0001GaR6W0W4uKC6gRt0G030@@h20140G00W00G0002 -0S@N20W000100K1O2frRmZE6Kod4b1Q000G008011tR050100G0GNIY10080000m8410UcNY -myI8BD9010400000080Oc768010000HIDb608208bU3chN20040vLQmfz900G40a00mNP60C -000000noWO00u7BXnMMoy10O00G000oEX4P00004WCkCx1000G00G0MQp000400480M_l21E -50VK8Hi@XiFb10020000GCrW1n@PmvU9aeM551OGw@60004200W106m080103KQmeS9Cz@30 -00eCE00bFfANZo0010XBHC8FI32fuXMH310800000ZMpDu@VF00u6TSJE@tQ040W00010000 -O0W000080vkI3wD7Zt@Cu@V34800W09AcmL000403005000400180y@V5W7P0ARLbKUJ0002 -00Y008404000101Y1020000110A0000040W000__K200mK008K0mC0apU2000HWmmu00020G -W20S8200000040YoKi2000i6102ET@410020504oBD10004W01641Y100030W0480100000m -rcQ00G0eoXb000fqcjLaXg10000tb7300yf7iPmzyX00G1000W0WKY00W00KG90Epr008020 -04004H0qUy3VrA1C1004G00fxp01a000C00rscW090WbRn0y10GD_d8208Y20G02KC42000b -vc0250W0TD0800mFi600040G00mj@FW0000W000010WjTP00040008001B040W0g2R3000eU -20004110G01QEeG00K000u2_j630100Sxh1W00004G0000W0108mYq601000250GVnF00040 -00gm4eF46g100G01G02Wm20u@VC00exB000eIOI0GG002408o3304024Ah1lpO0Y0000G0Ob -so0a4HW0bP00q10000Oc000fAp00W002H00@@R010080008GI40_@l2WU908100E3rW60Ju@ -@AGb0W20oDvCM3040q20000e4038010i20G@@72W2GNo000lE@W12IG362X4A819810Cpb7X -Fcm@@s000600@30LLbALzFu2VG0ABE1C10000O2wqE1000400040A00KQS208W05061A0C0K -0O0e0O2G108mlGZ100lu@@DWC00000PW@@t005000u200W1u001W7fp00000A8G0mLW103W3 -0606040C00280lcN1003WE5Du@V6WG01HmW29IX4EfT3GaB0@@t200G5x0AeZqP0000414aW -2A189H84I2G0uNcDYPxaTbh0000H2W9y@l49l_mKypS9E39VNnCz90004OUyA002000exBcS -6kwoW5p9vg_4Y00Xxw3ft_AYRsWKHbu@V600uyz@@L0002EPdaziJ881CW00004N0Om@4YKu -XHdmexV3Q2tWkmJOhT60W00Ctj1PYBnet6000W00G0002GWaqC8M1901000008yYS3000WOT -048V@AI6dX4qJO_x4YHt010021sdGS7900019ft7000W0G0096860G0000G800WW064000WG -101056Yn0W000nv@0400000XsVrR0005Wrob0400mav6G0W4u@@40022000G0A0904004100 -04G086koWk2D00000W0anRtJ8bT300WWCYU2dlR0210GG002eG00000056k1jrO0W00gylJ8 -xx40IJ0Sgl13Xpm7@F000m8453AOtWyuD000200GW080000090UPoWVlJu@@Dm000SID30e0 -404028000G100Gj@F0000ixV3000GWN0GekR9oUmWXngeBT3G05G0120040000G8Wi7Xv@@4 -cPsW@@J00Y0GxtC000000c00002000U499wHu_R0Wa0000000X0Xq_J0W00GhrFKd03@@@00 -e1WU8nehW4_@t0X74W41000200yy8C0300QksWsSDG400G3bpqRM2x9@GTx600WW000G2000 -Cz0004W00o4lYN_sut@4820Yqhe1DP2JqvISe@30W80W0000W0000WaSty60H00eUx7UCrZK -4J008000W0WjwD8YS3A_y40430rtpWO00WVcJec2380029A000E0008BI001W801HYQmM201 -W0JnpGleI800W000009GC2O0002020Aol20C00bWk1M00Wr@JOmU6W800000GjCT3000mnHG -A0200G@z64Rx3jkl100W200We08024OW110000W001400000AW00H0t_cXp_DeqDCa0H0G9b -008900PK200W00G405I0@XHkD00Gj621W0A0WIKW8000K1y6E69y@Go_600GKAAV3040200I -00G400041Wp@JOY56Mnl2000XI002G001Wmi04100002nWRzV0021Gmu60_w00A02W080WrA -aOUlA000Pjkl100K210100Wi0004G000101101DdPGZ@I01408ovAm1e42YW064HG1G4001K -14Y0G42Dc10W0HzxdWVH4101G00EG02NdXSGD8m@A00G0000mm020X44W6W404W141040004 -YGW000mZtCijq6h@R0020G12G10W0109GA0HYWG0000K00Wm@P004200e0100800027b0000 -1200001mYu900002004m@K9iu@3g01000GW0W2W0Y48e008G0000GG4W040801H0eHV9000a -WG00G200mo@IW_R070i@2X00S0G88020QT3X0Wq60uqV6000bqQh1W6EYH20G0WN0e7U6004 -0CuD6pWR0X00EbG88OV5u0180WTH420W0000180030000I@ak8u3F0000@qL00afe1q20000 -0108r100004i10W@@DW20yll10WY59fuQF830000G6fhyA0m1000l100_1E0y6S783uE00GA -VZ1O000a1m0000n0000000L5H0002200i34000O7Ter3FrM10O200u7m800mT000eW010N12 -0k06W1nDuVwPwMl204H500000Y80y@l10GSC50m0@4TE28185KG2IY4WaG80GYzCiUT8jOxH -koC0000fJZ7_@tW3VgOkyb0G0082008nR30G0080420000a0G008400@@dW008WZlD000640 -G0WFuI020WGtuUq1N2j4RGEn64DW1nIlH6tH5z_O0001GW80CLj140010018W000044W00GW -Wb_D00400040040000WW0000mpJ0281X40020010W8K9IGG00aJd15XP004004001nnR300O -YyyJ00002101W5kD00G801080000000sV10G0Eua4JlNnaWIahk400W0WH00aNl108W0czDX -bmDONS60010KNL24000GG104d33000200G0G0a000000mkE00000G001C000Sbi1j0RGgn60 -WG0W0000400af@JW00AG@OFaQk18000ALt0000G4000srs048001__m6w6Si@3zbP0X00000 -01PPRGOs9010000009Z908G000j2PmJ_60080e013spEXs_Duln7U_7ZtRVOF_46Q@XDmDG0 -00000W00W00dUOGNg6qRW1G00190042000000vskQ9SBk4VARm_xC00409yV3s@t00020HnR -G@u6yr@FhUZ1ux2Xwncfu@Y01200800004200G0W6lCW000meX60GC100P2GnT98QW8e@R3U -nz40W009HpGevF4QWA7Wp011K0400A@@@008IilqD000GRsiy0040O4kPESEXHzDebI3s@FX -DtI00000H0100000WPP0EVEX8ML9b13IpFXF9DeKeM00018010040400W100C1WW00G4000O -0000800odG600H000482G00000082700EmAX8_aO_E9gMtWl@D000W0G00m3EJ8lYM00e020 -C80002W0W0800G04a08cysW3@D0W400459cRyD00W0W0H001200bD2Jkw600018@V3omT60G -G0000We4W9008G0GG206G4040400W4000G01040G400qOB6G90004n300W2A8e40C100sqhY -BnV000e40000010a082000GG2GbK000f40G00820GZpIIi0C01C3194G08840X9010G10P00 -0X000GW00028005H4e0W0He00X10080000i310WvPC8ylDUpKYapDuXS3G010800CG248ouZ -j000S4O0050800G1G0G000G03G8010210W1WP00000O02020C4C0J86091100WAQ020C000W -6YV3000K51p0q00W2xD00K07XO8208500e10004300020088G0og8100024080022402Y80e -01G09000028G00G441080A0082G0W0W00422000Wm1X@@9feV6W00001W2080W82201W092A -0G400W8G048OQcM000qJ6YH0XcW80800100Y00E04000I4u0010WCH44WVxD0S50000S5000 -im6e00020P5008XS6YLsW0yDu683guF10G00000410S08008a8qB1Y10me1C00020O00W1IK -10_l0LLTHCpC00uV0u@@OAp5nKcB2WgI40@3000Wgw100005whN005a0G0000c6XX33LL500 -mC0yV1m5_YCBy51m@B2W@17UmJLL00pCb800qFkAE0W2ePW5WBmB0N0N0k0k012S10i020G1 -C100O2m0W0W9030H400pE0Yc9100WA00000kP0abj4FY@000e08000O2O200m40W2E005uD0 -AW9WN0JZ0OGGwm8WW440198XGGG2IGG000W4400y@V20O300006m00G0000BA00000G0GSN0 -000G8M00eEitgBEXY@t000T304WWePX9eEF6aDX1fPely7o1NYwaDOlxA04R0yDk1ZUbGPua -4JpCJyNHvoOKB@36000ITVcw@t80p42IVZdxb0040GTtIaql100C0hMuXibFH000m@fO0080 -eZc46s9XQuDu6P900002G20Oyx70800CRF30tL0EBMYimDuJU9U3tWh@DOwOC0002204041W -0mX_Cy0k10100sdFXPmDu7S3Eft0G0027aQGK06010G108080G00000C6300002000G2e9T6 -0020084G8Mz4000G4al1000CskdXIan01W00210W85O00G0010020001pZdGKw6008004GG0 -10W0000GWG00cYt0G010JSR01000400000cLtcp00G00Hvp0100G4400zxd0001XivD00W0G -BzX8400eWV3Mb6cnvJuzT3w6mWuMIuSz72isWquhusY4c_oWsyh0008mXOvChV2C300Ift00 -00qs5G0IDN20X00LopW800WlOVuBuDkUtWPG9PlxAa100SBX1YW0A10020W8000XC3240000 -20H_p000GW69IuNy7g1tWbN_v1IF000YKj@3WXE0UdFXnkV0049mo@6qPaALuR0200W93Kvz -V60GG0Kel40008PC000000G4H0maCm4RC600G4000H0080OkmGMzNYXcD0004001WPHW1000 -201G4000G71200W802H8Y00Rrp00GK400X8x@R000G0G0W8Dwh2Y04WXvmuwV60WG0Sl_300 -040003200G400Y000000e300W8200000EaXuyI3A8Y108I0@@R0010HY0001cRG85C0W00ub -zDW00WG1b0uWnGW0008050ObU9e400W0fW01AG0010G2TWI90Q00CZ0Af0100008500iYU24 -10We000Dyl100I8XWI0a5t6v@p0H0G090008000002XakFC0008140400004801800I6YG06 -0W00004OG400000G00K2WbxP8w53_bnWEvDOntJ000A01e200100G000HG005zlH7z9KbV2J -oR0000100304GW08002G0100H000mP700002u08280000GA0uM_40K000088010000040410 -08000hIA110000e00000KK@F6W00G2XtWS6F9JT6G100axV2I000000G000Wdi10G7Y6qXf4 -0X08_@t0500e9l@mkQRW2I010asGRd64W@6@qRW400W4mP0G10000Obl@D000Gi00000y200 -0iJnO0009aUX000Gg_90008400010C1000000201000aWGGGgEW46cbX5dfI00mC00000G5p -000000c100000WJ0ms@Ou@@0ul5O000000p000E300a1yjsUeKyDMTx10O600GGmOcPWG400 -ZP000000OsB200GG00WRW0000d900G8l9020002W0080Y8O0G000mmIw@1W8003@ZXx206y3 -00Lg5oF_O0W005h002s1iIi26_2WoA50e0000@JW10CW308g38000Ggd@t000GL2GLc10000 -S0gwmV9I1m0U000xJNN9uEbDhAT@Anau6yNl1000OrA004QC65HBn4uC49yFRGe20WQbV4Sg -ryPAGNY9nJ8zV30kH0ShD652wHkwR00020004mH@6CrV2Nidmix9aFk1jTP00000800W0020 -EmcX3uD0000fh10WMyJe6@42qEXSqD8zU3QrcXVxPelv7080403004W000000G8080000008 -0020400W0YG3t6KeV2HQd0028aKbJ0G00W00008W4G00000W01040000WGA0O000W00HJmGq -29C7k120086ZZXSVtO@V3002Y01W012000W0WWGrJW008mgzLKTl10W20I3r0000WW001000 -2WG0100001440200000qI0AYpWm5J80_4ASt010010040YO@XwhtuYT6cCsWGZD00W0o_x60 -080eWV3GW00q4l1@kPW000YU@h0000Zo10XS3bW204Gf@Ci6l1NrRmvwCKrz6jdTI2zCqnE3 -vZp00WeD8Y00lqZX000WpxDenS62K@XAob000W0c00WvA9vpVC0000_7F32DPG000I00W038 -00Gry94nk1e810gzF40W80r2940G0WkiDeKS941000000sI00mw46000WubE600G40800O7G -9UQ@1200080W4widX4rDufmJUmFXfKt00GQD40WWyzD004HqFd900CGBUH38010CsK2jwRmK -zCKjV2DgpW01GeweC8lhJAxCXBZV00W0GBz60wQYG08e200CK0000X4a00a002850Y000000 -0_zV200b2IBqWFxP00Y000G00b000G600E_dXx0D89TF000020408MV340W0aiF3r3c0G000 -000uMd4000W200241004ZO00W@@D0I00840H0Cp800WXHwzF1G0800W10G000qoF32400GA0 -GSVF300080000H90000PWm@@U00f0W2AG00a200g0H0000080AiMT2000000a0ySl100kM10 -0b020KYI02002eex@P0000KWuC00005100GVyLG901u@V6W0WXy7X1jLYHDrC00W0080e100 -G100W6FtZ1WJ50G0OO0X0201000EG0O0@40201S1t3002W00G40050u_S6C0000208e6O600 -10041184VIW01000WYW8X0088Y0WH1Abg@m7r9000W1@YW2001040000001odFXC_D0080GD -Q6y@V2200WBgKYiODu@@40Of26Ul1Zpx10210G0000081QZr0X020BPdmvS6KXV2006aW48H -200W42Q0GQZFCJl1nqp000GW8_V00W0100HG01C0nmn09070aQ2GNx722ZG010G30001400H -0008K00410Q0WYybW8000ONdU10040y50_j_X3_DuEV6040000W4fuS6EspWpTDevU60001G -1z10000al00WMgp10F200000K5mh00009PG10KG10ea3400miZyJW100000uVlJ40000EVYB -X1zD8zi400000Wf10WG3000Wb@@Pm9000l10041E0fRyGW@F0WHYa0I691a1428986H6GCIa -WO4858om700W0100000mj2p0006300C3C000eYz@l100WY000O000m0G000030W9gJ00O000 -G0G000mRydW000063001t1pb@68p0W7y1fALnaPC3W@O6ym540_B8c1ev@A0JpK904HK08YB -00000MC0@@R00IC0VrT0O@V4VRb1X60yD@@000OQ004uHAymsO2Dg@3000ufC00KPUKxDZHC -vjqeV200Q7sA@acUte8kM6aFaYEb0SR1GOv2roj4XlNnNpmymk1000e22vafrR9vT6E2@Xmm -JekQ3040002000000110GWDvD010080120e800000400001G00000lmAhRiKV20000BksWMb -bOfS3wi@100409bd0000aloD0G00mHy6SXj1G0G0002e0000002824000e0240G008080108 -0G00X2000GAW08nuQ0mkKW2iPe6T3000CW008OXF3000200440128010040040GW10c9F10W -a8Txdm8y6Sbl10010ozd101800200YLtWwWD0042G2R6G0P000G000GK00IW0rkP00900001 -904L0Utt00180000GDN08SW237jR00O010W0208000020qpl1W00400W0aSd1VJd0120WYtJ -8nV3o@dXjmze7e4szt00085HvP0010cBhC0858001I0000000YNhsW48402G040000G0006A -EF3kdt0020001002ItWlxz8J_AEps000W8vPRm@o6GW00eRF3G000008404000m_500200b6 -d0000ma_P8iV68000i183H@RmPo680400W0W80800000WRLB100100G40PP@040001100W00 -1cp@10108Pyd000G0080200W8S2000041vJVCk_N20180808000I0qvc11_R00WGWPnDGc00 -IAcLySk40W000006qbD3z9QGs76qge1v@RmCZ64I@6W00H08000H008P33020800Z002W040 -082G00GO2W0O404m0P0W00090a0mEphejxAo_mWRlVexU380G0W8408mV60o48_8@6Y82000 -04yze100080G00y@l18GWG00000G0021G000022001W0G0001W0W204050WGLvI00I100X0m -D@CW40W140Ga80GWN@D0040KE86GG0KWW00o2y6000808104000CjAI40G40oWtWFcD0001G -u@6001G0Y0104H40041000G840000080GWW80CGK141C0002G8KW00800035n00821G51Gc1 -04000X2001OlB62yt08000vj@00K010001reR045100e100I200W0800W020C240A100H48v -WP000WrVnD8hrA00W0W000O3C300200n0mH0H00222000A0O00Hm220We06KH0050X1H1A81 -82H000Wpaoi1008500000W40gb_4000GG1b0ucz400001030000e0b00000WeK000W1a084b -00028000IfCzDOkz40bC00001G00fGE_C0800G800mjd6a3k10005YxdX8nD0X010G00W_mJ -uHT3Y5t0400000087y_1400000Wi2pt00GW2HFdW4W00000A0G10G00004G001404008a97D -00800000Oh102PaOmGqCi@l18000G420y2F33iP000W0G0000005GW204P@30002C400DNV2 -020000040004ekR3400WG010eqV30WH000000G011G00002H00000H40100000Wa000208W0 -e0X@d00WU63m0I00100I00ycV2O0W008O001W4400G0001020401dOGxf6020WQXV302aW18 -00W000020m0030GW808000410WGu@@40K00jnV20480000OS4Y1jop0YK00081202400e00y -MY18020_6tWs@J0Kh20Ge01008K010000AG5YT20W0G801YaKl1PYQ080000G2020H800XWW -Af200Y40228XSxDW6G000G09W5HH0000001I0O0GY9200G000W040W00000f0W2R0FHy4Q@s -08925a0S0GQ30b@l10W0RG800u5Q1040mCJ40WOmJ004000006AIa40600AksW01I8FN6000 -4W00000D0GUy90800eNN38210q6l10G04g@tWIoJ87yJGEv0000WLiF000003O000010iXD0 -00000sp000_ngA10000W1000WY1000000e6r0000W7Mz@R000eU10Wg0000GF00izl40KK00 -0kG0g0KW000Wf1W733WbIZ5UmZ000K000005e00U000Wa000c020C1O200m40000000S000m -R0I4t03m40000WH000a2W1K1S089e2mEG600WT000GC00Wp000c0A080O2G8Ar408f3000a4 -00G4G0000H4J12O008200G40000W0WW00080G0002P0001000W0000100W8020L0H000C000 -G00005100O0G0m0W1O60300WP00t@tonw60p00OtV30mN1W1_18__7000GY100euVC000AIT -000uqN10KG100E00GLO00m00200WP2CC0000iCD0uPQ004o1WdXPXbfnYM0c5J30W2A0G3Z7 -fwzJ00WooEvNzpl1D0OmL@60G110008mAfXa2U2@ud0u56W_@J001WGy@600428WjMstoW5X -9veh7Iwd4000mfZcGg@v4aC3Xe@m6KCS2B3@yHIpN9008NRLT6MvCXVrJ8oEOYv3ZdmJO2W4 -c3tWUmJ00040180WKmDG000ZI0000080bmO08m6080000I00IRSZ8qD002WGKmOisS200100 -G0W0000W000Y00G000GG20a0WG8080001o0000G2080022020020004G018000101280020X -00W2G8G1S0188021G00Y82AWH00WO0322W44AG41000OB500004G820OK_@3010G00B0G204 -0218maz6W00000W0012041008G00K020WG01000X4m@r6G0108XO38040iek1080000X0W00 -004080004G020004010000020W00001014G02G004O000002100402K0afGG2010000808Y0 -BGc4W0501020OXG2000iV80200GGXOer700002080000WoviCKaY1XePmky9i@Q2z@@G@y60 -010a048mgL6Cql18W0WMx@120000W08_xt004G108W0G00010W00G01Hfk60Ms0000000A0W -cob000mHz@6010e890304000W080W0008000G010041400002W00000X00O8WNGIu@@7otpW -uhU00041G02W9_D0082mep64Pl120024000100J00000YW00000yy100Y@tZUoJG0410400Y -AuCuUS600110001G4000H00mz@91004100Ge58C0002001G4040080G24G845Ye10002G600 -W0G800600Y00004aRV@@0S00WBmJ00e8200008282nxpm_I60W020W0000002G6G408Y8904 -1O61000000GI4W@mR10082H00es_D0110mU@60280elO304040H000ay3GktRSOV2NRd020G -005H400C0010C280G0811000I0000GO0000400G015uVVC0GW0KpF3xEaGP_901800W0CuNy -CG0W020000000sqQb0080muxIqil1W000W800C@i10400G402W00400G02000G010G4W018e -40iKF6c200g0Y10CI00120wLt00We80G8248233050108H008G0W040qP201CIHWq0100mPS -f@I4KU28200G204jhj18Y0YG4002004Hm4H4YY0305G8u084X11500082BHH21Y00pCCGG8W -O4q80yKV8082000G0000100200X40HO8IL00240G00G8000e200A000WW4600000I10G2YGe -cR3kktW4_D0008rz@644c14H40000aG814e_V30G0WW400G4000I02HW08G004X03eYgW80a -0O4AXc100m808b02ZpdXEsP0000KEx6q3d1JjR00LG9062008JW000081000G0080004Af00 -TXRGyZ6000WKX00Ga@F004KgbV9W000020100A14P900000004G30100I90g0000q5v600W0 -ewH9_tdX3wDuaV34200W00melD3000Yawl131mmE_9G00000GUSauLqjF37Nc0D0024G0004 -Wo050G022040mGmusCKTf1@kdmm_64_U2W000000108W0010K00W100m0000GG0010XGH028 -000W14001a000000Y8000O0000120u0000H2f@R0mW7Wj_VG40oGRuCCzc10W008A2mX8O23 -180300fC09e000C4XW0S0a004W0000W800G100040o8AX8wV000010A0000H48200ZTBXOCD -W00009G402W8Gp@a00g2WL2D02000G800000OK500g_FXuuDud_4020000A0080400e0I200 -2RlR0H00024084090GG2W2108242004I0OG2000014X4108800S_T6YXp0a2040040mk8210 -m100W840eG2002xCX290C00g100040000400m0200XPHGWD030aUX0000084a9yD010000W2 -iqoV09422aM1W1@b00GG2G0WGh0000092tlt0W0qA80008004KJI20020ElM5W200gA0OmFe -C3UmmFK33000VA0eq7000001jU00W_e000W1_1G10000l1mM@I00F10m80000mJC@C00W1Z0 -00OA10KnI10402u1@3kbI3mpz7000000I00DD0iCD0OPQO1GY900f12830BJ30B10W_@D00C -1m0S6Cbl1O200m4m0mRW90JWVGCS6000i600e2m0WBWA0N0C800S100W1000Q200y3K0W1uE -0305000A00000003V20W0gJ00_10000S7000Jka0400W4vC000G400W900WE020C0sHc1m00 -0u61004000e80003G10L0A0C0I100Odqgi_l1K5000FpOA0unKL1W0_3Gr@@D000eCb100FG -Su20W00m10000E2000m4uICu@6iCD000niOQ004a108W30Gm600208Bb6_@BD1000iCTa29S -T_39inGCtm02k186EjUeo0000W0088_Yq0000WW0402NDX2pJu@xA_Kt0000K1rRmsv9azY1 -ZaxnvtCa9O2n@xng@6ymO2FNRG4x9y@j7004u3sxAW000nGQ0G000G00401G0YktWtyDuESI -wWD1W170hnpGIO6yzk77q@mq4F00018NT340040021CW@40020yEM2xhdmMz900041H0I40m -2Wd@C000204X0000IW0001100mgK804e020000900040aG09000qCG24030000021800020m -Dy6Cxk1W00000W400G01080GpT9W100uzT340e0IG001WG08C00G0WGW04KG1005201n18W0 -02011mW14G0240W80040GXee40bH61WWWe6G0LWY80G104422E01q820We8m0G4012H0X00a -02000WjB32X000010G0W0005ODO3010G0K000W02Icr6O04240e000L1K2W8e0G0KX208IK0 -Gq0040W0GG10000G020Ge04ad160X000000GG600020GG04400W0a08cgr011K00004G00W0 -4G00008W80000G0e000W0A00IWWG00088a0H30X2m0GW08Y88W1886GO0C242elrD0Wr0y8w -6G880u0V3002000W820000084100000E4210920010AZS340K00020002G0080600G1PzR09 -0000W001mP00e0eSsD08200W8W0014K06000400GG8W020011WW00G0G800000400m0a01Jm -01000G8G0A40AG0040W22220W0000armC00401G2O2000WQM1O4140800G0000ACeG200000 -060004000G0fAV30024000e000m1W3G4W0008GG003M00a880000aG0000GG0200G2_t01K0 -1D@BX8100f000x@@mAs60HC0e@V683200121OuV3000mqBl1W00000qb7ql11ZR0411WwhJ0 -300000G40200fv@mdz60040009200W0WXxCOPVC0001SGk11TpmOp6KPl1jsR009000102ht -RGHz9Cpj100004@91qaf18A00_CFXI_DG000Kit68800Owz70W00yHR2Nrdm_wUKAV29zR08 -000W000002K000804800W00000W0W00200800481afl100100W000000qF04400800100005 -06_t0848W000088000G008WV32Gs0002001000I00CvH25B8H9eO09000X00mds60W000004 -00680Y80800400028Y1400p1000000802202104000Cih15uR00WVqy@D80T300040W00001 -G00e0WmxC00H0HUwI0002OcqAw@FXvLJ000W00086000W000aEtd186008a5YG4G00O00W24 -n1IX04500hY02205GGH10201000Xe00000KfzR0GV5008808A0010080H0m04O0001W08YX0 -G4G4MCpWiAD00Y0000420010002G000000Y1WI300I000a0007JdmeyL00g0002000A00081 -0n2Q00003G000850401Y800MGG4H0080101m840001W0ACW0400HI0mvP6006000O100008S -WmC08G0022p8G09240W00080O0800000W01H0H010Y10W018220GWlsd006400200G000100 -12O00OSF3EX@10W0G00W4q0G0G900008W00K200400008b0000G810QyQ3040OKfk1trR000 -1400000C0004aAG101000W1b00004I42G9000iZEOj100G0gwtWSsOeqQ3K2000202uqQ300 -G4H0f000A190WX0HYWIRqP0W0000G4WK0000001000410G2008000G8WW0028200eeY001Y0 -0C5104G00000CW010G10m400G0080WYzJ01000030041WC@yR080000841410000e0W0000y -r104100WH00n6RmtgI00002000400WWOyD01002G21040002MCG2PtWZfDOhT3Exm0000000 -O00C1020O0meO1X3G067G0G2GJm50W00080100401W048140fwPmh26000010208G00A4120 -02110010000222800000D000G2V00000008C8etNF_yt000400W0W000Y2YFW20711O0G000 -0D27m00K011G0E00W8Gb@60A00000G1W40009220G0m0YW0X0000020410002W020810G00W -y@l1O00Af000200GG8Y0400009104nqc020900820050000Gs00Wg0000mz_9K3r300W00K0 -0000AOHz401G0Kjc100W0bG1K002002W110W8100G0lJO0000089Y09mP00e@6422xUXU90E -0ip4X008000G420G0000XTHUytW0vO0h0GWP0604q0000S0002210Ai1XWmkN000mw200100 -Wa820000WIW0mEZIaGk1009H010000G1OJ13800KW4211ol01I010ilYG00O0G880002a8o5 -Fcyd100GL2WPL50el2J000YSpF0000mLL0O8p0eAp1GLcX50006y10WehJGbV50bL6W7wV8c -yD00pm300005q7mIrX03012606600CC00GO000C00080G0O2W0n5W9000N000800006000C0 -40S1e0m0umsC86E3e3e0mR03XVWVG0S600uQ9B03m5W002WB0404W018012G02408480G000 -0001m500000c400e8000600000606300y3Cc10uE100Ifp0_1C0a4y30083SkE90mT00008Y -x08Ic10FL30UC3EyO6oDnFcXB0YuM0m00000010wh00000OL0y_V204Yi40el20Gh7M1984G -GGI0I0a0aW44219423I2GA400GG40F000085O0W@5l1njR000lgcwy8_jMwat3000KfKAnAv -810018tQ3EtqWG0C8iO30800CuT2hhdGou9C0_3@iPmPn900W08cT6_2N21000000240010W -00uTE6EFIYWBEfaVI0qX04fWMz_O0000WMPD0W000800YfuCOPy4IAbXanD080WGiiFGO00O -rR6Iv_XtrVe@R34W00G004uRT3Q0mWzePOEJ66ubXyiCeeH3gmn02GO000G21040WX2O0000 -88804CG20ZeR0CG4G228g2084e2W000G60e02mU@60G1G428Wmlz6CtR2JtRmy16e000010G -0G0020001e005m000080G0100008004001VpR0000G02800020H0W0W409001200H408Y004 -W20WW082000bY0004004001100G00O80002W02G1501004g02WW100020202W03Z0002GGC0 -00800WG0000qi00W00e040WRqJ8x73G040Knl1JtR000G022004a00WA0212406W000202G0 -0w00800822020G40WWWGgzF000240800200Wq5C0J0Ae080000X20021Ilt0010epFR0W005 -0000AG0G8400H000020GW13C04000011WW0WG00000s01000YWKzD0014GRK68G0GO0P3Utt -0000W080G80300100a003211400G0024100010W100a000m8H6Cyl1W28G000014001G0040 -2080048Jxa0G000W02000040810X000W048100aH0114AbW200I02222G1400G0000X0800G -0nQ5O0040G910000K8G050z@R0880h1aVuhS6002000K001a0040000141W012G40W250001 -800G8005021fm9nMq6SlR2LJ_000A080G416Qm8@60bO0G400GSw6y6j1nzR0ucXeW3COf@7 -080GKvl10W00_Mt004480G0000Y0yv@3DNnmA@6yS66FL@m326000WG040W00823400p_d08 -80W8YD01000008QzJ0008nW4e8000040500mx@F01800002G8z9ypj100WCRetWSlJOGx42a -tWU@P0600mD@Fazl1082400W0kyY1NE@G8t60080q0100201000z20003A0zXgrJePE3821C -1180fJ23gNc1C020B5Q00000010AHgR0G00WcvbuwQ90GG0W0000A02W09280008800W00W0 -01200H0001G0000800008800008W0K0000OZ3ehxDW0000851WoCz8f06UVs00100G000wxs -0G020Y0H0I18Xq_D005100G0WruP0H00041001000GG8H1W010000m0110004H540E410ctM -t00W0000W1003g00W000009i14O28J004Gc000Kaal1BuP00002001C42G000001W0800u00 -G100n000VsR002800K040000000J00410020W88000HG408G0gacXG4JeVV3k8F10G040OKW -4H0G18XZH4O031GC0004m0W04100000I80004C0410022YW0G490o000ePaA0WW00C8Z0800 -08YJXdXZmD000G4K5W9W02W0001W8000G00000700c104080059000600HG000001W0000W8 -0010YkTt00e0G000080GA1000W021050000400fTRW0KY80082400WEGD1Y0009YR0402000 -00GG9508000081ea8304000Ms00aG100020G200HjR001000100n4b00K0GG20002050800W -40KYI0GaIXmGA100009aeWI0004810Af40Y84O4WI00044aW0G9H08wU3_vm0Y002rJQ0400 -000G85NQ00C440G0002004000G400eMV3eP80W0000041020G6000XK00H000010880000fB -1G0000WxZR0080000W60002M@F151000G65040b0O0a104A809W0Y00023120W80G290010W -014404GW80b81H61YG42W130G01X8I0200001GW4000014Iq00m@@6050086D30000O02G8s -V30520SYl160008000n26000000G40G480W008X004004a000Gu31009W00GK08W00W46Xk1 -N_d000B0000W028000J00WGn1O010e002H110k0O18Y6GW2002H8414W06G00e80G2GGG0GQ -00000XGOz6CVh14112Ui@1W000000G468MKoe1000G0011W000GX800G40000GD00G0005X0 -W4G00G0062X880000uM002500000202G0X20000K1Phn01000W800I0O0001081020H00144 -02810080040W00e00018G2W2408008G4A800I20G40000GG2G0WK9040070210G0W2R840as -02b0O0001000m1100080eX4000ID1WPsD000m0e0G00GfC024aP21t46U20H00004G04a410 -00a612600019wR00420G00001THEyd100018XG00G3KW4G00vl040070C22G0W29000aw5Aa -0u8mkN420W0000180G19420IVPCSFgpm00u10K800WSp1G0@XW8Y0dg0WeM5D0P0W841000G -60010000G1rcj100OM100aZy0K5QK0000G@llg8JO90W_00000qd1emhsF00mhI0CJ000W90 -0WC060l1o0oSnc10O400Wa0000010U30082S710GhwRGCS6WA030NWTIOu9000u2e0W1WBXB -06000k0000000MV3000W1000202020C8c0C100O20uTr40WY000T0b800gH000c000S600e3 -u0mRmFZPWV200pC00mP000l100k1S0S6ucO7mD30WT60nhV200uB1eW_2WLM14Yx2ghO58y7 -0mhA0i200b@R0YS70003n2F00G40m30003J000in6003D6000g2ZBX4uO00Obb0000000z20 -ud1000W200OS13YRtWivC0erJ1w10Mn600pURpprU00Go96EjkNhbQgVeyR60ZG0aEmLFxX4 -000QK300LAqreza4TY1v4Z10W_bO4kgR@7kVrWBuVW000W280008G0DYb000000Z04xpOmmn -902_1ukV30282Cnk1K100QHtWcAV0000IOT6icU82000Iq@100W0G001AoBXCvC000G44004 -004082121440W0G0002081W0000004DG008C201050e000000CqB00200080H200G1GD00G6 -qFivV2vcnGZLLqsm600000G04004YORT6W000006100CG000WH200W@@RW008000L0001094 -083404uK8300yeLMM2040gQ@nWn_D0001mqq6q8YDN7pmu@Fy_V20G00080G000GG80140G1 -qC7D000080G10000W200040G000000aWWmPy684W89cM3c8j20002PlQ0400W02aufVUsktW -OfD00044008YFWCuLY4000GGA0000001004WTmDO1@4ATL20400000Z4100K6e1vTo3410WL -@JuiV304G0yra1002W00010H000WG0qt@60WGf600a4008nXdJ08108Y00W0mC8gV600G004 -W0ujV3Inie3zI0C800000004140020oyt0I000W400W00G808J00000Ox5aWgP02000G00WW -sD0000n1jCC0A3xaMqZy9000a0000o7y602000W001C0G0041040W0C00G0000sf00000000 -0WDW000gntW6DJucS6000W00088zK3kjcX35o9Wt400F00020W2130A82800KH0W800GW2O8 -g002AK8GY0H1080006w010200H00WC100Y02W018010Ge800q6j14000Mpd10W00xWRWP00W -RP4AXT3002400400W0080414020080G008n0W4414000A01202GYWA0000_g000C040Z0001 -404100G002W8004kY1020GYhd1G9W8W000CgG0CwM2D3bpQ@9005K010000aJ900W0481200 -001080YI01000W000400O0000GA000WMU00001900fGiW00eovt0G0WIVn6Ls_F4SV2000W0 -G200020W28WGHv6080801042002on_D0G00000NG00014002000YEvl14G403ztWFeLfGuP0 -0XW000021002002G00008011W0001084000W4200020YW8O080001A00300000GR202420xz -d0082004000A00IbM20080huPmgn5X002OyU30000100200m20IWX0G0084482G100G0080Y -01008228G00000mmM40V8l1040000100W20uzx4U_N22008lBB40W00000W0W0I0000e1000 -m2G80000KA1000G20L9000aNX0000W84aCIC0W900007K4300009Jw7m0010S8XG0GwAGiwV -5jGB4Y820000YXboGA06GL0K50_Z90_d00KGp3fQ000W0Q000BPQ0OZZ00Cn4D@R000OWmnJ -0y_3000WkSpV0W003000000U0vom300YW2vD0000100G6W00L0P0C0K900m00001000e200W -1W00305cA0f800K0000000Gb820003000NW20C0KIs1eW00K3100RlQG4oFCLk10400g3mWi -j@10W2m307iwl100H410GWBWdB2000002O600m@G000e_O0uH000qmwf0A000GW@K4gg0000 -0ge2u1yGU58Xcn8iwCmcFVZglJeHz4k6ugQxG28Q2GT3J2010OVz4000mh200eQ_Vc3M2000 -G@rRG@u94nU20804W008q@_3PtRGpx6K_f1DudW000000X8RnR000010502JaPmF_FSrK5zn -pmZw6qEV2Y000Jc8aNWn82040202G040unV300qzEQV2HAB1009W3rP08800000410W00000 -W080qSF300200081idl1000WEf_XQyDew932cMYlOa020080G804000HAO0mIDW@@D0010qw -w6qtZ1@@R0050WzMCOA13G000KjZ1jx@01000WG00GK000000St43Rr8100010W00PFymey9 -S0h1n_@0000MsH00JF@GIZOSRl11tRGAjCK4D3V_8KdVF020000GbpYaa000040I0G4uIiw3 -LxodmBz98y70usILkTtWe2t8AKg000WXI0c8JIFgXF12045ZT6os385sF300i0wXL5044Gbn -RGGxC41@3V3Lqky90W0010000eb2WFxDecF6QMj2XY40qG2G0200SBr6feMKg@9Kil10000f -8016jB90501008WK3j10O40kuFXYzVesMF_oT60e0000e210000G0KW200mwZ6000WOVS3_q -tWJgC000W0W2X20840KG10Qiz10020@uR0W82WDDYQ3V301qACGk100001G90qSu30200001 -A2008200G0001WUoD8t_7000400H0W80GmFABj7j100Y86wt0DO40lgQ00G0000W0B_B1WIG -000010081100000W28BO60000Uww3VtH500e_WKD0080uYsL000GQZD3oztWt@D8Yv7kkt00 -820l1Ib4000WD21k1O00G3000000X00000e44200I000YeC10040W20002vYWm10CA03I_F1 -000C0040GA00zxj10060000a_zSK000mXD004ez90W1c5W2800000LH0GaOcr5l100qqD000 -GI0004W000084G000p7n000W900020c000C00aGk10G1GZXZX0pD00030c00000C1dP75xFF -000000IT0U8N5040mK7000W@tTNaAflMKGp6i6UKDVPGdn64BV2PkRGOEUyRE3NdR00Ws_jy -QV5R90000W008eGz4_psWqGz8I190000pE00u1LgIvbXWwD8xT3gOlY1xP0020Gdz60W02Oi -V300GId@4CNWQ001G002W09vd00K0WXzJ8dS3400G0400G00000W00040040100W02CD_300 -0400G2Sil10001000Ai0G10000W0024_V200iY8002KpU200010002yqU27vd0WG0000000P -440002ycl1@pO00G058W00Rgd000010W10HmQ0210efyD8rR3W01200420W00GfzCi2l1ntn -GVg6S5y30OM0wQF10100xyzGfv644d1tyR0000gyyD00G0HY_9iw73p@dGm_CKsq61WOmUy6 -qnW13ZQ0400X8vD00003oG0WhvVO4W7c@F100HW4000Uet000W480008002G018uHN3gjpWX -CIO9EI0400idk1F@x10WmcKlAQm@7ku@apzDuQUCYoF1Xn40TlVIttI00G00081008m04W40 -0080000Y000GeKU3oZZaorD8TpG000WvN00eaFLQyFXZxDOPQ300WWCFb1000G00G000WW00 -22G5WB10W8DZUR0822CJk1@pRG8s6qYj1043084000200uDNFUwBabjJ0Kt0G3_d4lQ28000 -000WGP0000W032K0200e20GG000W000042400401800000m0W0g9E400200G400200iGk1@x -ZH0o64rV8H2NHgs60m00020Y8000P100W000W0010W400W0000582WSx5200080K0000sTBt -v100GXOpJG200200Y086A00G90080H080K20Y410008010Y80H004000W8020A54100agnHQ -CN30t10C3f700054080C@V280G3G000W00a10WA3080000A00a020W10o00800Y80GX00400 -8JxRpfsF40N2000GmB00C6O8000AUxo00000GG01hqs05m0C210012800802W847W10E2418 -24000oss021001fSoclX00GAfPJIgvc1G0000G1n48900820K000800H0806G0024X000e40 -G400I8100WR_D0200mSXy40N20TS0oO8as1C0C0000050010n0000W80qxc210Xl0788000A -2Y0W00440220uA1XWWiL00W3_9100ih000Yua91000TI1RyzV2003Ck@F10mCp000cHLLBWg -gM4p_l8LLTHCpC0KLLm5E00m@410000W000G3200WG20MqC40W00dKunyj600uN@nTF00080 -a008XT3I5m05e900mFmT00Wx00AG11M0k2i0S1S1u2u2u7m50GmFubVg0X504m9F28815K84 -Ie4WaG80mRrU000Y8ocMwFd1Q0001EdmQz5jk0300W0005820018Rg4002G0304uLv4o4sWU -iCOHMC00aZA080OUyJYttW_zV8ZVF0W000a80uhV382800000G0W4qWx6SJj1VDdmSfR0yu1 -epe7sK7fudJOlS9guc100087hdmfs9yxD3000eXa00r1kAPkRGfxC4yk4400000208010020 -02010000820000018G5sl101G018040G00OmV6kyo02000020G004CW01000040KGGWLiD00 -WAOayOq_D3dXR0000300000406J4t08000010GEDtWVFD00W000022WICg0060910120GWG8 -12e828G04JW00000J480W01u1D3484000001W000G0000008200W08002000GW04uHw6COl1 -28G441000KN00W80102C0080000G8AyEXoqJeET3W4G0010HejT3W04000000G1020001120 -04000W00120004202000102G2KG4m00J0Z00600WG88G00008404K000C0G10100900C8000 -2009020010WWCpk1BnO0002000a00G00CW44000400eK02000e00G0000a900H0W0W800040 -0WpxPOfV6oNt009002400Upr0000W4820MAt00001vid08009000G410002000400180G0We -X100080680c4t0W008W000MvN22240800000G014018Yy40014W020000K@py6q6k1Jqdmxf -6SrV280G0FYt000G00G0M2ZF1Y0480800040008X00G40Ki@601004WW0000GW98C0000400 -0aYvJeq03wIH21G0000e0G400000WOX_70H50y@@68G000000G0W8An_4AGFXd_DOHy4M0e0 -100000W80GG4G4028WF32uFX8zDOsU9wKs0030020000a0W002G48G8GiwC0100G4h3GmJa0 -80087P3Iyt0220001W000040018021G08W001G000200040400Y0hcV38000004500802440 -Xb@Jupv4E7@140300060sid1G00008800000000WNN00GXsRKwl1vCOmgaCqNH2W04002080 -000RLV682040H00001Wux@6iiX17Qd0010XLqPu643AGn00004080200024RN2G00000SbCn -@38000s1c10005Hzbmhy6qDJ2G080cvmW14C8qV30408844e21OK40H80HGC0W0Gi4G00020 -W001000G4WcAD0010Gwt900081e00009H042410W00800Y0848044008020000m5HR0uS7Wo -bP000WmU@C0401000808GW8O000xOO008002040400a00G10G00L0G011807S00064400002 -1200444B88G8O00O0W0G0W0Y100204037000000Y80b@R008204I00l@o0000400A2806014 -H01H064W05GL_6001000480000UI100tFpGR_C0004G000W01A0W0008G408800W008Og530 -01X20Y00010aH2208308040WW80Ga8l18110e44AG92402200b8Y4C0000H10000G0450200 -W00WK9000W410GM1@100403_R002G1AH00WG00000400Y822A100WVirjJ00400500002G2@ -@p000GfikDW2W000W00Ae0008400W200W01G000008100G0000W0G400080100W010K8G2e0 -1C00286852408W819058400000K0800010811KetV3U7@XZyDG000010000001020W02a04H -k180000Ef0aeT2BLB10q0WWzIG0800W0000e001oRm3E68I000400GRu60200G0028002040 -0200084920040cW0H00220G060q840000000W8800042K0WcWsJ001G0000050000G000WOe -00a00m0A2101210006W10Ezt0oF04xzd0024080W0ZWd0000422004C8IW00K01G4Oe83008 -002c840000802X@@JWe020000092808000W0GI210042209W000010I800WG008W08000000 -0a410040pvRm@@9WH000000000X30000G00814G2W0104K24mHw900WJ600OP5xCW002u@@7 -0HG0Y2G0UCC3000808440020000K0e00WBlc0000008I2G10W000qw0G00fD040010010G0W -s1W00ag1O2080Gg402WeQD0jI0000aWyQP000G09W000G058140GQ3010000X08000e4022I -M1000TV000000IY80006000200W09wJdXn@D0b30000i14S1m0WW60000V9h1a000W010y_l -1nYR00Wf4420fPZRm2fL000W1@0000WBkKqP00Tr000eA01K0Cp@0G50000020xy3000mTl3 -00C300Q9t0B000@@Z1000M0000000B00000002t0ggg1LLLWhgg0FS11000I2G6GG8CeG84G -XGeGx@pWY00GF30WKLQ0zSY1G5fB20WA200e8000N000H0C0M34H00Od1m@@C00D100O0g10 -0G1000A000uC00G7W102WE0@04000SD000000ioE3U2a4O20083iMt300000K10yKh12GJ04 -06WA0D1L0O0g100G10G028m0WHW103010N060P0k002o00001000100m000020W1WL0400eW -_0mA0000020el2000W2q300l4Y100GL0qm@0000e200qvF3WIL0EiC40004W80_F_@10WP00 -002YXp026aWnm5ICp9qIg7HkMXU00W495g@@70140208ZW010GQqC8040u@T9g4AXS_t8383 -01000002ucw4EiJ80010jzYH5tUKqV50UM0gxFA0028000G8I04i4E30W84Y3k2W200XF62F -00WinDOIa4kBUZDw99@P388200040004Wnis600KW000W0H00G080WG0800222001020000X -0020WWWLRR0290G0100001400A8a4l1011G0200008c1000We0000020lDbGSu9010289@4U -dlYHwDG000W008WxvDG00000YX4028W01W018W00000030W000YH018W050W04Wa0K00m00Y -Y00W0W20A014G0OW20G00X01C008200800q0010084250000028280WGJD0Kx0m3S6010049 -2280000808044000W00yhc1000010W02800CJz4041000010302o@@60G20a000022002000 -40000008OGC00G4280820010124000G00W0I26800W086G02080C0Y000C000e0G00008602 -3G004G2G01080240W09400000WaK0000W28880W4GG010000M92000000000210W0G00GmMs -F49a10180JRMYbtV0100Ga26qkd1011000A0000000300100G800W01K0000W031100104Q0 -G01040a0G8008080G40010040W2G0G008004028M5U2RsR000F00000m14002000W8828000 -08080520jkR0400ayrJ0G0WGI_60003000040G0eCwD00050a2008W02dFO00080040GZwRW -H0200W3148400000O000WC01Goj9iXR5Y00100802H0100Z00G00G400004G040000oq1OWM -9Q67ZLrJOhV6_2CXk@PG00040G208W80zoR001000040@wRmwz6040mG00a2008W0tDucV3G -0006z733jP0008uyZP8jD3gwtWFjDOSS9cy@XRzD8tV66bt00WW0m0008W000100G000m7o6 -GY0830000pC600A80vuR0082W6lD8@V300W20100WW200000G089WFcO000zqknn000GHwuR -KTF30040UsFX0IOeD@4G00IKPS2082000XWG40ICv_4000WX20000HGncz64Mf4Wy10UmpWx -@D000WKhYISIi4vllnj@6W02G00AH0IG020E048G8C0aGGW0eY00122GOY8A01X022A0I0A2 -K04600GqGg9828W0002Z0Ge0G0O0c000W0482G046W0A80002F1000001_xF10K400WG0004 -0W400uHH3_g7ZNWDOoU6_it001Y401W0004H0241WC1W28G8280000a1WG0G0H82q0W00eY2 -f0254082040G000W010WO00W1408000GOa8W03022WHG00240Z0m7nD0010000dNY0004100 -G000aIl10400AWsWY7DOpV6Ipo000800010x_F184800420ZtN200G20005K280000GW2001 -fWG1A1410404GW200040W80040400800GKW0X80K1G98150O82G0Y488bI0G9bG600G200O@ -@409V0avV20005000G0W40W200001040140@TB1W0842900KY00000H045800AW88KG900G0 -41H56jz10G80000W4W00003100G0203W04e44I800JYp00G000We00010GCCG00WG00a0022 -0W8GG00212X022uSn42Qs0Z008l@@02100A0W60002AAYX2tD000G0000380010K1000e000 -510060008G10020C000UiF100400001Jjt0WW0000W041K0W102200082AY0G04KAW20431G -04m1000G001000104C0f000008G0HT_U38000000W90D30044NXl1TkO0001Gm100W800898 -0Svl4@_R0Y6400GW0880WG3A0H8G010G02440810W78000020KqZZ10XX40040XH0000002I -2000W81Im4W8GG0201840080K800002W2bG11G10005e0G0W00O0KW01000W40200f01H081 -040000001HZtP08q3f@@D0W004900WZBDup23_@@XMpD8QV30001X002OAK3GOW00080uth4 -cr@14U0G00W60N9000WN100000i60000n0G000W94J2W120c0m4F600w100WWEJe@0W00xUH -8000G8azU20008jF00a8738m62100D0E0000WAohhF00G00f0040480000G0Ws80G4Wg1Q3W -81Gg60202400Y000G8000008mT10002GLC31000mFnA0000AeN0ikk08dS0q0Y02m@HL0gI0 -@3WPY1WT10000G0KGV0000O9B00u@V6E1q00u@t@@R0007qewJ85q70WAxaGy3r_J2008000 -06000C08W32m0Kb410W43000M400WA00WE01051pRO0AH008510061008900WAG0WY0L4k0L -C00SHfob0f10396460C000O000000Od830008000a4W083G60WRuC8SS3Qmd14000O20000m -20300WCWFW81P0HAm1YK0l5f0o0S1a48300G60I000008v00b_0000G681000208200GfpQW -G1000eh2K0004ZvdB0tV30p0000001qrN0W8tXLMg2Hk3Wx@7WN1000100WD0010GWP000RX -Q3000GZ700eO_M00ym300K5LL50m3F8gMLGW7_W0FyK1W@fIL0Gbg22WWaDeBRF6okYbst00 -GVTLwKrIV2W0000200yEj7@@RmQBCy_@30fM0010000804402Gs@6Skb1jrPpKILSu_91xj1 -j00WqvTQuA3IKEXf@Du5P3A0cXcTU0009ms@O008n700100008G02WdyRGIq64fGE00008W4 -001020010104G088010004400I0W040C0008G020X00G00801I000G00040GUv6000010890 -W20nB3h0W@1GzC604008AB62RtZBvz0W0000WW4808806041010000H2Y0Y20X008W0005G0 -08e0_jb1HtP0LH00420Z84020010SPj1000GH004q1G2000mD0800001000408041000e04H -0000e200G008A80Y0YN_J0002880000200W000IfpWq@n082GA0G001XH0040IGWI4W000G0 -8I2Hb84a02100W800G010G00W060000800010WeW10000W020G10Kr_900CG001WGQy900W@ -f_Q30W80jd@30040K280iRv35th20404G010FaRmd_60G040WW0C0G0A800G@JO000G00GW0 -0880G2G000480G1XnrWL0s10Osz480000KG4Y0000Q0004009ryp0G00a6uDuAH3EwW40008 -pzR0Y0200000G4W8UqdXXOC0400020400100G0004001qWA6E0002ld10G101R9nU2giyl14 -0WW00G00100O6z4k@t000801@Rmtu60040W0W0W040W@xDOnV3W0004rz308Ulgu_1K00060 -04QYFXuCi9ij4_vt000W85tQm8@6igX1W08000082018uOU3c_t060007WA18r4WgtUuLV30 -K00A8Y0OP6U00W00100e1V60XW2yXV200W000c0G008080000o4G0800011A2Z@Xn@D0000g -C10Wqsb0840m8T6abl1bh8HzHUiXj14020G2000208I404145204A20424000Y0W8008rU38 -Y040XGA51244H0W90040XtR0120WKsV00WENk_9Sll1O500WC0409042C0G0I000Y0000200 -E0OWGFK1002002K0020G0W400001Ktl1082G00Y04Gd100220W0WGL004W0H8000H000Y810 -IG0HWeY80mW00GozI0uB00080W010WcmP00098I0G0Y0400200G200iwgDm4000WI0240WG2 -m0424W08W0GKW00JEn00810G0800G4820bKY8I954XK9G2O6aG20e4e000i0X2AHqpwC000W -XM000e002014aaqG00WI41000020e4Gm200DW2KG40kM2ZSX8H80002WG008600W0W04W100 -20Wec0000W008I4G200G00Y0K3001400G092AG00a104X8448200G00002K0WdrP00GFM4@6 -W0501841024100KcH0W01400oypT2tZqol@900m0000GC03H1880000100B0WiNf100W0G01 -0W000G0m080108000O22WX0K3YG648000G0y010000WG0040010qgV2WTP1004000W0020P8 -080G884T0XY84aGXGK0HPpa4sAk5000WG000Bcn000W0I0G0000020Y20G001W8WI8000002 -WG0002002G1I0000W00408002a00240W014YX1000000g00PvRGou6000W3n00mPD602000W -A8248G00G05GW40000G0850gWK3Q6U6000u0000040qJ2000fcGC90200P20zoP00W30002o -4X_00000w5008CN3GV14004V1b70QOu6KgF300Om050W00o51G00E0004210zkXGGm_B4w6W -X01D0msW64XyC00W70000G1CW70000mhA0g6O04G40000OY1_10000al20000002F0ufQ0C3 -UCP5hOM50eWU10Fu2060o_F1KG10n@d0eb5Wlxb004CWW4SG90fW2XG1H000yrSB08000040 -00C000U3e082Sd10G82004300GJ0006020Y0C0C14H00OY000b100C600G5W0WAWP6N0pC00 -sP00W2000S100m0S001ejmQGuZ68c2000S100m0O0uDm2mFmT00Wx00087S3e3e101G7OKQO -WP00iSO508Uu10000K01u@V30mz10Uc3GtCRl@P6SP206mF00sL7300qpVrR00e2WDfNQARX -Qud10z00pmH5020W_xDemSIY8NYPoP0000hb10W2xnG0G0GKwpaX7L1xH500XWcsDeokJ2T@ -XDmV0y40mWzO4da1Hf330808m00000W0W401Sof1G00OYDtWsTJ8@y40100aKj1Bdd0G00W4 -mD8w_4000GoO00u0V3W00GSXl100088008CzW1n_Dp5j6WG021006000E00GAW040000800G -014Y00001000220G100B0t0004000009008b0u3RjRmay6000fEKT3000X040800X0000080 -0WWVwR0G01GW01W@AR000WmTDD0000or_R00042G020W0011m50Gg800b0003G02mW008008 -080HtUR001GY3vD0G0200100000G0YG08000S5O2Tydm6t60MR08uV30u000G400100umv60 -X0040001800G0101R_RGF_9CVy6d3cGRz9iDh1poRGjy6CCl1Bpb0W0000X00@@@00m0WCjJ -0000BI10W@@D0402mSz9G400eVh4020000200W00muh68000unUF00080004Y12X00WG4082 -00008UbtWSvV0bG000000G4009@720WZ_wqP01000002YczDu2U3sxFXLALvLD30W8YW000u -BP3O800208000208P4100020R9R00080802H0X80EfZXS@hGuB3mJ5Cajl1BnR000020204J -wR04000000W0001AqC4088G0m82EEd10008000YG4000600eRT6_xt041004000cvS3000SK -501U_F100400002sDn00048hROGavCC099040800G012WW00G8mly6000WSbT6W100a4l13u -RmRw9yx9600UqQrd108W00201000080022244GU_60000X008GEj6anU2HZY10006W0W8W8W -WWC008m090320A011020284H40kSt0G0Y0004882000000Y0W41G41W13D0000WW00WKwh0C -W3Gx@900001G2WA040005G02A010O08060mG400100202800010G0G02quR800124AY20O11 -Gn414200G010G00WW98000G0408aGCY02000i00000G0nW004100WGW8000400000013f030 -00qe7W0kvt0YG000W02020414A80WW0180A80250vxR01e080080G400_mtWxuDOe6C002G0 -000200W81040A100282008G00W80000140G40820G1nR0W04WK0CG9GX000Y00WW0021bkzl -200JchbR050001000KW004002800005G2000204514W20W00080H80WI00841140f4020W8W -0G0i3x6hTQ00C0140010G480A00W00W0e000280060W10W000G8W20080400A00W04e0W0m8 -0G0GA004WuT7C0wq100029xx4040900GWu7U3G0000K01004e000q0020100038004W00010 -WA0200WuRt0W0mGNX6W002mG0000W080088000W100G0W000888mY@600W00001i00200G00 -Gm00MuFXYBa0700Gb@6002005000100220700G0Y0W00102a4W0004CG98aW10010m5a000W -000G104C000022R3XXG4A0W000W28A1000YA8G080WA148WBeD0GG00GGKaWvD0W822BG000 -W0c001W44200G00O6OC00CGVIl1prR00G000e0000Y40X28000K2HGY00G0A4G0801eI0OY0 -02W00040488000200G400wIjYx5D00YW00800100Y0WU04000o4u001008HG4WK0C0S04000 -KaK0C0W0020020010SAW0GG_A0qgT5Ww0290W000200003100000Ge40002G0GG000GY8200 -2002WW80WhaY10XhWU98600QIq060G0W94vD00G8uaJ0mCLvb54n3cXeJS2nxu1YFeA4Fn9c -DZ7in6AOc7GqTYWuN_2nv7G0m03V100Uz50RKvXK10Wg@DGG22004K88CW40PmW00W9ykY1_ -700yFLLP10ytY0af51uVBoCpm4W@1W@0WggICCyDW104WB09WJ0N4c0k800CH0W0Y001C102 -u2m4mLA09WWY001S10082O0m4G823WH40WxC00OdyA00eYcDd100a0e900y0d108W04O0O8G -0u2W5W1WBmF0N0W0@00Km10e0l1G1C1k2O2O400mtOj100801yr4G0Or1000m@F3Oq@4u@@0 -KEF3gA00zF0000h0eDzG0zj0qfl40WW042X19425K84AHt76i9TErn940002F200hgPsjbs0 -00000mvLtuX437s@m7oU@g0000L600m732bv_301004000044WQuT60000Dxi1DK@GrkX00u -gQ1@AkQFXWcX100G00P00820G440G080W00W8220200G0qsun0040008GWe@z0SP3qTK9qfd -14001G004yJi1000080W0qmVB001WG0G00W0020052WX000WW01CRmxlOqxT8000WK3W0qeF -3NtRmvz60010u_U3Ykk5000A0W800H0002000000880080000W2000008azd1Lsp00001000 -2hGw100At9_JeYV30G008W00020Wmy@60W00ASMR00000J40u@V600Y0K_@3000YwQsWe@z0 -mZ3GPcHHGm00W041020020800404M2p0G02Y410G00A0q@l17dPGT@a000YSKV62sF1010W0 -0004000UFgD0010slp00800foR0042WmqDe0V3G600W000uUxAUzN200flP@NnFoCiIPBJpR -0000220004040UDtWagJ0400m2x9SvkA0J206Oz1W000@8RGsAmisl10WO0G2G00G5800Wm8 -K08WpzC000W40020A3GGh5Q0006Wp7DeZ2I000mYJ0083z4U5t00000a00I0000CRB65xxX0 -00GGWH80C60W0G428G0624042019000W0W40G2W0W8100C0GG696G4002008oUz9CtF600Mm -_AgYK_D0W000002022005f23G1G10000vWRGw@60Ae0WI00vpe9000KujVL0L@0SmV2X@R04 -0000180G40004G4800aA503Ykk5080G0000420000200090msZ60W08OiG6gxN5000aO000I -@t0X1000W0H00051008042O000W040480040GW8Aacc1f2h2000aN@D02880000200aW38O0 -W2000G400048oNbXY6310GWmTgF0G00008T00W0O20000023dcBXYzL1GI8201008010e000 -0088W420008K8H00WgPJOH@7U_qW3@t0q80m5v9000G0G1000G4400K1W41008200A000200 -mxwjqtj1ngc0Om0000800A00000hyxV2AW000G20qzV2tYyGAbI4GQ28000Ybp00Ge8W322o -cBX4uyeWV9e00m100G40g23O0030W8YXwO00OY80m030280u100G4H00000000A0n0A0d0J2 -0WFb@@D000eAL100C3W@M0ymj8p_RH0_tY0yF00uVOc900Fy4JSf50006000C0A0K1m0m0G5 -59038I240a40300WP020C0ZPG0e000aBmD0200G6va0M90OwV30G18000OwyD3KG11g0k2K1 -S1i2u2O500mA0G0_@N504Q00100KLHWYPc106C3km3WAL54pyF8LfA0AG1000GOW100FWp_R -1000Db10Wc@bW@10Fu3YPC30yW7ymxvYdMLt8GRL00u8VjDOBK@020WWG0W8dfGWA00a1t@0 -G00kN@110G0rAnG809yu@6NURGFyN5FHB1Dl1x10WIBuvZmbM_7300JbV_7rUNp4zl7SCP0I -3fbsHWfI0X0008JL00ujXkAosWY5u100lJScTLoHH0c90koy@qwJOvKUA6tWe7qAgEOwxl20 -3E0Jkg5000mtxD8D3U000Gu000eRsk004Hy@F34200sr5600i6Xu2cm05W2zIuM2R0ik0SWx -@7zwQnoy0Oa1OePj00W1y6j4BVR0080400000W08Jip09020XXlXm10Wbh0ReT3UQtWxwD00 -00413I0000G4a0Gopt01900fzZ100kjehl208g0000008H8WA22X40000084I000000AH040 -000841X8RYGG424YCW40H80H40GW9Y_tWu_h0uX1m6tQ1W00CEBX0000VvbGxpl1G80WRhVe -fv40a0000000G20K709qXF600wHJD@A04M_00000081uJ3141000000G400000WFP800qk1C -vmNn5xB162000Wm0000W60COP_M000YW0G24000jB00WUuTIE400S0XJ0000oZ30000GY8F1 -W89a32007Ya00000Su9000WS807002EpXWe0C00umHA0K0000GE4880491000GCD72o28aK1 -E9V03wb@@Tz@lKo@VHwG000Cu600AfKhClFfPVF00irq85UJadmHyX0OF1espkwnN8000Kk7 -00gSz@9RF700uRahL4vx@F6@VZp@lOz@9U@@Xv@Nu_@3s@VW@@@pz@za@@Ex@dJ@@ty@VXv@ -tEP80W00wstWjz9P4R3Ifq0m0007PdGC09ycD3@zdp0bL4Gm3nPYnVu900W000mBSncLiAfJ -9idGUu9aTE3nPAHQxF0cj0eOpA0020y3w95p36000q85IeZ2mkMD7007Q@@tL@fISUn3Xdln -Tg90Gf0eG1BlQF1000OC300oUWjYuneN19QvF1009Nn136000241005Mm3G55WXlp4W000G0 -0000GeG500QsdgFOO81cP40004uS2002F3ilBY000DrmJJB60E80OPVj000H000W00m0Ga@m -00410002G5s60000ca00GvyK100GSic40G40aSGE000000mQaYVcW200G800000004W3mS@@ -@@lD0001_ZY1001n@@t50G8WuujPiT601L0aYmOLFnm@@g044000042000Ec202nH9Hg0sy@ -VihqRGs1@88000X0008e7WbzlYgg1000000280B_R30y3000GLK5m@100WIC00Ougq6@tW1_ -3f4E3G810KyX100ct_nQZM@fQ7jD2YBXSxU0iy2mhH@yOMB3xP0100WQnE10000000Cg100Z -4CJd8syit3Vyvn9V900epgdGmkrB140010200EBq0010000W0400014020G00KJW608W0eul -A07M0a17LT9c0W0WG004IO010400200000150W0G000GG0G00240204ce12000N7qWv1J8VH -300W004040000Cs10W@@5grG3oDqWf4Iu@V30Gm0021K8fH6G00000I8000G00G00W020200 -002G000G000W0mnZ94L8300M9_@NB0020hAa00800C00101X20090Cre120W1ARq00H00ZLQ -GBaF80002002W0000GO20xbXKfbF0040O8p40100000Wu2p4000GKef1800000040002ejI3 -QzX1000W00010W0000005q00msQKTvW100010G20@@l1G080000047M2490000208000OnJ3 -A@G2002000I0YXJh1CC0G0W2XG6GW1007NQ01uGGO040G060Xe0CW0G0000W01400C800W04 -0X200CEA308D0hxa1GQ90@@J500050040zRQ0400WbKD0Ge0004010G0W0140W008aXQ20W0 -0Ga0082C1u@@704G0020000008S00WOhY200400WG0A0000HG1101X000040X000407I4O02 -G009100OG40H4A500O014W080G1282YY84000Y88GORC000120012000000AVJVH50000100 -8G40254I01P01011002011WIG880Of40A8fA21XC10000W408088G0003G1W40a08Y0o@@90 -0I020002K004I0W0Y2000hG0KEIKf8YHuR90020W018W200W3pUu@@7050W00m00000Y@10W -@@13800GTjC000A1G084401G00G1@@RWG0000W00T_810200C00000ii_@dA60000e00kwr0 -2000002W00020W00W40004G001G002W0300G000X8ejtA0G00a6W10W0200000mx18aohW00 -002W000WG087X008230WO0020G006W0G2W4H40H411A062K8440G10GY20GokhF0200OmE30 -000200W0B00GHpf100422000001001002X80cqpWwZPeAO6000G21000a00000fx4uk20wh7 -0082ghAW_zN0O080wFa0GVzzFzrx1@3n@q7mPyF00v90VtB0Ok@_NQI10W0ueZ0ul@6kS20u -@t000TuVL14000eC100GO10bmT503000406000C00002000K000e0m0G1W1020300GK00021 -0024000C0Y0G0m0W0010045W1@@R0YA00040LlER0000sOFYIN0KW20000Krrk200u7KG1m@ -T7W@1008W006UOA0020C0@v@@0mT@1e_10WfkJGL00000uVV30G@@R000WLg0000OU6cxs00 -0tL@@x7090WAnDOjQ3ohs00012@@p0WE6WhoAASPC00040120eny4Y3d18008LDxH1w6000W -JS00mvhdS9U8depmtu9iwD3Zh@m@wCi5N28800000WahE300eBgecajvOuxyDkdiYs1Ce4E9 -oVtWguyuKU3ohZaZrj100000K000084rld0I004010K7tQ0000eRtD0010g000000400202_ -@l2000qC700oUebbyguW_442000G000W0400000121004GY41W400YA20540A2W050G1O802 -0110WG02055W0C2G01GG4010002000804eVV9Ult0008T@@@GZaRauV20W00AftWCoC0W148 -0200440088G04000@@V2000c02Y026W006100000120200H05GC00000G14400G4O0200000 -0C032GyKd1ltZ1eQ5WAtF98@40400iRV2G000ojnWL@C8FV3Mep00G2G5DR04000K0W24040 -K0300900G0W4000080Wo000404011a3b100406upWiyb0000X@00Wm33fkT9kWD100W00002 -80040W08000G0W40WsvD0000Y00000G00000C1080002W000GoS@CqxW100G002A0C3l1v@d -0041Wl@P000LJ1kHX100OeQ30000200I0Y00100000G0a04G00W010000G00400000108004 -0000010aC0100Z0120WH0D8zV94LU0SxlD00W06_dXbyP0G01Y0W00O0C002G1W280000IG0 -0Wa0080882D02G0a0AG00080082000m400W502W00009STl1jvMHCS5jX93000G02000000f -4E34040000G0000840000G00G1020060050808Gc0G080W00806WC000020880G00HilO00m -gjvHX000447i19aR01G01051600m0000818802800W2u821W8CK2AW5010ea88004W0O0020 -BX6a20OeemGXaP84G23a08c40030raM10O0WR@j9hp4MtoW9@D0080002C0028000W0G2n18 -21P0HCWeg014000X4000Lm0o8Ag009XE308a13ma200e008009012401K00600048W8000o0 -nWQvP0000Pyw21800Ocr40000DXA341A100G100048f43kdn000W0000Gwxu1I1W8@kY10Wg -s@@X10A1004H02000G4008000G900082005082Y0W0xNOm@@C00G401804001100W17pc002 -000e2008G0MdD10008G200O000ynV50X106_V6002800W2000W000800G90G0000060zPRG8 -F64gj1040G40H00G040004000G0W0000W0W01G004G000800G003010004AW04GWfWWY0G00 -Gel64xx3000OWF00y@@C2I00002GW01G000W0G08800W0K00000S00GO09bS300HG00000A2 -a0G000201g45H1C02WG800W2H401008020mG00W088201IO400GY0010H8W0pKQ0I00WKVV0 -0WEtj@p001010Wg0a80G01Q400q0908G00HG40A000K0W4pJ000W010000G600200C2H0ifk -10088I4l2000rG000_ccXXnP0iO2m@@p0W88I00020SW8100W0m10410020G00441HinCuCp -000@00W100G0u@K1mVz3W@1FL30001080800_V@04Wl0WPY1mxlp@01008uFG1D0k@E0zRz0 -00y0000KVy@C0000MH00m@@m000omCp4W@18QPEJqoCZebP6p8pC_700yF0W6WgmD000080G -GG1W002010IWp008O000m0e2W143d1Pnn0YA0304WAnmbW000WESP0000000DZWUd10383G0 -G6W208050GC00WO0P0n0o0A0a1K0W0020m00u@P00X0m40Kb@XO3W3E0_7A0z@00eWY00G0D -34LW0000i05GG0A04G10FW8004n0mCc001K1000WuW3m@1u@@A0zM0y@@IgIL1sts300405w -R0820WKnb8a@A000G5xH53XV200GWdnVeCQ3000W4sm3TaNHz_6iBZ1LVlnhO9KoyCT2d002 -0Wf@Ve_RCkdIY4o91GM0GLxIqEE6XX@GX_6SRB3thLHs_Lioi11SPGI6Fap@300082aocUxm -u_T3kht0GG00000000G54@c104000810aJl102000G0H010800088W0004004pfO0022Wp@D -0H00m0_900W4A3T6UhYXF229a_4QGo010003cR0010000040C40004Gf00WG904004W00KG0 -00008001D6j1080I00YX802000K0080X00010W02001C0apV2zYPm@@60_G18o@JW000208W -8IT3kBr0G0H0802000412202G00W020W01000DwR0011400e001G000018040Oq13EOt0080 -0002080080WA4W00009004GG00044O000W020GfGU300900040wQV30000dnz37pZ100WW3@ -PupV3_9p00G200G000m01CuF3000110000860084B0100100Y240000m00W220OyV3W10y00 -0G000W2G000800G000W_j7300MXTzpmjkC4vl4JWP0G40W@@D08W020004W008004W0W0200 -000240mz@600H0H200041008000G000W801K@l1G4H06yp0W001G4004W000048vhK30000S -XA60hE0Msd710G4Trp04001W4107ldW0H02e00W4000GCG4X800000X2H0W000G001GGU_t0 -000400040020LAO2b@B100847200@@N4401000040400000O0020Oa4600100W0W0028I3@9 -m000ufC30030038Y0080nfeR00mzhVxY0000000XO8@4sWpWxhPOs1300020480f2r4AYm04 -040001P0a98i56608A0MoKeUyJeWU30g010008180A20GG88408000YeQ001028GHWW8440H -80000g20stt0041209Y15J0OGY80oW0JnePOa8zF00H000Y0088000H0200W00G084202000 -4fe08020G5000G0G1200100o0o00aWW0Y8A48048J4W09K0WaG0W94618aK1Y0200GK84100 -CG0H4_V500Ir@@d70K20@Pc0000200KW000f4WP0GW00WKY006W0000519_N100810400xdb -m3Q6m800Oy@A0wK0SiEFW000001WG0000021mfvC010010084WW2000O8000e00400Wo0euV -6G400W400eL@4000G0000xx@A000mA600uOTU0W8W1ee010000C00WCzD00W820G6001100e -0290000m0A2X10GDz6020G000G0010000W080W0shn01011Bxw10WMl@@vX3000G008G000T -PQmSz60400G8G20000003G0000W090Y020400000060G02300040130000H0G200WL401d00 -a00G0pCs30l40TrB4800WLoJ00q70410Gj000GK0014000I0020K2GKv9C2k1FiA10W4Wi@n -0000RLKXy@@60Wf3G000m1048gV6y7W@170m000002kxF0y@Zx_R0000300280yt@09020Wg -0K4H000U0Gx@70ypl@10UCWXB02000001ymm@h00G0_@@2100kW10000g2WBwDG24NW4Dk0k -HS1M380m5G9Edd1XXpGYuI80A08CS6U@@10R40@@p300O000u0W0W0W1000200020000VGG@ -1WW_3W7egAFuXTcnC0_Fv1SNH00000mV0mC0WW@@nVtE3000K0gA004OW000080ymn@w30W0 -60L110LyM1000SL000@@@3KG1000m@Wo10000F000CiItG0G0WH0008SJ300CC4dj4DKbm@@ -600OITPP9kktWR_DezU3QscXruKfr83opdX7vU0100mVt60010eIS3Arc10W02@@R0Ws5Wyz -D02040000W3eI8yU32El204000014s1t001000050W000000GOIS96@8XDmDuvV3o__XaMV0 -200Gzt9G0108BC3CA02SAk1DyRGdf6aRZ13nX1100WlED8qy76RNYgnVuqz7002000W00008 -m4P6izF600AYAMhb1SJO7y40004kW_3@zRmNs60G004000800e00G0K0000004Ay6V20400G -000W2048mB36IsWyPC8NU30W20y@l1WU58U8tWERIOn_400G80G0000GWGzrCatf1000G000 -W0W02u@V30Wo0aoj1v_R048010414800004GCSFh180WG0200a7l1001a00000WG2G800000 -G00G1020100m000W10H0W000000042G40000G004Hj1000WPR0001028E43Emt0040220040 -220000000WW000010W2000W0W0000000208Gmz46W5000080GB0600W0gpK3Mvs01G00016C -0000006140G0080802GX405000020Q000m0K000000KGW00G000GX0G5040020W104050014 -4100008W20H83V300W4000000GBD11W0GG00TPRGix6W0080020120040010WW080010W200 -usH30041GW0001000H0eGW002O002G00000060WG00400010A400000010Mcl100G8EmXXr@ -V8MC3oDcXaKV0030m@@60w70OEm4EvdXliDuzV3_ikY@@P8RT3M_tWg@PuRG3_i438002400 -0W40Y880001Y002000Y000000W8002qrF30008m700ywF682004900aAl1DnQ00020G240Do -d0H00800102C200420200Gy223k4qZ9yV094000000000X0040pz3304za55k100W0000Q04 -00X204y@l14G40000C2G0Y002830004100G20W0G02000040G040130WYqL1W0W000000082 -004GW800iZL2jRA1Wq5Wejteo3380000800014W000GaawC8oz40224yWW1400E6etWhyVuj -X7Aq@1W000GGO0_qtWFAD0G00GhrF000WEUTCYqtWFzD010C404000W80P4Q00G0WimJee13 -0WWW80000O08Y40GWDqR1W000219O414101GW820Q000020G02082WABO000B_3zO000G000 -65028200450O4148Y00000WGG8C41e400GL0e0f0084H0YH400252A2600J12K4f0H4W2e10 -Oo_40001k0G15vN108I04AaW4Gaf4210002Y11een9r6a_g400yVU@NYN1CG000800W00009 -2K0441W1000GGD00W8280210W24000008000W000GY11e08202gY00HK08O0IA00W2mQ@p00 -0aI1000GI0Wn@h00k3mKERqlT23z@mis60000G800GHwC000ag6a7AytZkRCO1V3sZ53000a -C600IxDal@D8SS6kqtWLHJ86OC2xnWZ_31W01010006m00HvM10WDayOn8H_4a00000I08Hx -4010000060001Gzz60WGGuzT3QXtWbyV0W00KY@R001I4404000001010002W_@t0000IR5@ -0GZ1W97nuBL90W00W40W87z70440W80020GW4200eSyP8_tDkim0800Gxvd00e6W_aPuUT60 -000hJ00OsN902000080v@V6m100igF30W80E9dX@_DOJNI2lu100qF_z3mv_F0100@o70_l1 -00040000uA0c@d100@P@@x100O30000_x709uE08oB0000yFS010000q1gRWh00040000u@V -jp14GWJE1WW3F0D7WfebeE@A0000A000G0O063W0WpsC8xw423@1mBA0dzk1018804029ap0 -0m0K2W000G50Y68XKwaOt@400U0CiD600W2sXt05W38Dyknv_6uS00u@@A000O030G08@@11 -cQA0yb20ywV1G5L100Cmhh6W@700@@5W7hR0Lp0GlKm0KDZ7Kb1FwN0xMr0wIo_6eW00OB@4 -0400G800f4R3II3Z_qm000000WkyKsI8_R9c39aAuDe7V60100aij4n_dGn@9yCk14400w4F -XrOCuAxA0y90y@l1H6RGlR9aWU23yGoQO60140vPT30020awM2XgNHnwOCqj1nhB1i00WQsP -8yj4gEl58400l4yGhXOimE30840w4dXQuV8E_400KJapy60040QtqZ7uDeIz408000880eoJ -36Pp000G400084000ELl1W801RhF100G4Djdmy@600800008800G1000W4G10s@t0Y0000gR -0IdtWXxDuhT300W02G000G20mGn60GW00200140800410HuRm8z602000040nM_60W0000G4 -XW100a0100400020I0040OtU30400KPU2G00000G20008200Gniz6GWI2um_4w9B14500D7a -00006eWG09yR0020000220800W000W10W00000WG020W400AG04080100WQOH3W48e014000 -0502000GG0100084C0W0AI20I06W0W0101eG012WG00G004G030400000820W0C00400Ga_V -2W01000400WG0060000G80S800xtp08000000Y0W060004Cul100qK9W0G4Ul140000100G0 -08000enF76G000W0008G0G4008000220001200a04KG020000A0200204G00020820G0A84O -00G0WG8000oe04Fk4Tsd086WYTxD8sV6000G020WecV380020006W008Hy@9G4000qS1m3_C -W010u@V30A0008800G00e10G0G004200052084gV27_RGYG684010004000480G0C45G0wQd -Xn@J001000Y10000008Y82RnZC5hWY04000W08Y80r@p0GWW8800000G41000W400fjQ6UFd -XOJJur63W000050G0020GGZLC@l18Y80Mz7604US00000G0YavT2@@RW00040868JqR00000 -043200008Y880100000824500200H00400W10Y0196O4100010a1040800R0nZ35Wv@V30zH -0yND675R0148H20000002UqmWT_D00022000mXJC000m000W80WG0G0080000kdU5dyknFIa -G00WCQG3M@d1900002000m00028GQXU3guF106XG5pn044002000G608W200000GEcS3ouqW -OfJ00000S000O100vD1p@@600uc30u000q2nGAO000K01ZH100aC4H4H0a4wG44001450W00 -G0000G0G0HG0H0W00020H40000Y60Y0HmH1W8H0aO0642800h25W80H9lNH_w6000O6p00KP -_m0cm10000004Ha0hV01m00418G00G422W0830082020604X820G1408010412GW000G1405 -010Y310GLW0441G159mG0004YGur6ihw3nWdGdsse7008vVCAUDXbuOuXS3gcFXStO0K00GF -q6001000W0mD1@a@V200_J@@l24000G410Qft0W0W0D7R0000efqD8iU3GO100021OlV6K00 -0000080S3w5_XTMJObYP0L80arj4000G0201y@l1800010WG0100G14A0W0G40418B4aGoU6 -0000G2Y2000G0O000@raGgx6qEI5TBgIOu9eA00uKJ900G2000YuAN300W0080H00940GW40 -1000TzcGyl6i_a108900GA28o20eGT3004CKwF3002Cn304WD00upVR00KN11Q000i1G65IS -XU2njR04W5WURU8kO3gT8XOoP0Wg820000@xE0p_R00g2Ggg2W_3Wgy@1@@xr9r@p0m9V60c -P60ym3C300uX70u@@4eZ80w@DUmv1ydxGuBA0uV_1m77LE1X000KfA000000WL70WKj20n71 -3K50_d9pqH70uXP0q@0000000Y800BTo0000O500WRXRGUdIacH8850027tWPXP0000e000K -2W1W2G50406000L00002000Y0004182W04402020006000H10WioD0G5W102WgOon8IX4K50 -0SOW1n_t20WVw85a000C00V410UeAk2004107OW10m000eCt000E10A820000WI4008@G3yF -0L020McK41e6Uu520m60OW20e_8isV875RGe2XKnQ20_T0MCfeOvgO6N9suFXWmI81k7MGFX -8_J00006Q00WZqJOXy4gpcXx_DeOQ6EchYf@De7b4kr6ZQtI0802oilLCKE3HdR00WsqClVO -rV6kahYK_pvd_42fbaNSP0Sz2mH3Ui4l17fPmXyFaRy3bUR0800aE@D0040uV@gySl1jqQ0W -00G0200J8d0000IH200@@pmaD68004OmU3M_b100e000001160EAe108W0400G10020e1000 -X00G00AD_pW8W000080NvRGm@6C6k1000ecntWVyD88@4chdXu0Iu@V300Sr3800uK_70000 -10W044400W00O800IG28e0400080006004Y300000008041C00084G200040GY0850000801 -1G030a00C030W00000W80102kyF1G0007jR002000012puNnS@900G0Acx70Wb0Ufl400308 -800G10W000I0004000AWD_R00049G082W0108100000m02000G1404W35@@R0S010K004PLd -mSy6CsY4pk_Gg@64p13HZRmwr9000WdS00mr@CKTk10000048000000G020184mJ@D00WA00 -WWWDyDWG03000W0Q00000W80G020G0X0m01001000024DQCJYcU000@8P@DEjd1000804008 -000I4G0u@V32Mp0G286e01400Y0iSl108H0MxKbV@ze4z4CMJ0C_l4vYp0000HH826208000 -200000Bnz40G410000amW0meL6Cel1C640wE5ZCpivWP3K100asv641000020001000H2m2z -9040GuwU3001004002080mVm6W080Oj6a_gqWHzD000HL@zCK8l1bB8HUj60100020aGMsC0 -Y08010040G000203G010IT0czcz0041GNy60S30ORdD088000Y82H0A4I0W00W4G00GS00GY -04X00040009Y84002a01X0001G0g0a8251YH0G000086006IlYAFJuYYJsHF1000es600A_F -Xx@I8_V3000ZW00000H004G40m4500W100W00O004060GGez6G4G3YKG0gH9EO00GW20W000 -05BG0GOgdD0500yZc1Jjzmp@L0410eOR300uW@YV80GW0000280W0eu@40G02G0000002012 -10080XrwRW40GWZz31090m@kLKjT5D@Q00000Gt30vz72G0400002bia00I008028m00DH00 -WW000uIp40084S1b7nzd0O000802100002qXXm7tOmQ6YZi20020rbPGiv6q3k1800W8W300 -G00200G0m1O0m00108020000G010PZoDo3F1004020W0wutZbIJ00GxJs6U00040W8400000 -A200DFO08500800W00090000200W00040K08000G40IXK04404_G500400008Lel16000Ga0 -0iBl1RsOmpqO4xM2n7zmP@LW80Wu_I3_Xt00e00000Y40000078AgT6wgFa_zX100Ccn0410 -00yi300gx7300m@s0008mVV1mFY0WR_10ND00000_NbP0000u1F0aDp0Wg8000s0WU416L11 -@xB0KfWOF00m400H6GI00mWwC0O208_QC400000H0002000W9wmgPu9x700e20002WA00Ga_ -6Wm00000G5H40004W812O0000K200W2G5G906000L08myD28b70E10@@R002C00000U000x_ -d1FY0000WRK0W00000mJ082H0000y00n@d000uB0UY80028ytg1u@10mV@1e@70W8A5gfz70 -00W9T00eLSFYmFX_mz8NSIW0G0SEy3pv@0100YA_PefV300CFFXU51GRmJyC0020vSR3Ect0 -04G008080000010W0004GnO64qp9LfZ100800010HnB1u@3WZqb0008mxyLaHF32000E5lbe -vgOszDI881000eo200Igp30100004000010GG8uHT6400002008pN3WG00Kai1rlp0200800 -0W@mPGQzI02038WFC0000Mpl1ZzR000Yth_Pu2z4Eit000W0202800000201e1030G0000W2 -24G00010Wq@J04G0000G025C002000G0O42V20840W400H002G00G00G0000200WW0G00000 -08ORA304000820013G0000040010W00cjtWWxD0040048010000WA00gO@10001009002K00 -G000I000C0000001000W00G0004G2K05KEE6840W00404Ge2081118W80G28000H400002W1 -1Wd@J0D008804WsAC8kV3Amn00400080GYgnWwyJ8wU9EZt0000y1az0W0000400e000oSt0 -240n04000G4G00010W0010e020A8WG0G0X00K00W006G0001W20480G4W88X088W00000Wn6 -26yta1xtO014000W01t7_0014X_@DO@U3Q_sWlAJOhQ30058W00000mJx_@6aLl1Trd00W04 -00C0lm_001G00W40004W00024Wl100mG000WCEj101K0140002W6uQ@4W800C_V2dsR00400 -000WI000AMFXQ@D8Do7_z@1W3601NM14100Y000VNR00I0000004004142801G0ek@46yt00 -400082000G8WI01000080W0WX_D004080Y00000W0200g@t00G14000214005VT23tRGcI6i -vl1P9ymJ@6O700Ooz7MJw1G8G00010gEB100100G40010Y8200G42W008040C000000HWW4G -402WP00800808002G0W0ArtWt_D000a00410001WzEP0H000W0085HcmVzCavj400ogwNn30 -0W0dnc0028W7hU00GI4800000X8TJy0000GH004jAOmS_602000W11020We0sD8d13cad120 -00roamUyF0A01uAk4cO160008bWo002G0000Wo081W010G0041220mEo6040001082500849 -0W414H8WG2102020400A00G2000ZtRGC59KZY1Xzy0I00WqsUejb42pt00401600H0G42000 -K030H1G02W_xI005WA0K000e84G40080B8O20AH4WYi20W8W000e00002L0G20610G800004 -200G0G204Y80W08010100Ga00X00G000G0101SWZ100204001CbV2Fvp0008sNzauK530W80 -0008200G48020800008208240G040W000000G80W1H000Y080H02CY008Ga8m82G85820GY0 -W0Ijhk1a20041900Af0WK00W0W2YH@D00009000AG10a00008540008000G100040085K00I -0Y5t00a00a200E@d10z6KVWBnr@C0W040020mErFy7j1PbRWW00Wt@DuM034080_fl1000m8 -8000440H80WGaDF0WG0e7E6a1000400euc4000WS9Y1XXd0H10WT_z02W00W000205060000 -2K0arT2p_RGVEC0400008W00W908m1G0Ga0G024A0G0000G8gW200800W08W0X800W248bE3 -001425090G0X1K00008GO404000100154vmL3sxc100sV80GH67tW00VO_73000Gkek1DdO0 -0WG0008000I000G0W300Y00600O8820208002Hm8o00000W1700W080q04402W800G1W00W2 -0G08200G0G08000Qit0100008005080W000002000K0X59D000cGd@9iOE30pS04200zbS5I -0000020004aG0018008200K2Y005101GW00001G4mEx6048000G0GFG6008850e028eF0820 -WU100W800280W0z008W0S4m20Y02mGI1m0000i01GW0O8qJ2610WX00WG40024040sI08H0A -5OB1Y0mCK0G00000E000oXF1g0B0084s02000WG0m000081000G20X5B10G0WXsPW04L2080 -08090V1b00000K30K000000Wlw00YG4010000S810028185C1GAO84Im4Wa4WG8XG8II0oW5 -aW0dMiA00IlFwl20c100000C300yif14H10K000w330000mCZ4OAJ10Z9rp000e0H01G2W1Y -0mFC0mp1IYcRW4Dk0SPS1M3e2u7O9ABWJ4n0d8YB8H4N0N8kWi0S1S1u4p2m9ICWIaS7a8n8 -mFYHGI0v0c0IYx1a49789IC100y3m400OF00O@@40En000W9000J0c0c09100O200Abc1020 -00G04ohn000002000504WG0C0m0Y000a100020008200W1W8mC06040p000O0000401fgA2w -m3_dggCZPEuV08uCcHm3zWWPA31@30Fy70ggA0CpC4ScP8uVFGmCpWWggY7Ey0@3WAcP61zF -02Irg0qV00000egurVW_00GC@O000_060000sOZCtJ000w000000q10@@R00O00OW2W_T7Y4 -H1G32HO0H0G460mWyt9v_iJo@t0005vRk5IcbFKNS5Jc7oPzFC7V2NsRmdYFSji1r@d0mn6W -ktOOxR6gcp004OGdbx10n008100pvdGp76a_@9hzdmGbC0000iq932iF1J1W010ZnN@6SgT5 -xN9HpnjCf73bx7200wpVrPubT6QSt0000ArdAnn@C00G8CyT3oStW3zI0e00Gu_9S553DzOG -5yFiPl4W000QYF1maC0Fk62020aT@J0012n2_C028W000008Y00008WW1a0EatWguCuRT6Ua -ZX@@D0G0Gmlxd00003g01m@z600YHemV308001G0020G04W0040550022004G000800G004W -02W9RP00H00010OG024008W0140W00X20G040000004Ghwd0000YmyDeDV3IBrW4_b85@7Io -d100oWbvdW090W@@D00G040040001400208500Y000480000002008WNxPGr@9020W1Wm000 -162001e00AGYQsWN@D0C00GN_Cy2c4TmPm2sRizV20JK0AttWszJu2V3Mut00010000G0020 -col10008YwF10040000X_Vt00404000G1G08180m8tpecNDgX22jGX@7au@0D_@Fa@xJv@zO -s3000cl10WX_LPz9LAnv@HWgRPyAwjd1Y000Nepmyz90090uB@AMPhYrxJeVjDgY8700Umxi -NHLt64tl1ZzRm8_9St43pkp00W40W00GbYRpIRIy5C30sM0IocX6TP8wz42ozX7oVeMy4QjY -XkzN2000Z_10WjvgOWE9AuAX2tbuzr4EtbXbpnOxC3ULEXvyD84zD00qN1000epQ30000820 -G8Iv400110002W200W000020G0800040018080000G0020000010G00010G000K0002000G1 -I006000A8240W00G0000100W04000820Ysts00G00Z@d020000200WW0004G0aLU5Vvz0GK2 -WjtD8xV3m062K1k10AO66xq008G002000002Z0G00011000AAG14G08001000Y0090WG0040 -080C4241018G0OSoi1tTRmem94l_3002400000020H0008800WKzb0004GJXC000WIW00m9z -6040000OC0M20400000W8880200200GWC00060008000W940120O24W0WK132041202040e2 -02Y01K0403G012902CG82WW440i84@qV2G804gs_Xf@JuAn4MlFXD6t00WoLmx6Ki@3000O8 -W08itl100G0QLpWo@n000400G0010G1@tdmYt9ybB3J6sIx@C0wq0u@V308W0000e0000n50 -6G00W00W0W0040000044100GW8Kml182000050qf83lyRW01WP00406000a14000Y00400m_ -tC0W10eRbGgs1300mye7006_@X0zJ00W080480W1105FomKy945k1H6O00G401008008GOa1 -0aNdDZni100LA0002000G00280800eJM6We0000K8000G0CWW0GW12W100YTFXV9I000a8G0 -4G8W0Y4100000120G0ez33IzkYt@J8gT3krM50N70ZWd00000010GW010Bmt0W402bXa0404 -00000G484w7L20800G400G040i1l10W400WG0KWU29zR00O000C0095i100WmE8m0000iB08 -00000020G0002008400m02005800060IW204W03O200gm030e022B4Y00W884600000GW10I -jz9WO002G481H0G2W00HG4m0820As0k1XU_0W0000300fPO30WPD0009000200141G00G001 -8088G0G014W020C42806K0228W26W1XCG0Y000W0000041002100800W8008100G401082K4 -8100cHX0C78G2Iq8m2G00100000GOKfC00e0400Wnrs6Ct@3zkBHp_I0Ii1uTXA000Wq9W40 -08040G0Cal1R381008WqFCe23F_KgYabs0000ky10WYMnO2M60800O000000WmrqFKsF3006 -0AoFXu_P00008C20Xfem812F000000O9DgNC_ErWRQI000G0801WgRV0010Gq@604W00000W -G02W0pJu@V300G4qyl1e080AsEXK0Cux@70A00CzF6Wz500W40y@l15Nd00G0000WGWW80W0 -0008K8000008200G0400200AxcX0lD008W200082000O02W800001009eT60G90W00G8Jx40 -003a2W1PidGQ@608Yau1vDSE00qSi40800k@nW0WC00A1Gx_60WG0eDuAw6d1CF8pOIGcnqC -00WCpCZqY00ilG00pN00000aw2H0000OtP1800Czl10KG1ih86u@wqWy20W@30GQ2003Yp00 -0uVF1m8G0mkX0W@nAL2qApy036uA0Mr30G400G01iua1W0W100004Yj1PQR0008fK0IOh_4M -2mWZwt0OG0mMx60202OVT3W0000G000W100020306W8080CIss0000W000YG0200040OC030 -00045G2W0W0Y28XANT2000Yi00Wx@J0070000u100GaY0mFW1000W1kG400WL_d90_wB0Cm8 -0G4H0028Gt00W@F0Wy@D00V38Tm0000GLID50000du40ZG10AQewgyyS24000svU300Qh1Sx -H0GXqYl17XpGOuFyUD600022TfYg@b0y@1mqsR0G42eWS9QkEXrbJ8Ru42bZXWw3PsyGoXF1 -q00057Kn3@64Tb1FdlHHq9aMtC1ipmctR00WqViyD6sxX4mhelC3o9tWGsb8jy4QGF1G000R -XdmLv60Y009JUF0_8GikE3W800UIp0G00W00WWW1000200CS_4QFp000H00000G400900000 -014860204008000001000WG86y4EiibHxVOu_4080000007P80G_@604W0000W0a0WG01800 -000gop00H00K1Y00a08X0A08RE3gmo00420We0408G0Wf0G04GG4W8W8G800800G2ioWJYC8 -9_A00W044U5pj@GYuC00eUi273Mlt00G0000L008004Vk1G018004S001W02SWWG0800W040 -0400000g000WW00Y1O08G0030W0a05WO0051I00C000081800fmNny7LyIl1W01WEbt3GXC0 -nIc020000E80laR0001G0008W0W0w_X1G000Z_d0I0040000006Y0110KJN2@bdm8t55UZ10 -00mX200CPU2jDQmq_6012020000088WMPCOfV6000W0G8000W01400G800000041JG002844 -G00GscdC_v9dqR00cbXGzP00H820m400G42pzRGuw60G021022GHP9ahV20411G000G0W2W1 -0W00WW821G420W080104e0IlMa0eF5W9FD021GmB@9W0000G0W02I0W2yDuU93ERF1C100aW -1H00W4008100W8200020W080004MTqWPME9EpMG302qnF308W0W8008064000200G00Y004R -W_0041WtvD0140000100022XvR04001084OG200oXNeTxC00G002Z00010000C9t@t008G00 -G00W8W0I0b1000C262W9A28200W80WA80G040450GS@9821000470H0WK001E800W0GG0OOH -056082e4Y125Y8RhPGYz5LfV20gQ0WW000010002Z80000C50e08GIm5000200G0a41C88G0 -812A424010HarL2Y0004W8H004O6224AG4eA0G400W1Y0I4582O204H4Ks3BH8808oL3iE00 -CbB3a20000K0KzA341Ae0200ivw3DqPGAj643B30We42ST90420000000KV_@F3G00GGA000 -4e000000500W_FD000e2000aCUVe7d40010ixR2fUKqam6Cjb1f2R0WE3W@@J000WC40080m -00XSQ08000YW8W0GWG00W0WG04v@V60KW2qJi1mW4080GGG0000080GVBB1000004emin600 -0WKb00GYr94Ai1VMRmgm6000100050G0505080j_o0WW1420d00CG0800281Ya0000GEa60Y -008ERXM4s0004ra000080000OSRQP6000KtVC3d@P00W5WiZJOZx4020200001010GGp6Ctb -7@@@38O7W@@D0Iu020000G310vJdWVt1000mElKOmU560000000WF4000Oy@70i600u73000 -R000sWdX200So6_V000Ey0IG00u@VXGs10000iBRs44A0043d10p00000c53N200005020X0 -C0G14100Y2WUeJ0006000e0O000f0O00200WA040C0LYXR900GLA0000m@Lz@l1002mJxE1D -000U0EG0mkp2G4@8yD3yFWZ0W440qG000E106100@@RWkH000a38Y1GcQts000eBC004zmkx -0X@_1WxEB0n7WDh9fkvAYy63mYB0nYpmttOaHf100G028NYYmD00G00008iBenueS3ob@110 -0WtcNHwuF000WKZ10G0wI00W0i_D6o9WX2YV0W80mHw600WW00200140W4us8Oz4I8jYWLm0 -00_r2xCCOw3rh72040WrMPetZMwhyXRpP8@w70tO0S_T2JhdmuL9Kik1000W0W00LOb1002G -c2@XWxC0000101G00CG07qN1000ebwDenrAclsWwth02000000UG300vNR00W0WEuD00G050 -40G8010HFQ09O0m@@DG00K00080011020126FpWrHD01WG0008010K440G000026SU20800w -bt00040hXRmTE64UV20080sX0ZnxJ0W0000WAT0X00G000101000400801141YH40GG0000G -8W01e002J8800084CG81G180W0080140120W4W80G0L80229W801180KeG000Y026040118O -88EVrWB@JOlV3010104000W8002000C001bmm0420WWsh8iU30020100000I1ue59G000000 -900G800200080e00W0YG0b04SK084000W00W0114W04O0O440LW8002GZ20Wq0q0WW02eY24 -11G0W228084002442wvt0000873fI__O4vl1000OjE040020fr63004030G049G0415020W0 -G0004002400000W5W2084WS_J000KGL@9000018000040O002m4822EutWqcDO87IUytWHph -us@400O06YF300H0B5sWjEa000030008104001000K00KMf1W004000C02W042W000000012 -00Y80IbtWdon8qV3AZtWG_DOASCG021S@l1YZR0Yrt00180G00W8211G0A0OsV3W000qlL20 -80H000W004004280000004142Oc0000800n0OqV34020208W02W1mRlCCG29dQk100800009 -000eT4000008uvQ300200W0GOc@40006Czk10W041002004X000400GW00W8400100082800 -0000X0G000O0000802MsiYW@s84_DEJtW1_D00GU2000040O23epmFW6S5H2O000420000X8 -W0010O2020006G00K02000540Cy_480YW00G000W8m5z6S1u9J1OmrS6K@F30014YdpWk_D0 -8L100G000I003AQ0Y4A4I00WF_R0010080GW0G02Yzt0W8G00e0CGHW120K45042000CO4m8 -60040e4C004K7040m4WWWK01C40200G000W0082008qc_O00001008oK06K5F608W0WW0801 -8G20009G10008G020e0GC11002Y002W0Y2P6000W00040G0IW8000818206K444n4mX6U019 -114YO041eXGAL0gGG80000m8W0e0CY01GK241C221GW20XA_2aXbunezpG41000014080090 -00000j94000a00000f0000080W2001002300UtdXq@D00GHW000H08Y05X@0WW2008000002 -100G94008nU3saTZsFnuxu7MDn004IGW2000HRW000820G10G0000a100000100Gqol40010 -0W1800000HW0Gr@688008gK304H0aul10001_pd400012000Q1s3W0000042000000205000 -gH540000581K101e0iCl1rZpG3v9000G08W00414WMCCuiu404400100W804408C010O021m -0g@UZwXVO@N6cLN2W0G000080GW000mp0024100GG281000044011040WfvV380WGyzF3I01 -G800W0GY800300030000000G09W0G4001GG40000W48009001049244bKF65rd002000003F -@6Y88A00020S0000r4a94004Ik0W80000C2000400m08rEV29cp08302AG02@m@W20000m00 -ByQ00W4XciDWcf0000ult@nOWQ30u@00000000w00000Fy10@@@WCp000eo3U8o7yGqg2000 -LMp4j3F0Q7UmCoFWgKL0TfV0ZG10UUL@C0XNB00H500L000Sb00m0HCpr2G45omR908cP400 -A2W_V30000t1JD0001C300O3R0uv000140004Mg4lUyGef6qwT2@@p000GK30006G7G1WEW2 -0H100WP7G1GK30WuEG705mUWg0C000P10006WDyD020600080O000vWd0G5Wh4uO0000e00G -K3W1GA03040L046kJI0I042X000000060vy@681rV3000WQg1G00000u40vE20000EnEY000 -0m9A0800000S7WwJ30YG1FygVg220yxF0ylV00OV10W00W62W@G31py0A0u800Vz0KpV2b@p -GhE6qqj4400KIDd4P300LX@Ga_6CwV2ZWpmW@C00WWukVC080GSpi4diRGJN90G80uVL6QYE -XvZV00W000WJqZyP8w@40804200000048W00XpzDOPV300W1080000W08G01WjzDe3U30O00 -sgY1RxRmVqLSXE3010WYOMYb@JOXz70Ib0ywF30G20kIt004W01@dmmr94SU20040ZgFXNrD -8ZT60080qOF6r0a0000Y2yDeDv4s673000uc700Qp_XXgO0A00020GWh6OOfv46VnWE@De5N -3IOtWZuhu7U30400b4V50W444001SGF600eeskd1G480000O01400W0W00W201080000K000 -842Y1200004G410W00G0X400W0040G040000040G15G00040WO00W48i__3DppmY_6KZl1NA -Pm3T6K2g1BsbmzW9CSl1luR00f7WIfC0W000WP0m9sC0W02008C000W4048YXW0SX00G008A -100204088G40140W81188011W0GY0O1Y1200GKGq0bWWY2100H0W00hH@PeNV68000SIW19_ -pGL_6G0G8erV3wx@1W4000100000GO50000000180aICD00G00G14O0000mX0000A01m88G8 -OW001A24020W000X4440088400I00001202W808002m0300X05I8e90G2G0040W21UufYszD -uAU3IxtWuvP00050000X7eJ8f@700qED@V200810S42Cvl1080000m0G00G0G008H000C00g -2000082020W1020GC1084X00W400W0eG3G00WY08404G10I0G0G000ke7Z9KIeC_70O00aqF -3@5dGz@C0Wv0eZ@4oqNYwyDOiN3W80W000040024800Xu@D0Ga0mN6600A8ONV30088anj7P -LPW000mv_P8nV3ggmWxzD83J3Ext000Gik310U@F10041Y08040G4004000W4100Y000000W -80o@d110408000GX81000GGWWG80410002800001I00igF6hKPm8jF0001040000G2W9_b8d -@700WFinG2@KP0W04atXD8JV3kCd180207iPm3_9000G3000C2000Y000000H0q0000a18QJ -F010000m0e6l4cqLY_qJeE23W0000Us0eV@Aow@X3WP00011HG1W7uP02H00WG008X0GDE@G -ouC0u008qp448000000000I200080080Zo@W800mjKJODI3OC20CKl10I40009010G8080I0 -0004008H0G80cUm00800000H00G004G40GW68G882G41W8030X20001801fH05H0WA0W0941 -8X408YKLA63qR00A00G009002040H000000056GDz6a_k10804ZLt000100820cBp000WUD@ -RW00W000020G0Y08G404W00220W00000G200000820WS1W18080G0G2e004080C40A000WW4 -mW2Y50000W12q8048I28006884820wc53000KzkP0f80I8000VUR00W200G00xURmnt9KVA3 -00000KQ0aij4IG00I5mWhQV8zv40W00apl1f8dmAT9qnh782A0008W0WGCCUS6a400S9m3Nr -PGy@60000dE00GVaF00808PMCskEXYmDupa7024000aGu75Cu00000H200088HS460GW2010 -0820405amWC00mDE604000140mM_94TR200CyVlL200W08280MA73000G0GG066M211W0000 -FM3t30040G011001G8W02K0000000mRPCe_O6wN9XO@J0Oo0mDlF0005008008W0W3nD0000 -Y001WV@J0AG20Y80W1uDW840HNz60025000448W84G0017WN1KG0000G8000610W010XetD0 -I40V1M0W80WC4000Y00GW000G2000WG0090jIyGgv6mP00OoP9G60000a01000000OW4oV8t -U3800b012W8C_7sUXX@@b0Wk@70000GD70@@p0qG0000m0c000gvV300J_1@R000uNV0004O -r10Op50Gp900cG00000CUU000000m60200000F28yH0G@Prh_mW7C30IzLZ1XOdWH000M1mH -0ecQ0uV0Se668k00O_1P1e3y3G9k7WIqS0b8n88HYL0J4ZWi020C1a410000000W82_mqWeq -P0Ok2m1y6000202040800WmsD08200W9G404GIvzzW0W0G101060300WE000G1000600040O -0g0G0W0e20046_60GGLf207wW@100pC31hgA2_t34yF08uX7uX@0m3F0Wgg2G00000u70080 -0000_7000000uVd080TvRWP00WB2Ju8E3syt30y1600WEC000wZ80GX00WSY0GuZm3sHadz8 -0PRV0Be6Y4kD@XfCt8vdD_@t000UoxtxHsB94@l1082021810W00RajnAtF0000CvU3kTsWz -yDOaV6g18Xb_b04K2mv@600080020IN_C0G002GW00000400G200G0_XtWYuC08000000120 -000GG400000804000080G000A00vmVoptLitR5000e8C00KMA60008EkEXaWb8mS3IpEXSnD -etz4w5w10040PTQmiq94or3XnBHMv900G@S_@440080G008@S32Km0G000000G0800X00000 -08080000K4001000CW0iGl1400aUs910001LuAnKz6000XO8T300WG00020018W0W040040b -yRmOU64Jk1HkPmUxF0CtWeyU380400440W640200000WG0NPP0010XJ7CW00000G0YSJD050 -010G0A00H08080W00000E00144WW10WDWDueU3sVF18G00820WYXZX2pD0W01GZu680080W0 -0ODyC0800eiN30014018000002m00000W0A000001C0020000G00442050oW020WCI10e040 -I0W001W0042H0g0G404e0aY00Y062000246a8OY004GWI0014aG0002GW2002G0041d_@180 -G400G80104Knl14400W00000406W00o@_9GGG08e83oL8X8nDG0800G000005504000G0500 -1W008100uG0050022e0W0J01G040WG0W12e228000010GH40OW090G10051W0m8H005a8GG1 -1AW04011e00GW21W42480G01414Vq3FrmGFz600G40018mkL6010W0040mRz94cl1RSOGx_C -00j087V30008GW02uid4010W00e6W0G0mr_6G00000O010000I080j7O000AG0I40000W02W -1W440eJh40C0WCzV5dIOGQ@O00Y000K0Gk_60W00ehV3orr0000K2200grFXA_JW0W800040 -008W000GW80000010010oDq60020040G01000860200000810G400400CGY_6q7T50004100 -24iE3G400l_d108303wRma96iVf1B@d00YjP24W0FpR0G404W0080G408080W0020Y00mb_6 -0W08604882000400800000C02bwl100430W0Yyrb1Hed0880n8NsG400GpS9Kzl1@WP0000W -4wCO2zA07q00000I010mty9000W9zU300Y00104e5z484W4Cpj13MRmC_6004000K0ncs9KV -i1LedGewFKnE300J0scd104001gRGZKCall10000H100000800eW0010G8000pcR00G0004G -00001G4000W80uOO30000200137100000WGPC8Cy40000m400uPq7_GpWEwPelv40008KFY1 -0000WWe000010Y000L00XCxJ0100Gpw6000000mK800008G06m0001040Krd1050Gm414G42 -0001I4X4I4I8o0aG02W8W0Ye101W1H0010K4WGG00m0WW412040500G2081000p49WR000W8 -00W8PX@00G40008000302Jt004G400Y80000CJh1G000W402Wm0W0G040000GW0G4000W0GW -0STU2W624GG402900G40W14Y021W10W8m04e0008024Ga84I000000A00KW0IW4W5WG448M0 -23P80W040WG00We000H000CK00m0GHG40K000QvtWGXnu@V3090000fa2100W00GW14D0K00 -00H8X_aD8_UC8700Ks@30W008b000002uIk4800009000000WG1WWCmbuSk7gpVZt@D08W00 -0W4000200818sbs020589yl1002cVsV0800000040200C010A6d100GmFT@Gix6KKW4@@l1J -00W6EC01802000F00080O4GEEF4WnD0xzB1040H0W80G1K01005W104eeT3olt00000hAOmp -x6000020e0Gmu9ih@9000W4WW1040W0010004Weq@D000AmC@I000K0000EZ10eexb0GW000 -00G2e0000e0UmF10300002Kg@sWCDJ0Wa0GWI6C@l10Ie4_@F42GV1080Wm2P2W00Go80000 -a0030000840sSDXyuV89L3A8@1000z00WGG680yOV2G0020000001Guey7cXmWxLb0069000 -00VV50D@@0p00Wu@hWK0000_lR0qA01zd000WA00004H40G50002F18woA0u80wmCKmBe416 -mm820OyY0GT00Wle00yW73K800e60S200400000HSzl1_m4GeA00ex80OVV6U4L2000OC010 -S0L000C000Y3004P00GC1W0G0a40201008nD3YFAXAnJ00002V100020004040808000GeET -3000Wp000M1k1e0m0WmmP00060C0CWjmD8A030O000e2m0014rnoRqIV20mTL0G00eR00y@@ -70W0TB20_G40k9000000uBA0000GY1qyV200Kmz0WNw00rd0Vmk_Oa0W4G00y@xIZ910yx70 -00000C0200000k3ekH000cIz_tCwHF0V820X7000WF0yVR00WE820000Lz@l73OBnLg6K@D3 -0008IPZXVSJ0KG2mLsFSrE3pQbGZ@L8000uHQ3U4tWKF210G0000GWkeD0WO0movIytK5000 -m1700SsR2hYR0120XRwOOS@4040000G00G04Go@98G0HuXS3cKp00082tZ42029WxoDO4zAs -ztWDiJO0L300erV9_3fYAnEvRqet30200FpSZDzPe9E3_vEX@rJepy46Gd1W5C03f_0000X3 -uD010G000000030didm6m6i6V2805G0I00qvl1vn@mBIC00088xWAIXt04080Z_R0010W9tD -0008uvA900001000i_00WxuD00008400m3jPumM30W42028800G0GmV60008040G46W08010 -G0820G10WI100G0555801110HW3mdGrzCyIl18000G0GO4@Z10004W8008000000GW000fhp -J0041000W00GG000000801000X040000WhN00C0G00W40200020eAY40300800W22A94e0CG -404000e201G00e2WnC0e410W0W840A8e2GHHK0WY44H30gG021010041W0C004bDh1t1dmP_ -6CPN20a4AI18XVzD0W2G80e0mDgI0400002000G00000G051004G00G000eO000200002480 -008008O3T3gqr00880mO0O0aGGW20WW440A0000H0J00W348G002K0O44a4aH3135M502X30 -0003220X023G84W0a4@J8We7Aa_XGyVO_V302080000000KHC@C000W7Z00ICn6aQk1Vfp0G -8G0G0000W1008000100a00I008500000W0W2m00Yirl1003902X0Q0WG20X00020G1008@lL -120100800vxPGd_O0001yqV3UYEX8@D000EIsyI80W000H00W0004XG00002wrt0W00CliR0 -0Y000WG00W240004X0Io4G80md@6yHk1FBvns@O800840008G0H000W0bNQGJyCOsC1uLR30 -020100028KW0082020W0lxR000110040W00YG1040W00xd9340010W00000We080800W00HG -800Y0030010Y0GHgO000ouRV3YLN200GG000YYrF1G04Wb9@0000Aj0001TdGmT6C5S2byd0 -W002W0029_R01G0G801ODkR004I0080W008G00H0m4000004nqVR00a1CE_A4P00000W000W -v4d600G4W000m0wC00e_FiV60020qBF3lzR00G4WlXC0020W40020104G00msOF100G08W00 -ozFXrtPOeW7040a000cOJrA8004Kjl100200J41qSl1pjb0mk6W3rD001A000G8084GW0005 -0008202420W82G100020048H01GW00e2G00424084m0208G50401W0014Y8Y010e0B20000A -W000Y0Syj1FxB10GW000m4rnN100UG00G409GWWC6HWu00401200W0n0FI8@V3aE00aLL200 -W80G01We00yz730000WY80G10X401O808GX8W6A0W0GWL2601L030962e681Y9m041JK9420 -H4HK8PW820000m0Y0ocLY8jJOOwD850001018CS66lE100zjHYpmqu60W4WASy4000Wi9f40 -00e80041410W000008840800000210KGb9B6nC9nziI8004Ol53_eL20DF0FyZnCw6ytj100 -G00W10q4T25TQG0a6W800eo_4a001CPZ1pvB1000bqUmuQP30080000Wu9R66ut0000Su000 -000008Y0uhD3_zt05G8G000HOG800000HW01Gz@6iDh1lcd001G10W0G0800028000440Z01 -21H046Y00vmZHdlU00G1De@70000Dvc100sNA4d1e0403WP0G002008GfsR01008W400I800 -WG03X0K08lE3W20A85G1G2001W48GY010tadW00405000RMQGl@900O1eZU3UBcX8yCu@V30 -00K3000002Wv3o902000G49HO@60GpW4004oUa900K0W03W4GW5WmmD0000X100WhuJ8FB30 -00000083001006X8900GLsR0800000B20000Vbl2t0O01MdG2@F0W@@100000O6GrK307BW1 -s00Ww_J00f000000T00WP_R000mHE000UPcg08e00mVl2W2k10000@ZtVwEY7y@D0ecQ0q@Y -003410aPc2000Lf200KuF36000oJd100K10082G500yRl44g000G0c5vj1000WG0002040n0 -80mSg6000000m@C000O40000W901000e04000P100060004100O2G40189020400G4u643d1 -4C0C0G1g000m000G4000K20WW2G5YGWA0W0400011mBYL0000axg00KGfxx_b000i3H0008W -8Y046e00Mp300830jAbv100mG70n@d0087W@@D00Q800000@y70ZBR0Km0W3kC00800008O1 -0OtO000T00aflVqa6WyF20OA0_lg0e2Y0Ot80m60mTjUYO2G0K150Oa00O400Cpl4bHQmVua -S963XUR0000Eo300J@BnPzFSJD3zaZHZ@6iRb1HdymdR6Ssl1jWZnqrIy9U200Q0drFXEgD0 -00000W8WIoJ8Tw7_AF120000W00Q9d10010hUYn@v6SN9C3sd0883W@tme9E6A8F14000zYM -10400G000PLdm0fOyWe1f4uHkdICmg1nwRGG_6000WeOF3wEjYbsD0010mQk6q_@39ucmWxC -G0000000Hjc6yjT202404080q8F31z@0800G0120200000K09840028000W000120aG0G00W -00W80W0100G021I0H09tQ04000H006Y0014W00002W0A010000100800400G0020W02G4001 -01003CW28080sYM201080200EetWpTD04G0KAz60100uFG3c7p0GW0004uW0800B000010CG -E@60ex31000C04W24G5G0200031000240213001000X45040C0018G100I0X00230100048X -0G00X0800G0L80a0WX10WaWA00002G08216uUv4oRtW9yJ004080W000250BJd01018W05G0 -018kiFX3zD0WW021004G90000A000410GI0g4Q3Ga000001028WWe0400G8521001024GA22 -2000W804818408XW200Y402CGYW00022f200X0Y29G001eW1014CJ0025A04004m0024nW08 -G0O8xFCA_l20040habGNxC0GW0ueU3YUt000zy2E004420040108G1000100002JTR0G0c0C -180lvRGSp6W30086V3gbsWVzDG02000G0082400000m00CinV2x9_G5Rj004H400008G0010 -0002000Dc0iwT24104w_F110G202W8g5d10180020n_ds08020C000J3m0GW804G02084080 -00OgaAcYR600800O4W042a0C0020049012WvpD008000X000H028220ofr0W000Y05HQrE10 -8600G01G0020W001001W841WxCC8yV30081CFl1HT_0108YCxcH0000GI800020GW0000ez3 -00W0008Ihc9aAk4tnRGbt90090004WmDw6KHk1m0mWQPmWU0COmU6YX9XWa3PJU6Ust0000O -00014000yQi1TEz0050Xatb8lD300Y000YGfiV3cRs0G00G00000G60000G08Y0mn_9Cjj1W -080cOFX8dLXeY000G08008DeOGg000G15W00W00000W808200W00G0H0G40004AW80K80GW8 -000203pt0000Y4Q600eg5WHW2WA0e0GWG1YWg00H8280YmG5O0m084011SWFjbOsU3orVZ2N -h0004W0100KLHfG1XG0G0000eAxXV3442080HW0e0020300W012000C00W10004128GKJ890 -0E000G042e1G32Z0014000G403G32G80W28120GK800204mW820D08gt7IAWagxV8KV38bK0 -asl1WNIfQdt0I51020000bK0kNl1vipGj@6GW000000W200W@yD0G00Gd_6y_A3dlknOtU46 -_3450000HW041000J45000OP320A000050W0C0m20G10GG0B000K0G8000G0ChD3000GW000 -060GSnS30082200G000aGstOyOl18400s4@ax6Du@V64m020b1420m0000@T21W800800C00 -090D0GJ00W0K40W61200001P2ENy3080S8C040000000C0002G00GmXpPGw_6qTv3pid00G1 -WBDX9JI301WW04K8XW000Gr40400020I401Y010000010A0GKWV@DeUx4000W201KG0W20W0 -010844NoR0G140WYG002008400042A10002000e6wP0100GkR6aJj1xix1108WbcPOpU3mV0 -1006G1000FjfF0820WI100m80028002zI08WGC60K000014Y4d1000H0005Uqt0G000hcdGU -zCiAZDHAKX2000D05C00M800W000u3L30wAN003Oq00lIR00KGaPCI0000000G50000we30T -820e220000e2iG00Xi30E1Wly5W@Q00@rB0weHk200003h9@mDS6G600OrgDk@FX6vCOM_40 -0e20004WR00Wr000W200W_IWa0v68HoDWRaVWi0g0_1KYo2e4HC000G000e3W0000ZUoJ000 -0A100G1e2840304W80a5739Y@GZ@C000g200_Okhmuw9000lyWx0SNR0Oc000Gan32wF04qV -0yWCpu1FSGLgImgK5W72Q0G320_hFW7000m6p@R000e00Sb10q53S300urNy3000WlK0GkM5 -000005uApWA@UsJ6eEL1GL00u7HoAH_y6isB3n@RmJz9Cel43qp000wz0RF9_U3AVJ20W0Wt -@d04008A0102000lYDXMkI008IGbw60W00W08WH0_6S7k17@RGqw68100uKU300006HU2WzM -0c4d12200G0W840000W408br4WW20K3l1I00000200W0GOkw4cRrW1dC000G0404020G09Kd -m@@C080GevV34008CFa15cpGc@6CJX1Xuo0G000000q66006VgYjoJ85TF00020e00u@V30W -22CM23ZmRmQr600080220GXjCiql12000oM@XR_Jenz400CEDoE3009000008G40000GmMy9 -001AuTT30030igd18800cGFXIvDOrI3000010G0G0400021WdtDubU300488000008Gm4d6G -000G050mru6CBl1W8004G000WW00004W0002G00W28000000zLl1WU00000Wikl100AGAaF1 -G400G040MZmWQrP000400010800W0G200A000202000010800WM01vKPW200100G02000100 -1e0809aF30001002000082280000018248Mtt00G010G80m0012G0102008400801420cG2H -0X0289800080YGW0000eyfWG0120104O01C4008W80Wm0eGGI80010380KGY4428288nHGG8 -0Am01WW40GI441C4000800C8Y28G15402G80L0G020W040400WA0000G020W00400040XzOD -00O4Gde6C9Z108204001002G4W1fGa2680400X0G008D8010300aX00402G60I0000011GW4 -0008W88G0000Ot320201m000m00G00052200X20HG04000a9012mGW0058W10004W01900W8 -200892640400W0WOYG0WKAK2640YGe08W00H030G84GX00000080800GG00QBs00G081@d04 -0000401LrOms@6itl1r_dGi@6000G00G0iWH098WG0000Gwst0GRi0404W0004004801W000 -1W004002400G000802W0W8000ae8K000jhQ02000GG000W000000F_l1Tsd0G20400002A00 -W4W000418403W000GG0Gewn4W0000200Cqt7W2WG00080Y04m7y601WG020X00G001400040 -8GW0G000W5U00Gf_6KjI204008800G0000000u@96qYT2G102_@tWM9n0G00mq_90800UKx4 -0004y9k1000HkuFXcnC004400800X41008008000H01020G00W10002008J0204000WOV200 -0820G410080G400401004100Y0m5CCW000000G0200881W000808210q_l1XzRGz@90H0Ge7 -z4G00800C0u943gU9Xn@C8kv4008000809gK9kjqWhDD8@V30hg8KsV20G0440005FV2W010 -YeFXW@DW400000GWxtC0010Gc2RqLl1001Y0010jMg11spG4o9K3k1020G0W0000418eV3wK -tWufC0O0W0000CO30WxtpmC1900000G20G1W9000YeFV3W2000041m0G00010XFwhWG408XG -00000m000H0000H0I80000404W0Z2W00000GW812004uMV3020118000GH020G08HCW0040Y -WG028020G00108420G018a1054G4000ueX002GAz6G0880000240G8W41HPQdW800020400W -01Ga028O0G1201YA0W2HGGe00a80028VO6600WI401a008A4H20Y00002H0800400002qqe1 -Y0404100KsW10G42G010G2180G040Y00GG144YH88G02a004BH042041c400000UN0004402 -04GWG0040W81A0Xv9Q000200II00100GaG0C_l10180G1128W01W0000W80aa6b8k330WK00 -H000000b800G000KW2G8F_s000G041A0gMF100W00005000YS4k100AW000G00100GAG1041 -A01001QQ00000000u2300gtcX0_D8NS68Y02000KgCG30A0WGA000aA10148W6vV8lx40225 -Kwk10830cWr04050G000_jm0000Hp8RmtiL0418ua_4800WG0000200000ia6TJe3S3EDAXh -PJ08W00080mdJD0020ocD60400We80Gx@F4dk1lWOW94380G1GW808008005000G0H400500 -080HXO000000e00G000Mvt0G00Wfwdm@@600800000JP@60Wy58wU321sWNtP00820G04WiL -De3V308020qW0H0020022X6qb88g40005i@a1VDQ0400mvcC00000200X5_J0000400KWL@J -0009GK06K_l10005Ysp0000aq20000A4aBF3x@R0080000WWC000A_t0000G852200200W00 -AlK3AJMY7yD0zIm800C4004YG0GW000m20012Yn0B0084i1200W010m0008Ya00001083200 -0IW1001G80O0000a0W00810a110001G00W40Wa0000H69200802000UN020000W2P8P6ggq0 -0200j_RW88A00020SWi400W0u50Y00020G08245200107I9K2G42000000uZ8000rm3@920w -705K104H100000210_200eQ__9G10Td30000Ku3O0000e0H0uKJ100k3WNR40000F00000WT -0000eB60ql000u86Wn_J034z70000n3FWo7000000wtF0G6cr562RO3Fv3WVwmbvq70lf3NO -P6kmoCJXz0yckqI9Tu0Cxl400e4G_19Yu2y3n5a5WAWh4tC0000i200O5m5868B0oYB100G0 -00O283m589020N00GOu60W000W083G78904WE00Wi0000200WLs00001004N02040C0G084e -H2800040HWO080m0o0A0a1K042808q10GP7GdG7mSWC0T050o0A0NFo0080000GG000YISp0 -0ymV0uX7SGLLHm7U0W72m@@900061000W@X0WExV000ilT008000000mCz20fAbNF1EC4101 -u10_3000m980WHA300002O410000m42hVP00Y8YsAC89V6y2A00GL6mlx0W70uF@080V@B10 -W160W70T@N100G12020@@d0000fpF9100A0G00WuZI00G0mk7C0004uyV304W0aSD31@3JTu -CSvK20006G0018000000GGWu9q5U2FyR0G00W_kD0000kK10WW_D000W000200Y00G000WG0 -00000K0X0GtO68W0010800W0GW0nDexN340104wl102000000jxh1bgBHt@C0080uIT30G10 -aKW1BidGjzFiBk1LpR000@q69P8I83cGdX@nJ8qz7I6810G0m1bJo5xF4mc1D2aGz2OSVk1W -_P0sSt00020000QYTtWmvC08X0HOx6024G0W08mUx68W00ubyA0100ySj1x@R00W00G00021 -0000W0G0800120GV060201000Gmmy60W20G00800000G014a02000008602uO03010188002 -084GMx60T80021000000GG4GzmR010100028G8W0002000W40K8004000G01000300O0G02C -0OYJ300002Y000G002G30010W20G014O000X00040000WWG000020G000G0e0280081000I0 -000G0002011G00800450002240400G0G008100001GW4000H012432A05WWOW1800G2e40ae -044000000EA014G8e0AG4100W210140GW08G00HG0W020G11a808G0HK028WW42H00160001 -X0eW00880G0092W25814000G40288W00I2280G000X100C0e2n400W00eW00W0We000G0201 -BNP0020G1020000WK000G206018GmHJ60604M00021001uC01e03102400WHWIGG02WW0200 -00Wr4G14I3GW04X0022Q000G080040G08800W1GmWG02042K58q000300004800GaW042e0W -G0880u001520G020102m02a06eB_DGG5Y01201W040000GW200SVM26001G0000100040400 -120000HG008Ivt000W800040100S6h1u201002000K8W02W001000C1290Q0D10WlDC00K00 -0eW0002044W0008Y0001005000002G0W04WY01844000005G0mvW68000WW00mMt60X0Ga20 -4204WWV@Dex@4cCd180010101000000a1O1V300W102H08S@4AntW3hJOkM3_yt000t5000W -000H00002Y00008000a80008890008200040820W0nnmD081H0040580106A0000400W8800 -210014108W0G0004X0000000404000O4100GvsP00I0WxmtO7T3UuF1002080G01000kRc10 -018ItF1nHB0Dyp0080008214W0006G0G0oW00008400000202082400800100440K3z60080 -10W00041etyDujH3c@FX_wD8Bx40080q3l10200oQX100020W80kkFXKxI8AU300100G8000 -003N00Ws_J00e0qw@600G00200020GaH@P0002oyx94cb1lqdG4pC0080u4T3IsF100Ga000 -Q800GCId19Eam6v9ajl1RoR01GG000008G40kJD100JZxzR000m000000021Mot000W8H5OG -d@600W0000G90000000o00WCb90000000284120001008BwRmM_9G400v9O3sCq000000G6Y -00M5DQf141106Pt04m0080m002W000048JO3000G000ZGWH0000W8H0090G040WG020000Sf -1GI@68W0a2000a0880YC10W041WG503X0040040Y8Y80100420XWOXXGXX00eZM80cP610IW -me005084140400IGWG00G0902080G0G0000b10040I7C6a@R220008040O20004041000200 -GW000G044W8008G012GWg68008I400oiV90H00080200200000mA700MRs0I0000W0O400O0 -01GG501CGG02474H41Y0100402000G0G0G0040A1000440000YG001K1811102J04101800G -04040082U38000yns3000f2vHYdOD00W0rXoC0A00204000W20G0000GA0Mmt000n43Bd004 -1008bK00IGgLp0I000W200Y3dXlwD8FV38LW8ipy3nmbmy@I00G2u0R9oUp020W800I0G400 -a0P2WW8000002008gd_40eS0yhl13HR0G010040000a0U1XXS@JeWV3Exm04800bYR0600Wj -TD00080Y00800000W0WowtWR0Ce8T3W800G020eat40002ihc1vDdGl@60041W0010105WKd -J0000YT00W0ODG080m@_6004GX3AW30804HC800W08Yy@100113kd0H00G000G01HWc@8Xj5 -C0800HenCC8i140GK6vz104W0l@pGY@6001Wukl4cRm000cir@R000020100C2Y000411001 -080A022880000K81aserW8kD0004qtx600W000400W41008000G00_Nt001G0000Y8110SmS -2@@R00eM2442DNUQmHz9040000852000200m0DlP0200M0000G040Ett000I80O1iW2000u2 -XCiV3Yjt00GV0W002i2PG000W0800qi@C01Q0W0i1mr@6000f64O00010IW000JRdGMz643d -16G00000Vu100u@@400WE00000071Gk_Iug10eXV900M0000_ZA0_50002U000rzRW2A0008 -Wg0000K3W2200@t10AeD0u36KmD2uv10ue30meF1WNB00Lz0dy3EcfA0y7S0200000H08Dr0 -068It00W7EGrWU_Dm000e0a100W10@@d000@000O0y300I1mW4nD8xD3080P100Og0x4G000 -20400091W20000i7000O000OBa0d10W8H9000e0t000Z10082000A000e2e3018A028hz4o1 -t04L06000ToAt0000HA00040L0CSl10880Urt00UU00000yy00y@F3AA0000082L00000yl@ -04103uX_30000mgw30000pyrof60mkp0WlX6G000g2003@d0WfZ10O600000mGZT100Kn3t1 -80c100_7W__300u4@000G_v@4K0WDg10FK6lQkhcfA10Wg0G380O_F000p86V6mVt04gG2Lx -@mw_9800G8X63wgjY4tU02840000KH100lzdGPQC0103uET3Eor02GG0p_dGb_9020440W00 -8G0000100004k9F1101G00080K20ilk1FSd0048XGzD0290m9u6C1E3TaP0W00808C1jjRGW -6600ucfnz4W0420Y000200mOT980000840100CWAsCWG0GA02A100070040kWrW7DD01000I -00008000080QCt0G0000028sCt00G04RzdGJ@60W04W200qts6Kyz3G00800400600eiV3gl -t00s10@iRGGx6iWc15Lo00040200CfkdG0w9CwE3LZymO_6S_k1lld000WWGxDOES6Qkh2W0 -02NjR000W02801000Wy700q_l10W08W0WWy6V240820G0000070000a000100018030480Ge -000G501OS@6080G14000W60004100006000GCQi1BcQ00GG70410lkd08W0G0I0000KW_@t0 -G44G0S004G0088100GA0qZz6004W0e00X92W01CX020180G0X006000WCl0080G00W000G90 -400X0b100W1PW00G01800WWEOF1KW00000OK04410GW001G00014G0Y2222000HG000aPRU3 -4W0001020080020Y4000W8Y10Yrt00X1064020KH0O04O018M00000404m00G2008406WGG0 -0W4WG05G14g020194080L28G8A08GX100GK00e0O400802000yRWWY0001K03040Y80GG022 -01H000080GL800428Y10H8J548G0CG082202G0GOa8W0G5X06g800800cW20H0508WG2G004 -Y018G00030020q010W00K08Y200WGG004WH1O2000400W20000YG0e01HW00A0600G0W0A00 -K41024X242C00u1G40HC04A00082200u0203X2KA02X0WcOW0e8CC09100000lu1O080X0c0 -1W0u482G0G0Y02084628043000808C00HgAW00C01244I000Y0YGW140GGAYGK00400GG0Wa -eW1W0WG01G2W0000I00210G00CLhc00aWWt@D000m4001080020418G01a4JV2004Wwcr008 -008H0W80W00140W0W01480GG0AWVOc00O2000I660G0AUD1X0gGNfR00X980W0I600000W08 -00GW01000204CGXG00eW00G4010824eC300000G2e000WW02801000420042010W40000WI6 -Z100W0DGo000Ga7_D0020181W0e8802I400000I04W4WGW0G0800000GG00W000104101008 -0000GVF0pxR000404000DyR0G0041X8004047vFXxlC00WHmJA600G000m00182900028100 -00010W414W02241040W30X@dWW04Wn@Du3O3YWs0100008W88000001CW0W020000W0I04S0 -1Uxt0m0480G2W082W008G40008280000GyU4W1gvo0004W0008040KaGL2000a41X00001eo -S3000610W83000W8o4WYTC010G01GY000C0JxP0100WvcCW000m@C9a1l1tfaGd2Fi2V2008 -04023000O008G040W0WG00t_d000Oh2vD001W010000a0208000G0820KW0G00000800GW0G -00W048W0W00120101000220HpNn0W000801002004108rKA30004AgtWQwDerT32BqWzwD08 -0G002920W24001C0I0000000809YG00ablD00400YG00GW0041000Nq00C0083V3UdpWijC0 -004mxy6009402800000X3bDOAL6cZt000W0G0000880S0k18QG0g1D1Y400Nidmi_6CFc10G -0I01Hw0W02X0OD1e0D0ZG24K08500LYW6040K03000X086W44A2Y000WG0HY0000h60W9O0G -40000X41820G4282CGRx6WKIGI0JW004200e0G00W000210KK92K8NC2200C610420W9100G -Hbb0Y1e482220e2W000X03G4e2151G000A410r0000008010G0002q00W0H00200G40G020G -00G410000W000G02JOW40a1m05G82I0KX0AX0GC0i2A3OGqAKGe40u000GHK40GC0000000G -Z1040000G401G0W0000401W04W0G149aY00W401W0g8H4WA0EW0D18W0080011Y0100La00G -82000G1WaKe18500GH000G0uoC0m0002axzheER6Q@EXAxDePG32qs0000K400089000A00O -V83_@t0WMl0ffP0f00mbkDOFS3000mWG04enV3Ynt004000880w@t0080K3cR01W000100@Y -pmmiFKDa10900Ezv1000824002Jt0000H004X000ASkB30W80020084W000001@1XWM_D004 -0GR_900G0uf230W00SfV2C000Iyd10001b_Qmi89000000J2mrp64rD3vnP01000080en@d0 -0P0kA3JeeD30Wa00003eUV3WG40902000881006000wg00220A0200200032mx@902I90880 -90040H12060000W020240G000mo@600010142040000CW4HxN1WG0WtXV0W28mKv60W000W4 -00O00WR2IePS300e0W00008G00204041080A0G0G00W208W2000OOFW6FD0000002WeAgIWW -0928I000400I200W2eQ00X00K2ZWL08191G20WbOW000040G00I01IG0WoU99BE6000W0006 -0ImGqGAC00Y0040W00W500W0W0100Ydt041000001000mYIok110W4K0G80000E0000G8001 -0G0a10GNx600X0000GqQa6i_F340oI001001K08RE66QFXq6C003Cn4@F00m030000Y020@z -5WK1200u40A0IWbv@r50Cu9l3GY20mF0000w000245X2KG8040F00WP00010008S@zV3000a -fF00W38yF08Y80wUN0GEe0W8Yu@@F0H4H0eW2Gl_A00WA0z@H0wV1_t40K0z1eWcVCeuT300 -0vAd@_n7ZC20@vF0_B095aG2SC0a100W08302010K0400Wi00003000b000e0K1G1W102G50 -23t00GO000e2Gbe2a203WmM000e300mFWE06Wz080O000a1000000Wm000e2G5G1Gb01I86E -34060f0C0m0K100a100020l0O04080P1G0W000Y9t0000WUT500003OW00000yll00mTyI00 -@@Nwz90GLV30H@7u0080Gt700O000H0wNG0m4200H410NUtV_3Ae11WEZP0W20003I@2G@l2 -000W@_rtWF_Cm7000K0yLly@hU1U0000WyDa10SW00OWZO3H4n0J10c10W@@D0Ee08L@u100 -mhEf30000EJ10ASVV9wx@XFpJepV3IebXZpUeLV300ONdGE69_n04000100G0100000C0402 -Oh@4MhtWhgtOQS980400e00eTz4YTrWDoP8Gy42rF1Gd30rwRmg_6qiR20800cNqWH9DO@T3 -cttWwIDOYP6U@c10200BXdGut6a6_3000OgobXqzDOYF60050CDE3000m8C00KGE6JWdGmb6 -y6l1DVRmHuai4V508082hxX7qbu3v700uD49V2NvPGev9yPd1hjdmOw6040000W8m9yC0400 -AJT3svt00040W0006ZFXOPIux_A0W0GKZl1@YR0GW0Wr_D0g000W08cPDC0W000W33WUaD00 -00wSx6808000G0rxt600KW00G02020W_rJ0Y0W08W0mz1C040001142G00CrhR0900W0UCez -@480W0Kyk1VdR0GG2G001GW020000000W02W000020ejxC0W0G08020G800008004080W01H -G8G0204A0000000ui4W2022008000W00006000A0W0000101W00G14C400XG1000aW031814 -800000qDe6000Y16002A0200080W0000400SQl1004W0K00SND3NIRmM@6000045010G00a@ -@D04G0KYX6040040005024000202000080020a108mG80000W03W00G000mP1e00G0001G00 -2m00G0000G0Y02080GKW0180001204Y0080101Fyj1bzR00002GG1000Y0080008010W021W -X20200004G008K0kyQ2jDp0024WxzJ0W00Is3Iacf1@wc020W0000FJ@R00000WDF0LyQGDq -6yll100G0000W0GH000g040004K000844WI_t0H002a0000290FFX14060kscXqHUeMZSU4u -eE2SC7Xz0090000004W3GG3@VzIE02000000M0i1000UUkOof_DuFv400d0anXb0WK0sKp00 -00eq60063noFmD002000WL@JJsB_V3UGlYw@P00Y3GD6_bta4no@00002Y200xmqrC_6qpR5 -HtBHoz9CMV2W00000aEV1r90014w0mX14296d@V1w@Ma_V5g@Jnw@Jm_l4j@N46ZG@D0005G -cZCKneAO000000mGA008UnGwTi50y00Z04H6l6anf1G0O02grZY0I000870V00000GC50GCY -_04Zdb95O00aWZAjwgwu408001100elU3kNq0G0a0Z@omek908000002mpz600GG20000en7 -WbJVO1V3QIt00008W0800020Sp43N2pGvZ60000G100nGhCq9l1G800gkcX0tD8NU3kjFXfG -h00100400W@dC00008U0GYHAD0040mFv6CsU200W0a0000G400W101002000124004w9t00e -00r5O020G000011tRGE_6S_U21epmorFyGT2t9@GQt9ytT20G0000eSb3Q53VRmbw6W00410 -000240002000W080m00qEV2JmdGhw9SKF3t5Om@@60500W000W000004000002cbsW7nD8F_ -4svF100223wRmfy60A20060Gm0r64ZW1vVyG@o6SwW18800AlDXIwD00X00a0001000400W0 -00401048bN9Af81002WrxdGPz6000X14G0pAz60200201002A0A08020804GG0002G100001 -0004R008Y00800501000010K00W02200402000YI0G00WW0001I2000610000WGI0000W008 -O008e00080G80G0008801888DV30208gm0m02G0GRlL00GW00200000200G0fJR000W90X10 -2W00080010OG4ICY0022B04AG0WX9G5G00805204G128W2000000sSKa01Y823004GeX104I -0040021GW04HYg828L13D0G84000Ga1058W000Y40GmG10Y0000G54428GGG40Y10WI04110 -0020010WW0m2008080eyT3IXNYtrD00W0mkh6000G00H01I00G108G022002048010010509 -0408C4e20W0043101W0608100100mEAZ0Y6CW20I0WG810G4250100LG000fWeQWZQ8PG241 -W0091WsLC02C00O214Y02Y0ecX128CWa10100K00A9Wp@DGG2480WG1X2G0heRG8oF000W0W -06Gs3CG40001000080K00140000H34a020W150042KWA0K0W5iQ0CG8W8YDG00W0400220G8 -08208000008W000W8010001040W00810000M400y02000KW410zGp000K100Q00021o2t0W8 -GWO000W048igl10GG0YSiYGCCW8Y000eGWDdJ0008uux60010G40000W0603000Y00800002 -H0000@5O002808W00G10008W00600WW004100100Rsd0000204100W008I001H800W400W2G -8206G0G20004005WW0002W8G100100W040oqmWHzD8NQ6AstWsDIe0x40008100G00WG4C88 -G802002G8wDm004000400W0040100Gu5200000a80001008200020000W00080WfrJulV3gz -d1G400029a1G0Zq@V2GX20W8G00041W902mxWL000GeFO3cV_XRuD00082000802024020W8 -000010002G0G800822040G0000meJ4G030001I41020804000080iGT2vq@GE26adj1RmR0G -00G8001vRR0000H008000GY6jV30410dyp000900080086002820000a00W4cG0000G4ma10 -80H08W8440aW4000000O3dzd0410XAwJuLS3000c100OygN3EAdXpwD0400W84GaT@D000Gm -6p60080uaS3G400SD93xHa008G0010G828048100320G00044003LD0G0GgYWeeLf8Lg0W59 -4905O2G2YG07YeCG0AG000KEK81W0GY8064484L3000W000GI04W02YV@D00L100O4WQ8C00 -0G44WG4O8484W404GWH80W021X820GG82W308K04HW08Wc8W000244100a00081002Ld1000 -10020000aW4100G080W90Wb@D000080AWA00000MGa50WY01000WW000Z804KGmWG0gG000O -GX41000WL120Ce0WGY4G8000OK40210H000G028400180G20000002004A00WB00060408W2 -000W8Y201A3H41OJC1440WWH80120HG2g0WAG0C00Wag201Ik4VZtgD00080000oZ_P00W8L -Ty600G000442240W__J0H00000eS00000W0G00K00100eOU3000GHG00uU_70W010002uM_4 -sxsWAUC8@P3G000W0000W00mDuLKd_3NyRmbH9G0G0W000Gzs60200Caq70i0000000810rQ -IFKbF3D2P0G40WctPuf3301000H00eEV3000WLoE64040000400W9uOzA000G201000822W0 -04W00W02200080110WeaV30000oH01W00H00G284008nzRGU@6008a1001GT2908000G0080 -01000GWpYc04080e0008010krlYeWVW80001040G240R@R00W8W@@J0080200029G2000410 -0GH0000082WG_@9008R60amWa0GI090000W800W0I800en@4G00eCYY10500W0000220LW20 -mqI600000452140000020JwRG4zI43S200400000zsj1v_R000020W00zib000041C00G050 -400800C0em@4040402000if0200GYnfI0W4110000G090004Gkld100048200k@t0O000000 -00ZG0yvl1G2602X8100000u605uA0000_F@V6K5W@1F00000W0H0002y@V0C_80ev0C@l108 -g0000Gu@v00iG00SU7Gx4100qXdOk0AIWCQM106Z00KL000eGA40ve300C0sJtDylF0O6L18 -0c1000000000CaA00006O0g0000eg70Oc71007100000_V300m301401mH0w@g0WDs00n4u1 -550J02WxT7004uV2x_@0008000C1W0W0W0010200e_@408000m0G002W100OD000e0000500 -WI0C0K0g0W0m000W1000G000Op1000K000e0m0014502G60Y1m000C1foOmDO6a5W10H0008 -0Y0m0G8GW40000A000M1O0e0o200W10ZTam@wd000@tF0e9D_@FfK280yB50O_g0mJ41WPld -@@T7Ri160140jxi0I7000Gb410FK50080w600m0Hg0000G7T0GUQC00400004l804V000000 -G4z00wJW@@W8m120PW80O0100m90000WHZ4000406900xe0Wg600EYpZPxD0G00Gt2F0400I -080OA@CiGa1000O06000001e6E6kqt0004080000004020G0000m489aLS500G0t@t009009 -VRmZP9aON2000WIEE10W00hzRGynC000020108200W5kJOKC300G@Ctl10WG025F10W02D2O -mju6yol10810I0x110G0G8120001Cfd1NrPW0I0WAyOWW00GqU94T03XB_G219q9j1pzRmF9 -6W0000Cg10000a1@VOaD3Iz@1A000D@B112000000200G_@F12004bMlHRvCW00000W0GFaC -0012e4E3_@d1000u860000000002w@@40100X000uH630000080Oe@93o7CXs9D00100480X -cgDuJR3G020qui1bfd0I00000200028EtsWmrCO4y40180C9j1W0W04100W20WenB3004002 -0Y0GG8G0s600GS6W31HXu60104WW000W004G800G020QeE180004080czs0C0G00100m000q -Ek102242dF110000100000061k10001G0020Y000000101000G003NO00Y0240800E00Vgt0 -0100008001080Gk0GW00800I808aW40048H0010W0004411000WKNW00G2W130G800500804 -020b0K000000G1GW048WK10a00000WWW080C0aeGqbO2a00E044e0W0000G884Y0201G0004 -G04G000G10W01GmK600400030m16602000000uTv6W4GG0G00420008000W000BKp08008WH -2Y01G00241021X80a04003Wa8004W010000tj0B0W2W8AW0008K00WW400We0K2000G0G10I -08CWWH0440W0000A101010G000rzP00284042W0X2080G0A408W0W080810G01WW0800100r -xE3024000G000e08kS3WG00beV2010008G0y@l10440m10500000W10Gm_60W0quaz400uCZ -0aW00000024000012000102002208hH6000400G0W00Y2G000a002VdPm2I98800AS_4QUlY -0DDerA3_WtWHDD0022GAW6y@l10W800G40Y000400GOkb60H00X00001000GpE000G00GGWq -Ql1XjRmAQ6W800GY041G0850O00000H0842au_3JPQGHY60H40u@@GUSAXdEb0Y04000000C -200040040422008C_4000H8N8008180W002Y0G83wP000G01008b2Q00Y0W2yD00Y0000001 -A3Jfgn0000200WG@@Rm306y@V5zWOGKfU43g100Gm80a0H00GeQJ600ih000G080W0400W@G -D8lD30026000H0Y0000C2WFyDeh03kTx1000YC100AY7ceIPe@93_@F140W0FcQGD5600020 -G000G02WcEPuzU3k8n04020HiKn1T9ypR2JwwnTg6000Y0082Gh_6qab18000cLrWa9CG400 -008Y004440W0H0002W8001002204W400082180000W00180W000H00000I400009004Y20e1 -049A00O20m000W400nCr0G5PW000meYC0W002H800W0H40000DzmGwiIKhN2L@dmgi60002K -000000820G00000451IaY2WeICGG40W8G0800GY0004000007100G3Y2WL05220WB000W00G -000084310A01W0Z0410mCG00O06OAM300Gm0vY8200W8H00WNQJ0HXGW20000Ga0LmjHEoLS -ai1BsP00WI00010000e0400GG00W00000W400051P7R0WZ4WsZVO6s4400GbC734040wHsWm -MV0W00Gg06y@@9lzQmwhFKEM2LzQGFGC00005T00I@FI0W0181k7E_rWnWV00001000eDvge -fN6EJzXFOD000G300008040000000O344j1004H00301810W0WO8200102Y0000000ajceK2 -Zso00m0008800300EvCXfWD8HF3cawXgbgeaQ36GsWUgJedG3s1D1G0042G106Do0W2000W0 -004000W80W0020Y0080018@@R08A00WG00D5Rm@l90000140008IYmhbPG000W80G13G0099 -bGgK6CUg1pEiX1G2aCjP0020WI0W00W1HaW000000aia10YC90G009683000086000042200 -00090010Q00000gy00WOYh00G4p@@900a000GG400GWCmDW400mJqCqWa1XUNa20y3600W0G -aVz2002WuZ8yP300y@gQ0FX80cjlg700yQ1FId00m120O60Wp53W030u9Y0y@V3W160Im0W7 -00KGKT9000d000Qkxs00005e@@BHQq689000m00mCf90W100008J6Z6O00000w0g10004e30 -001000n000YA00260C0k0g000u200_@t00NC000m000010301e9760O00Wm0m0W14LeU6000 -00008A100G1e20Z0amus6001304000L00W@@t040W3G00000D0@@d000uL0udV0000yAEG00 -000iG0m@@600W0aEO0000u1F100@@dWFt0GkZ0000WDiQ00000cHL0200000H0G5W000GG4v -BWRc10FC3010000mB00080OcPu100mV@1m@@F000I8V466SNYLtP8wrA0AG0C0F3jUR00820 -210000EmZd@XdmD0G08GoERS403BXpmR0FC1k4PZRmHt645N2NmQ0400Wg9J84T3Een00000 -WdM0_@d18002dec0004WxqDe503cdyXC2JuIT3ADtWexC8HN9EIF12000FYdmCc6aol4000W -UmsWSFJWj00m8CRqtU2LfdGvx6C@U2jBvn@eOi8l40X00M_FX@sD0004qC@600eiD7990200 -KCE3fZamCKLK2l100G0c3s020009nR0002elwDugK3G4000000PSS3G000W2000W00000W00 -014JtR000W0C0WIRiQ0G00020W80000G10G80000iq1m8D6iHl10010knt0HG10XudGIh6yF -e1LqR0200acbJ040W20Z0WJrD00G0m@z900028i_400400m0X000G003000W8GW200014508 -0804G000AW010WK8000H000020e0KG05Wn105C4420010G144aY1018K0000080f000GnOi6 -08806014121a800X600G00040212044GW02aW0G04400800X44W00WWG2W188Y0W00W00400 -81010042000109WW8rIeZU60e8W08W00024210000G0G0GG000Y00W00W00085HW00480004 -40m00WX004003280Y01A0009000mW00G40000N78880W0000A400GG0cWi1024080331e010 -8400G0800141400W84088800140101104X8G000a80WG00W8W00W20K04O108000H00080B0 -0WO4V3000Waik1800GoCt0000G2000000a028000W022W000028j@R0WG0000W0004G02G01 -0A6201G0W4WWKJJ0aX10010WQiJ021004000G000W1200080iNe1@4P0M00Wb@JG00040001 -00KWtqRGXW6Sol1J5Qm@@6S9e17_d00280O0000000120WanT200W8000100Y00008800W10 -0XWHjdGqy60000H8020044Wl0Dey7300000W02200G0082Wm_D000We00002W00hOOGnedaj -d1dxp000G00W0H0X041Y80KAb4G000MirW13CO2p40Geq3W4G8@V3002HaJD3vvRGrZ9axV2 -00X0Qzs0G0W0bSR0044WDuD8eC3000W000Iu6I6_7FXISJupU3G000y6G2vyp08G0G000Y0m -a000W204000Sx100W0WM6C8OkAAA@X9JC8nj400504qk1poRm_@F43f1hEdGG96yWd100103 -DzXetJW00W0000088000W0008G0000WJK00mP@FCki1tmRmnJ6qvU2@cO080002021TJOGHx -6iUS200084400i@Y1G0W1IKt02000dhR002GO000ZGG0001ZG0201edV300Y0qyi12W20081 -00HY0G00222X00W8008G0e840000uh5G300X40G001008GG010G48g1G8000020000810002 -04100G029WR0W00004880800001008W020000000P04000822Ugt000a00100EDt00049W00 -0AqsWLvD0010W002G87S001W06kF100G0NQO0W0011W00080050189W0v00S500O000100Wp -21gjp080045uRmh@60G04040W1000ZB5I0008404G00G224W00082H0042G0C00Yc00000G0 -K00M4BXcwJ0Y00000GAG10a0000050c56k100G00WK0Cqc400A00004y873v1O00084000GY -400BqdXiqDu_W42UKYzjDW02000202080W082G000200p00f4A01G00a100NcQ000eWEuD00 -XG44W2WGpIG6000G00000WOdOlHl@60AG0000G4GW20005btHOG9rC08008_R6cztW6@J005 -000004W400m20e02000C0W20W00008WZ8D8Nz40W00080eG8301080255W24112041H00000 -0W28040mtuJOoV3G0200G08eyF3Yot000W102m48020G2000aH2Gx@681W10000W11000m02 -xu@mpkCSrJ2000346m00E0008W3Gqi600003144HUj60000054H0002000042g084000yGg1 -000401W0G0002102Gfj6Clc1400GA7@104a4I041Wa8G000WiMj4gHE101G03bl10Y0aV@D0 -80028000G00840000100810000W0W008Y9FP0000h00480s2i00H0WO80CZX100aG8020850 -08oV3oIs0GY000W400900Cpl1sH20000mA0400Dz0000qYc_D8IU30004H100000094W0W5r -Den_46jF1009000W8GK00048S0H10001m10410040G0G44940004E0000000sH10000y@F20 -000mCF08z10WwzJ00f0000uLd0O_Pyl10o0000m3_wV0z@702H0008q3Gdr9u@t1On@A000N -fA02W00_kp00008Qco0000mCp8oggIq@1WepC3HVu1_FpCyF00uV0Y6ym3TsP60m000X0W10 -4010bWA0AHJ1KY42W49408080G085W000G706_tWslD02000c00WvzD0W00001W80N02080k -000Z100C40005I000020008040o0G000W8BW4000000c0OeS6Qut0G0w000W1e3e0G7G10eW -20G700WCWE0P0P0w0o0K04Pf@40007wWPU00pC31hgA2_t34yF00uX7u17Tm@0yZI01GG00W -niR0p00000mhAG00000T110D6e0A810K7O0800exgX2000W@@00W2000jH50e6m0000mh000 -00mA0000_@10_VD0qT70000eU00ur@A0m81HG0A180Eg10SL10000uA0cCt30400tMRGglCK -ws60W00kHF10100WcN000004mi1dtR00WG04H00fCRGK_60002002GGRiL4DN2TvmmRTOSxl -1000WWO000W02G202800402022pxRm@_6aHk1F@R00W0m9WDmV0G0040XY_D000Ym1z9000W -G090000001e00G0000402qHi1002400000280ueO3GG00C0Q200109W0Ga3@6TbR00WG0100 -00801o3FXGYP8FT36nc100e0rZAnxXC4wO2hwOG1xF4QU2LbdGNuIS3k1Jcp000100002080 -W020W4Wa1HAamslFyPj1Wo804001W000OgU3IDWXf5C0010004GWAKDOjz40040Euk1001G0 -080qrg1000G04234Z73zoRW080WPfJuzR3sVtWCED00H0mIp6CoR2b6b0000G0010000Ouh0 -080W0080000303084001W0000G0a000oH40SG0204004620m102W80003801W104000300W0 -W00G0460100G020G00201200000W06jI28000004G0m0005L002219G000000100m4201W02 -02180401G01Y0008051WGW0QU230000GGW02G004Ye00025084001408XW84202100WoF280 -48Y420b000G0400G40WX220202W040016800880008041000210W240wrt0020H002010100 -0G000GG8W000G02WW0eWG8000209W044Gs@9060619000AuGafzD0001W0WH0W0I0020I6mm -08WGGW0W0hxoWS_D000W801081208HxR01Y02100004W0W0080100G004Y03040940G04G01 -100YW110140G10100Ym0G3G8Y80000G0WWIG8s6K7b1W2008GH02000XWW02888G020842W0 -c@t0000801020001ihO2000eQztW8zDG0Y0W000aDcD000024000400WW0G0010e0000H080 -04W002Y0K0008000W3O100IW21aW20G0000W0000C030000G8100mO8o000G000K10000000 -0G1uo_600WA0W04040820L00d6QGwt6iHl19QOmRuI0W01WGZ04400000987Id0040WHyD08 -04mfN60H0Y4W0404Z0Wf_P00Wo80000H0101zOmtN9ivD3Ped0H002e010JBB1Y00eF7belS -60GW08010w8S6m000iwj18Y000G002G00exM32j8XNhPG479Gcv900810300GJP6K2E30008 -Qpt00W00FCdGMuCq7o3lgQmxT94AU20048W2000800u7R3G001q_l10002QBn02008000016 -00UiB30008r30Gqgz3F1p0100WPFD04G0mPmF82000H00W082040008002011H000e000IGL -fIiNl1hjR01I8WbZD020002W0WMkDu0c4gYFXhYP00mbPj@60100UUP6Enp00G20000008G1 -G000000W2041000G00W02g4E1W00G0020QBqWrvD00W000100J000Nsd0Y000WW200X14eIC -a0GXA28010G02001004100W404W8200W480C0008G04000000X0H4G0Wg00WX10W3zJ0u910 -0900G004020000G4W0000009GgB608000Y400W0822HKG000018208208200X8081YBsD000 -440G00000Z2000G0W0820001004000XtxIOzy488030GH10000000OG220044W10H0001140 -0G0W04000030A8201021W2Y0I800W982WDvP0000fsG4004100e00WA000H0m000W8004Ye@ -D8WR3G0000009140408410120Y4001002082040Y0004X00WW882000W30101C0000410082 -G10008000WK0SXl1rtRmGx6G00W00G20fa20c1W0NYR0000A0G0a000f05I0Cul10Gm4QWtW -QTI00WXRcw600fIG2040G4GA00WI0811000aspe1080I1W0W2050u2V30G0G108000080001 -0400140000900001040009HWIWqlVOIG60008byl1RPQGtv6aBl10C40G4808W40e0z40000 -20082800Gz_60Yy1X000c0W20W00H00B10280K8Z1LEQ00200O900HsR0060WJMC0W000e01 -0010W008G020X0000084a0021000208810058G0640u5z400C0004002GG0000002W804408 -00G00G005u000400W04GWG6000509A0000800m00WTLDe@y7mv2OWj8Y00W30mW0044W1O06 -0GG21YWW06090C06W00C010401040S00004100A70200G08PyR00880080105000880iJi18 -G000W2219248cp4040eK2d18000GW4800080W01000W00a410C000103j3V22040cDn0000Y -W01000e0008208004200000RxG200041L209200A04W480000W00o00A400K0824G0000a0G -W2G00020G24000000G0Y8020801000000410220240008G090000AWG10K00e008WL9042sG -1020000u00wft020G00008m000WH0G20004wd1G81OAW50410WX000G40024040Mb0018450 -Mgd10WG00040m1000wG8J00088y10H01W004041r102GeW74b00042U0G400G00200W88W00 -G80G00W4UJ0010W40040m0Hnmn0883202406100_@t0TZ0000WNL0W00000G00cW00yS1C20 -0OcgW3000WlK0W@0800000U280000KS10u@@000000040G@l1Ws@F5100CW100000CZP2000 -00OE5WeTu1HxF0_tV0yW70u1F4z3U8w@0GqPAZe@30@hALcP6Y0m@WE260uEJ100R8xV6KLL -HwlgYsV04jCpeA@1m3U0WgK5q04yj1800mT0JYGWz0eaz400W100H506aV0L0e0_1K2s3e4H -CG9Yu2I4n5m5YB8B0D0N0Anv000W63k120300040800000o020000006H050GC00Wv0P0r0p -1g1y3K083e004G108300G6WCWE0P0D0g000Pqd0W80202GG0O04000o0K041e08g10GK3eZe -6G7GHWEW2Wa0wxG3_@@10G400000DG0tvF0_t77CZP4yV08uXUGmCbXW@1W7oK6LL50Uu102 -m0008600W40000GN00Gy@C0cz0000000m120uk30O30y7S0cwF30C50000@tPP20008W3000 -20E10@@D0000000X000000X68a0E02DS05O00FyWWE400c4hY7@D00010000Xc_Debr40010 -Col1001W00G3jih10300W0010CX00000Fl10002WG@@RGy@9ynj1L_RGxtCCmd1@XRmcO9aM -z3zVR00GW08020jzdW0080010000W8wTpW4HDOXS60G0200024008W048800902004W06001 -0G0012000GC84G020m8X0W081000YOW8G000001Y2Y000008080300W8i00C0040002nGgap -0040WI012W0008000fBN3W000810202O00W00eWqJW008mOnCy_s3BU7204GWMrJ0Sz3GkR6 -CYl1p0O0008crYauNP30000800GW0080000XTRC0008GA06yfk180046L8XYrJ0010W0W1We -ZDORR3UPtW5oJ0G0404000e80020X1m30mqmk14G02G00e0000K_400K004u80800100W8G0 -80100001X0800800422W4000Y700I000000K81200PYR0W8001040000WG800C_V2jpRGyy6 -ipb10008G0200W028SU3G00C000X2400W08800G1XOG00G00401W0u7Q340G0G000042G4a8 -GH4W000K080000004G400000WIsHrD04001P0000008000Q0401000GOGO3000402L1Y2190 -0001G0XY91R0A000W0W0e0100I00O4080410WG00001W000400020EAj1018800002GC0408 -W002X0020080G288380800cW8008a0008eWeH00W0142G8e2WK4W1800Y0e04G4403Wq8A18 -000080OGWAoC0Kd3W0008K0810k0040000GI0002G28G28A0a08YH0W3H1001W00004W8a2H -00580Y00A0q0X0e04HW01eW2101GGe4040150142mm1G0W9042400pHd08010G8K84284001 -000400024WX28O0A0000XXm002Qq280G0XX12W48W38080G0a20101208H0W28260DICveR0 -4G8WazD0GW800W09058Gg02200008G00Wo13Y0Xe0H0m4K10Q4000O0G00804e12X88WAY0G -0W0GK40WH02G01WW6E00011u0018G10002qW0e0i0W8420000G000014qxT2000600G2Enl1 -000M08W00K00edM3m080iql105Y00G1100800030A44W3GG02GG080002000m02W000WlwCw -JWG000001ei@JG800maP602U00Z012000000mCG820000402080188004080X00K2040e240 -5G0OvV3AkoWBzD000G00G42010800200100iVb1G0aOa10000GGO_R30G400008009008G40 -0001fJQ010m00A20002008c004000Or1GJZ6080008000004m7fD0608000G090W0002A002 -P00G4GY200120GY000XzR0420WtEO8rV62fn0208028209002G400H000022I0o0G0H4OW80 -009000BSQ0800WQ_Jm4000H000200I0044Qvt0040000WeyA00yvl10W80M8tWYLD0004600 -10804H0000040WyFU2804H0G4W0GG0O@V3G408IW18SBD34182a4U2BqO0000000W8000014 -8080H00008m@@6G041090GmZx682W20401e00024G0GW001QAFXAtDOvC3kpqWYpDukR6400 -00G001H0W20WC00004800O02404dm30008G0A0SVi10020804000808nx4G000010auCU300 -40200GuYT30000100040082H0WWs9DO@S3000H00W083J3002WKqV20PH0sUl22100G00008 -10ipd1GG00Q1F10G4200100008200O2a0G60W00800086H0wQF121008H020We20080G0L00 -G482810W4eug4Z0400I0278YAX9X8aG4024000e010GG0G1008IW00GWG04H400000WG1K60 -p810AY042008M0G000GA8000B000O0GmFqD8TU38202302m200f811208508G00IWYW3HOX8 -G0W82Ym0GY004W001004000c00W20ae2X800GLe208Ga0GW0208vU300G801G8GH1ICGYe2X -005W08G000201G810202Y40000G0m00Gm8Y20882o4G0X828014X8W004XH0r00WG000G002 -fO020AW084W10000m81021GQW600W0090GIvl60e00G820WA009L825ee2IeOWG018000G10 -204004006DW001088002440h2K7a0K4H402W000200G4000O0m2@C001W0004YGW20000G08 -0fk1t0Gf00xXR0200W0vC00I15W00000YK00000G09SHf10005Unt0WD0K0100__tWJACOar -70G020A000021mZO6at@321008C00aLh140A06p@100000H0000800W800a00GWsC0Ce0000 -08e00WL@D080800000I1210000W0K0a9l10810cap0a000fM@00040W020DPQGLh68100W0G -1oY_I00040000208242000000G0080qki1dwdmeF6000420o142108165I0qWW1H0K0G30GG -5c1SCW04104G311O0HHe0G0W18A22qG420W8WW00008o020008000810000xg0100W821KGy -3JSpWG61080002a10020mq7K2h@R01WW4000W0G0W0108SvF3vVd00G100000000I009a0Ga -024010W0G090000808400028IY0L200e81G01GGA14052W18021ugI3002a0K002a0I00100 -mcB2dRdGhy6W100eWR3000AqbP20Y085001asj1000K0009qkg10G0000W0G400008GKvdFW -00020004Ya000W00WD44104e000G408Y4000MW08G004OL2Y000S0W0820492020R90W84W2 -z8000WGC000002XN_D00O01000Qn2900008BB_14110dvc0000I1000001YQfIYA@D020008 -02We0C004mW@0S020000uV00mNG100000n400Fi60kJ40KrFK3w8uFLAGn50ef60GDK5W_y3 -0000p8X00000CY00400000E2mNK0W@nC082H@@iKU0000mK00G000O0100tH400Gqn6O3000 -05S305i60wk300mCKF000WP0001100g1G0W000u40u7LC100u7g00Gs@6GK0gIH000TK5000 -E8410000p0ahf100W001e30202WE000C00002430k0M0S1S1u2s3G5m5WO9B0n2m0AHWLGYW -x0k0M1x1a3u289E70O1S000G500YAWP040C080G000m00008000m91004L00000K100vWp00 -30004WE0g080G0K100K100080004200W2G4010604pl100G000W100G506020L0G0C100410 -0sDD1Qg1000bZC0Wde00Jn020eC4CG1CGW2OW14m03Gm16WW1_01FK52_I1gIrCyb25Oc744 -@30W_820OW20C306000C3WN00000WQ0yN000yGz10zv70aL@3A0G1j1000WcD1100040GE0K -VT04V000000mVt10U0W@d10l820UuL04mB0wpV0qxlylhduVT1m3k3G02vdXqNP83@Aw3d18 -00G0G0W0I000000QUV367C1001iW000kNLYxwVuex4g0lY_0gee@A0W0000418KE6gos0008 -00002000YC6h1W00408408021u@V30JE04@U27EOGj_90200ePE3W000G0000020100WYqoD -ukS3QynWCsIOKM3_C0ZdyJ0000oyv6CcU2F_d00YWWwzb00009i00WnlPOeX74G000004OzS -340W0q@R2@gRmxp600O28w@4kWBXc@neZN6InF100088000ERt002100020G0G0204Gw@V30 -0a3080000W0GcnCqkl10060YctZVQUOyR669t0000400K8810GSrl140200G4G008GG81001 -GW02W000W008000GX80W000n_y680000024mnC6K8U2WOv8cPE1G0014G040G0880E000000 -I8bWpvD0e20mVz60002u_y40000000aebz4IqFXwgCe3R3004G011W001GW00WAWG10a0O90 -0X0X28010SG008W00K100Y2AG050800800208000G2K0YWG4A8004IW02011G01000400000 -0u5D0000G0G0GG01000a000401010CG0m0010020280A041800m00O4030020HG00G008H40 -WmW8148008A0W240WW801G000101GWG00100020W50m@@6000840G000106125004110041A -0004K1WeeW031W504OW0eG01e00a0034W048000000K4100G8G0c00WWG0008028224806Uv -t000QW0G00080204420002114O020002105800008X8m0W00WW0009i002K10W0H000W02G8 -W20008E01000Y10W0G008nWW00020201H00G000000h018uzV3000W00001840010G000002 -10G80I004000001Ir46G000100W2W0041G10K004gzt000K0G0144C00KrF30QJC2Jx10X04 -G2O008000WO0041GmYP6CRa11vR0W42WG@D08W0utqCqdT22000000C00C0001080000000n -01G000400H0W1404X8Y0800000048000204W41000000aWoADu3q72wt0000ii100001H000 -00W4HG9@6W80100800W00410002008EcrWvzDOYV32ss00028DjymPt6qbl1000KWG00W0G0 -000040800900GW0000002100W00O0WCp4822G0dib001408C08W00HcIAX@zD02040000004 -NyDeRmOt601001011008000GC0DsQ0400000201Tpm@v64cd1zeR0Y00Y5xau1534051s4g1 -txR02400000W5fP000XWosD8PT3011H001285Q3UUw1WP30DZRm6x60100v8V3G000200Y00 -01KJx9SqZ1hld0W00XLmb00000p0000201VKb0W8839210Fid000WWOrJeBL300040X408M0 -6cxZXSnD0c2m00060W4e020007lt00804VmOG2y9iX36DrdmOV682008hU3001G0008W4400 -CGAG4EoC0W0K4000WG00000280cW3e00X0001G880000120C100040441000084b01A00002 -0GGg190008W001000k0GK062a00K80200iW00W01000010224WO40K80820Geri40010C3F3 -41008G00afl1000G140004141W8G0082W0vDW21Ya01G80AIG00WHW54a0WH320L10LA1000 -44OD0cnS8X02HG011418W0324HK00H4fH0000200000A20200800082Wg7F1WOJ0040GW850 -03WY001W122082X888000W04002440006W0Z0012002440gpF101018200080018048iW4c@ -F1H050Zap00G200400a2000G20mC008e@4a00Irnc1a2180900G0f0008Y004000850I0010 -04082048503000Wp61G14InW48KA0000Y00ewGqW4vCev1IscF14200a2042DZ10e008200W -00005009jL6QPCXVvDG0Y200824WG00A00e020004GW20W00H10C412800W200K000G17800 -4G20A0020K00mkaBXN5J8PI3AC2Z0pV00180400G800184020W0W0022CSD30W14rGi10008 -00X000812100W10GS004WvUQW8001000H2384019000G0400W80K0G1HGm80100E000QTP0A -W40W04G90000mH0021000210K0080001Y000004GQWEXXXV0000nd690W00W000e020WK0C0 -0092002080409qdmTi6qza100I02691409049200G201040000G28G0020012q05010W092W -G0W0Wa8G0000aU0GG80Ga2I20000020W0014W20e40MyF1We0IdN9HJqI02040W40mLT60I0 -0eOE3IYF1800raWWOm2004dl1000G000C00wd0100WS008G01000W8GT00a0k1040F0G408K -0010Hmj4004au1000iOHM800G6S10H000200008PW000008KtNi1x4lHGz60W00e_e4000K3 -4000W2Kmz@6e_9d000OWTZ0GJD0WS0000000ArK0Wn2y5eAuEY0u6Y0m6kfpWdzD00pCZ0gg -AH@tCYEpC4jggOcCpm@0SW@18Q@3GqE4000wPp8QLL1qggYPkU2m3F0gw7010000W700WP0m -VoO0WW44A0000_160c200000006O00p00Cmu06O00T71WS400ISpWwlD86E3000Wx000K0_1 -e0m0WK0I00WD008L0s1O063W1u780G7G10eW20G600WEWC0@0TY1F10008C4K00w000q1q10 -1i608G600GG000W000Yn00040c1mXB9Kol1txRGuu6yuU24H00Oc1Y0W0ChjU3K0WxG40000 -l_1C0006y14HAa00Tm00Wu1cQW8yG40Qg10q4H0ezt3G@@30StX70W8FuE0VmC0U0000000e -gE0000mCLr4V200IRK5Wzh00@1EF000sT3D00000WJ00WJ10J01Wg000000@Nt30000iw00w -V008cQC000c1000004HK4SUiOO2JXKnrt9KZX1Zjo00q7WOzOuuU32FrWtmD87Q300W0Sbh1 -9aineu9CDk42G00gbRZpkJO4U300mGSMk1080200WG000Wqs060010000840800010O0W0Ge -za70801ipl12288AZB1100W7wnmmw60W40020GmaTgq5Y7ptc000xg1tJOJT3gxx100083Wd -0004W5tJuwx4MAFXwlJ8I19cMtWK0Je7G9YK811100000O0800S1e1G00G08000ie020W001 -00402K00000G101yBN20400g2q00040008000Cmq3d43rp000O00800fxPmsJ9WG0WO_U3Yn -t0G820W02840W0008GeUJ3wit00400000G000G0080uEq4000K00000000Bn0001000G0084 -000202000100A00080OG080Ce00G0440044eW0000G12022000401Wu80020000G0A401202 -01e20Z008W08W00G48202G0Pmp00080G01004H00CG0000041WK020W00G014W00IEs020G9 -0W00001200O02420mx@9G00WOTV3gSt000Tk0040cut001000G04X4400301I1WG80002018 -000v080K00Gg401010YgW0a2X0W0800n001K0W04044200030082C0W004402400004G8k90 -00100204Wa0W2uD0WW0006000We820Y0W12G0000008500e0080G008G00A40K5l1W000100 -1cpl10004cTt0WVB0lXP08G02G0010W880a0W0e0m0A0X04712m0K0e2Y1C2201q00000W1c -G80050C0C0GC0We00H0M0a6004O08010442G020WW00000408Y40WGAP00WGJUs60G40yMV3 -W04000W002GWmWZ9Sua1Ryd0G10W@1P00008s00G0000G0802rt00m00WG000e00MoQ24008 -00010gG0K8000W0E0I500000000407_l1G10H0Wm00800GG4W1010Xs@PG400004Wev@DuFC -3c@F1Y82W@@N1110WWtIev@4008@I020W0000400ea@DG400GS560G0200C8a8084W520G00 -082000H01uNs4W200ijf4Nsnmhv6ihj180400GO4008G0001m@U6iij1rLk1G80WuOJG0f1G -tUC00000Q8I484800020414008000008G00020IGG00208001cpEXDYVel99U8EXpaJ8A130 -0000C00OEJ6kfQZusC0b00Gu@6m0H00080W40400600G00200H000001W080100YnRD0040G -d46ip593Em000meuFD000000G6WwKt8bi462d1004iJeoGXT9qOV2vYR00u0000G20100514 -200X0uRdA2RtWduJecU3G00CG4800HK520W001e108280e80W040089366Bd14Y001cp000W -K00000HQ040040008004m0048AbWG04GH4WG00024200100b0E20K49mGGAGo0WW005W006A -GG00Z0aGG20GoUGYVHVWG0000m08H000vvQWIA000X00000W84000OH41101GWiIq9e1WWe0 -xKtWj_D0000ak90Wt_DG40300800K0Le0Y8gG018W2OG4XG0110eGG08H00X80n4WOeO0102 -G0800010011nPm0EC00082029mYxF04e4000fG4@9G0000002000OWM_J0100LntIKtl1000 -eogt000FAhdAHVu6WW40uvV3YAt000WWv8Om_jg000400W08200aAMC8PS36zt000800008d -LAXWjhOVy40Zg0KtV20000100I0004BoXAQiB4000WrZp0KG0WEOJesI30W000008vBT3_wt -WMghuxd4G010aXZ1E0002az1080000G4001000e0OxR3sKdXaXneuE90400KZU2d8pGCq6Kv -M500O00Y004Ty300As_@d181040004K000Knf184Wi00400a00000IO4oXCaS50WC0W400O4 -02000WGBj9Kjh1010000GXqYd4W000s0s00W90lBO0md1WGcVOGT3AGW100Y0znpGB5OKN@3 -Q0000007G001OTV300W1Q40S11BE0C_NL0_00LaPGmbL8@y0000CVC19000Wds00GT@6OqH0 -G02eW80K4A0e_P_R000uFUCX0U1M80eY20mVd1WkZ00p_B0000SMX70000OtT0CLi4y16000 -0gHD02GonCG00001Y0002200G4000G00We20102WA04G759Kme1no8X0G0O40100W90I@t00 -0IIBRbW0W0WivIu2S3W0W1aD73TqPGCS6aLk11ipmIWI000A2000WTG0G12C00000DH40300 -co000mHtR0Wp20000W700Wr@P04a1m@@60WT4100W2OA0Gp15WoX800u80IV1kp@RO41W004 -1u@W1WAyD06000000F3mr@6005Ku3SFcaFXZ3hOqV6wKmWE4t8P93Uw8a9_J00080008WEoJ -ehSCgUBXUQJeUy4_9t000400102sqyXaXg00WDvEwO00K0erV3_4sWViCeAp701200K00eSS -66ENb09tuo@70z_0KuE61Z@maILikE6HAm0000cuoJezT3gJs00080G0G0_vbXjuP8576e30 -0yCV50G00VetWvuD0W00GYo90008eXB300W2y@R2zadmUz600004G002204W@sDOUV30104k -CJ2@sd0004YbuDG00Wmp@6W001ukS6000W3090000GGKR6quE30W00000GW02400AG00Y0O0 -0K08W4000108mGe0I00GF_60W0G00100WG0YZxDucL36ldXszD04093800G09100W2A00520 -28040208040XcsDeaV3G040X0W0OYQ3Ewt020G0v@R0ex4000002180gTt0114G000W01G10 -000cm0W08O4200440GGX001L0040400501h22001G08G0G0H5040W84U3slt040800WG0Qhm -WPxD00204000G000G28GW008084800180WW308G0400080C011qbV200W0W42000020080W0 -40XP@Ju@V3SC00000eW02000000100500G0004W0200G0mC2080810Y000e1CGW8AWY000a8 -204O8y41Ae9K0G0018W20000XW00808002e000400108W4GG0GIs390400gve4AFo0000o02 -000000THF300m02@t00Y06Vi_mX@600er50I0GZY9CKV2lNR000W6400X000G000G10GOucU -3oBq0004WRsdGmNI000000c50008880W1GC00Ujt0Y020FMR010G00W80082G0004W400OhZ -G07x0qMS20002ksF100800C8000088004v_V30W018010ukU382000404vHD6w@73Y0W8048 -9dWjYu@D080004G0WHdI8K09000Hv500O5@4__E100WG01000G0210m00020G2t90G10eZD3 -W00W080000GWnGOCyXz30200ILXXSma0348mjoa00eo@76904000Ca8004Xm466iBc1TUQ00 -0844020h@R0008afkVexn7sTFXXhIemx70820aGE3pWmGEvC0u01OqU60W02004000X0002O -YVnDeIC6_Mt010W000e0UGFXAnn0H10002O00C800GaYQ4t0W00GXcd0I0025GAW80H6@A_1 -0410x2_0000St000Bxp08000C000404050X084GG104Y40O2Wx8C0G80082210000080H42G -G09000040oZu9SP@300W888000GWH4GCZuLw600I0erV3ARp0040KG0XG00G1000muv@4W1N -0Szl13fd0000000HKL_dWA000I0m0200801012mY45AJH85500X080pwRW20G02XW040WHKW -Gg0004W0010Y0f40X000100040HiKx3h_d0e00GG002Jlnm307Syl1e200AHRZbAJu6d7wdt -004000WG0QVX106Y0xeoGxZ6CO@3@dPmBWFCR33rB_GYuIa205B9QmwQF001000b000W0WvG -D00W00000g7lD8eH9ULxXy_J0004Y010000G0W80000040200IGW0000G000GW0J24IttWFn -D000GKNrU000keL19Qyt00W08X_RGTA600002m000WHOml_aOdM300444sB3Nya0402100OY -zzR0010040G0@_Rmkt9KXC6l_d08a2WZ@V008AmBy602GWuR_4010005GX00W20G04WLSVOU -V300W0ypU50130001X0400008120000X0m0bYR0I00WI0JeIAF0000wO00u1y7000X41E30G -00K0000068whU6YjlYApJOvP3S0000W7Y0WLLb00O6HdP6Y_m3CpV0uV0EGLL5jPc9Q72Gq_ -30eTu1@300U8mi7Ap@100@s9w@0040100mB0iw00ur2uL0FW020W7c1WPtD0WJ00000Mfc20 -0OZPy0W5u605Ssu40G31an@300m000050300GKW20Y05004000k080S1P1q0u2e001G100W2 -0W2000506000C0TwC9kzd1GRC0J@d000e00000Y200G4000m00WW1W103G50C060008000Y0 -000b00080K1G1W00zmPmiz900W80400m5tFGmC0001CZ20SE01OnpjN10@@D0k@VW700G4J0 -qVB3_VF00000O700um@4u700qyV20O20000Dg005K10QE10880qC00OWh0001jWZ1p@dWNB0 -WjdDuV@4o5tW7oJ8EyJox@XvLn00WazT_Im0008hU9sXTZqoJ8Ro4002049E3BcdG9RLqtF3 -0400AUFX0zJ00Z1m2w9qaK2ZzRmz@CyPE3vPbm2@9SJi1G84Go2lbGFVeQy7E2d1X2G0X@dG -dc94BdA9zM100WWSpVO3U3MVtWtpV89r7cXFX1vJ00WMLeeUC0i4PhRGot6SqE30W051C004 -zi1LKQ004WWB_D01G008000W40000100860W0000G0Xm746Stl10W00000500b08l@40080y -4d100000VkG49F3mG280012CMl1WHW0802000OGG0200800040010002W00GCdS280028100 -8GG0004000WI10e10G002YvY100059bRGMw6080800G008mYWiyP0H40mDu6020WOoQ30102 -0210uqM340W0000Waz00mH@900e000001002H0C04q0G2G0e018WG000512Wg2KG0H008051 -HG0W01b0H14WYG0SG010I20L15H8821040050000GK800H28EQc1242005000a00A88800WW -10G8000OW0W10028W4fW100G4UZt0800G608GUks00W08200G0W00800800000000jATI000 -00eG0002Y0008840Gb24SG0g240090614Y2WPKG4W20GGW811100HW90o02W490M4W00W8eK -IGZ8XG0G8004800WX5020O8H08xX4QCh2002ftKY10u0WZzV000W20000WTE0X_p08a00000 -X4001kPt0G010F4RGpy6000YuZE3wxt010824000_zs002u0000X00W0aRV20G0000082030 -W80008YG040W800010024LPz3BrRmJaR000WNM00mnvC0800C_V3MEt0W0G4p@RW00048010 -WW88000008a0e@V341YGyCl100W0gttWkPU040G4WW0005000020080W88W00G000A0GW9lP -000100W008000V@mmT@C0WGrzfQ680040200021Wm6o9yQl1h_R0102000084100010WqwT2 -G2WC0421G0W2080416G1WmmV8cy46asW0Lc10000eL2W5uJ000W000800018NcRm2w9CLj1I -00040000H20OHQ3Atp08000000480ne0W00010080YWmbuau2z4YSU30080BaZ1000Mm100R -ab000AW2lI8S33gkd100G08000U1t0000G8200FhsWBIJW800mbwCKMl10H80G20G0H0G01Y -40240W@YDeeU600A00WW0GK00GxgO00WQCJ@4W8000BH04209i1000000280W0000a4kg100 -W00G5000Kf00010Y00020G1pWR0bW8080000O8H88830248I14EZW12W61J00084Y4XH0G40 -4210G2482001280G441G800010G0H2D_XAuO8W@70zp0C_l1008Y0004001820005Ym0000H -0BiR0C800400082000a08I00mG58Y80m8600A009G00HL0W814205n40GC410I0e1HW4000W -H00en_70200SoY10G000009a8D3JwKnT@F000WJ500Ge@F0018uYdDs@t008L0XpRmv_9W8H -W2K000048WMpV0W040210aL@D0800mXy6Cq@3lMYn@@6000Z_YV982G0G000Oh@4o2k2W000 -0W0ewI@1K000a010ozd1000C0W00Q3t00H901G_m9KFal66W6A0Izd148G0DXyG2y9iee108 -1m0400W8201C40Aa02mhdI000WC054H00Y21sdGNs90400ObV30W60y@V5VyRmiJO0000EEW -70100Kbk1V6cGSnCG0000e1000008Aa0008100HC2a7l1W050wdtWFQCeDV602200018I900 -04K0WAPDOrF9_jtWQtn00WC_wpF0200uVn4QX@10011PkO00000K304fbQ040WXMwD0000B0 -G0WcyJ00gW100G4r12Ww820DI70A7G0000414F0000GDA0G06Xl204501vN1mV0WF@D00@@0 -00G50m0Ws000T800ayV20O30000xQ70540000004HF0G1YuVL0W2Y0000000C007T4000GU_ -3C00x8uL_1qT418t10WmmJ0018og_60004X000g14101e30fTBHW_60300Osn7IDFXDXJ001 -00020Y8mJ8Hk4k0FX4nD02WP0C000c100Rsc013030LWA0e0g000HLUs60SY80000eZ80ul@ -A0009A00Tln@A00q663t30eW2Ypp00_@30000iZ20y@l10e30jvV0A7K0W1YCZ@0WXI0DmT0 -0000000y7WSOW8C207100w00020040WW@PW108qj@6W200uJUF_xd10002jBP04000mj907r -Rm9hF0002Ogp7_rNYl_VulV623t00402lyZ10084A000ZzpGhu6SVj100001W0GI0G000400 -000kOOCOUx74O2101W0u0U3E5o00000u002002000W000008802WzyJ0084nCtOqDv600126 -SsW2mh00W0000Ca@@D00GoUwwLy5Z1G0000WW0Koj1PKPGv@9KUC3dvdmytCyel1XhRGxw6K -r_3lCRmJzCKCf1foR000W1W00mL6O0G45WM3I8oy40081002auEv4_Dt00W00O002IytWTeD -eaT32m9XsvO8zz408W0ixl1RMR00G020400002400402W020810GE@68400W0G4msL6iIl12 -0806em0000iS5000000082000W0020000004000W104000YK_7V3000A0480002005320020 -020G00400W040a0410G400I1048212401294W01801mbLF0G000082md_60008yLV30W04CQ -U20402000400012000180G8000M0600w9pW1zD0020000isAMDW00GmU@608800000040480 -0080GHC0108W1e4010f184008G44412G0111Gg018EF3GH00eG0004433G10004008W044G0 -0qzE300G0H400KaO2G0W001008W00K01080100004808000O0008W100Y084G400G5Iz0RGB -w901000uW200K000043080W_lp002000501124W0000G1Y0C08O0J52W0a5G0003020208O0 -g080L41G0WH0G0023G0900W244fm0G212001aW001aCqc1NypG9@905G08bT60028KH03ffQ -mdQ6ari1RZO0000Qx100NwN108W7G0087@d00401S042W1G0sstWBvD0G010WK1001020H80 -W0G4000G8eW7AutWPsD8QV3oum002G0BARm@@90041OyIC000Es_B6B_R00WXiGyJ00020WY -000200G200104100004028000G8014m2020gomWXpV010C08008110GNSpGRv9qX_3VnnmCn -90000GWD3GRwI002400GY0G8Y00G1Xz_R0a00040004024000020HG000We00100W0G00I61 -W00GW10m000000G010809LMHcwF08000W02GVPO4nb4m200kTN240XP000410W0W200uqV30 -1000HW08mN3_9d100I000H000400G0WK4G0OxNO0GG0e1rDobNYKOP00WoGtmL00020m88mc -@600Z0000040W012021@iR00G8XnvC02W0Gvq60082CkyA0004G0026G04w2s64vc1loP000 -0W6eOW800W010WxwD8ks70pq0Kal40O4010g0G709102YLwY6W0a0000XW050100WM082M4W -G0GWG000G509uI40KGeq274K2C0WY82X2000a00WahJ000840G280001@VR0024e_@D0X41G -AyO48F30100G400000W0g00mZ@I004Oc0H1WYm00e8Gng10A00013204H1024G0arN4DG08Y -C8G00C83208260A10W080q0HW40W0A0201@rp0GWIWCHIe34300000012wo@4I4t0000KRpR -mYe600e000GYG1z900WADoV9000Ib8_300W2_6nWr@JW0a0W00400K20tvY1080000W00040 -0G0GSZD30W8002a0iP@3rXR00004008000Ge_k@10JE0LyB100XaYiPeKV302004zV2Fhc05 -00051007zd0000iPpJW0W20005040J00a0000444_F3W1000000sFk19aR00O00W0000m100 -2080O00imw4oKqWgWh00m4C086O8U0AGQG200002005W30800GW00004@1R0200002W0004G -wKqW8RDeHT6_ut00e002084Q7FX5qPukL3k3tW2yDG000OUu9ydk10600000000GAyjzA004 -0G48000024014000010010000W2YO000101251G000020801W0I02W0OsV304e8000X00000 -0a008000Xbd008308C0Ma1WI000G01048ST3G40000048HS300040006000H690O0812P4W0 -00q80CT_30U30Q5N20GD0jDcGnw600001HIGGHp90008A1Z4g@23d02000W8i1W0CwV2000n -90000WOZO4rDw@F10a10000L10WT300WKz00m2_Ie300q806810000iG0jcP00@70000000r -S0m@@0UW100p3800000C7WE@800tT0Wi_Wdy0mCk10WmuPW000024100a4000O000W000G60 -2Y80P00Wc0P0m400G6890GWCH1O00000300W8020oUZ100eKFzN100p000O0C300W105a8k1 -02000w000m0qXW1W100G50002vIQW000G1W1W20300060a2W1n@B10K0GPU00rOkHo_U0WX1 -8IXA0O30IV100000B8I1000We4000D3WxG40VH1LvE4UeAHmG40S_10G4G1m0700004OU15@ -FR0_@A0TqH0yyH29twHz@L00G22408W021mpyC0210Oz@60N00uXVFUcrWmwD8Cy4UztW_mP -Op@44000010G02040480000G02004k_N202000420EytWFgP002G00GG028W080G10000I40 -420G000Wik_pP00G2GIq90G40e9T3c0q040G0010000210W01G010Go160202G00W02f0W@@ -DW221Gqe6001000880K00WMrDeJeGAxdX3UD08000001Y@@D0uG3GDxUaOJ29kR012001002 -2081ATF1000AG0000010KVI2zXpGDg6a4g40080RtEX@@J000mWW000Cm02GO00m000G0601 -6008000c0yP0000rYR900G885E60m00Cql13WnGQn6ShT2TMcG0ECinl17kpmGyCCrl1ZzRm -R@6040W00A080GGXaRD00W000W93Y0200G1000G4S9l1400W044G008e10202082080G40G1 -0W0X014Hm2I00000010818G10000G1J6G20000Y01000200000L0GG04y_35XR0804W@@P0G -80m7y60G200002801080200200a1Wm03000208102008001GW0020W00Tdl1W34000Y0sUi1 -7tO04000G4W8e0WG0484W050540A0eW0AGK40800a0GKgeG02W0Z44e0I131010022001804 -P8W4n0101H00W500008Ubd10080000G0C00ihF3400000200000I000mog600040W40G@z6W -0000W0E080800W2020G0004010001V0041020000G4W00002G001400008230OYI10W2W0CG -K01P061811i01I506004Q0YWW0G8820545K1Oc14W0002X384A0XW8W02h042H100204GRzR -Gk@6qfe4XUaG_@608W0eRT30C002G0W04008G2000008W086g_F100MX810G68qWrnJ08W00 -0H000G102W80obm00IWG00WGG5002Y00003GeW10W4yC8hV3480Gaxe7bzh240001G4H0W80 -0004G02400000p000GdD0t@R00C3YCXCuvU3W400a@W1000010200JWW0Y08GYo60W0C0082 -mnb60G0Y04000080G0000G4G0YK9Xujp1Y82mQ@6000GiQQ3000ngI00u@@4000P2008203G -00C0WLxD044C080W0G0004W0H0W00000CZ8I0000010a10G0000GY0W820WX00HTB6SkT8bX -hY0Y8YNfJ000ISPlFCdk100020200011I8HK3guqWDsD023W0WG02000020WWA3sWhID020W -m_DmqeW4400000041H20Os436xt0muA0dsp0040000800W8W80006Jl1000HW00011W02000 -Y82000G08hhR0WY180G00G0400000WG00udWVEvt00C300000W8YH0HC0W80G00OG8000Y00 -0G_SF18Y8008GY4100020eW8e0004430W108HG00H45W4G04G0100A044W80OC22G1K0WW00 -o8508X0085H0080n9K40KW006YG11220WWxCu10C4XgG00Y0WG00H4XGC12W820041000yzU -hJ8NR300p0W1K0W0Lm4W8014m42GWXYG2K00nHqGXp0084022eA08A04K0BHX80W0G441630 -2H0Hm80800K08khF3Nrd3100W8vb0O70GQpIS5l18810MisW@vJ002cGX_90002OUE3Azt0X -000W200UDMYyHWvtS62Ep0000yO200EfL20G205_d040102200t9@0001000Y60000000d@7 -P2FbOma2@azh1dvd0040000wJ7z@00WGWhsJ0440mNJ6G0000G10G3lF0G0008W00120WPzD -eNV3i000av2FphOmRW94qj1Wf402@d1G20000GIG0008X10_@V3002G8X04eK630W0004200 -0G28000bvhC0G0400000W2040010G0C0KuoF000400IGi0_3000WH700y3U5GY00slF11810 -W010IxdX6wDW4G2GN_6qel1010W40GXSYZG20001G00CdS2jQpWK00000GD1FR0000008Y80 -01000HHuv100Km0W2W000000C1aA0e24Z0C00o0ylF000@100m0000mNl0500a3FF001O00w -80nzmJYRL0S718CP6oTBXmtI002W100G5000e9mPW0mGG1W102G50Y5t00GO000m0G5W1030 -Au6E3YhXXJXc1G00GjE6G0H02000W00G4000Wu500_@t0@n500u50K3WW040@L70_hF00000 -WZSe_2O0071m@l100010G310_X1W6D4yy00eZV0G7000007GPd10ByR0L0u@3tJOXG3E@l20 -0K000Wm_@d1200W0800gyF1001GNpRmWk900WESI@7MEnZRxV02G000K0agtbOQr4wRoWfjP -00280040XOiP0080Gv86aGE3j1Q0080GK000WPS0dWtWNcDG004mSvO0800uWL30000000H8 -yV30401ipl1v1OmAiFKFB6zrBHgzI00G000G000013000yq440000045d10GW00G024rm3J1 -pGPt600000820000000G0Wd0a0048004W2j_p0800010G0X@@000W180035gRmK_60000fyN -3gvAX5yD8DP3001000ezBItJ00400000iG@4s3bXP@b0000uk@6a_l1200W01000014uvS6W -00000CK0f0080000b0800G11G0C0GW0K20488534G01We4GW0040WYG000Od28005000G004 -48001401AG06004H00300204W4Ye0W2004g048002m055G2805O20000040GW2W0000200G0 -10W0W000402000440GO_60W020180m@@6008040GKW200W9oD08m80G040410G2P0A0008YW -000W0AGBz6W2E8042G42AG0H106W2G80100e21aW00001902000aI3Y000400WO000G019W8 -0020WYI40W30000SW1040b80K0H001W02W400GG8W200o014Yf042A0Ae2WDK12W0YY001W5 -W4004G02W0GcGW8Le0001004GO1904W108AG00080W010008AG5000406000GW02I004K080 -WWLWE0800000Z0YG284AeGWAe0YD00234IW240nYOOH100I8GH40000y18200G000I100W2o -G4H1W502496Y81Y000e0L4Z0CH82XI80Y1109842Wm08W12H1W049aW4p0A01WAX21CW00H0 -WG3060W0401Y0412K038000WWXAIOQ@4w_F1108000200144Cgk1TIR0000004X00G000180 -0W00190G1C20WKbJ04000ub52003000W00180Snk1W12000W000W80100060400006000W00 -20X1G1X0WG0W0022000008Y08W00008a00GGe_60200GW008WG0W0hnW8W00H002H0000224 -R@d10280R2R0200Y6_DGG00008I00G40820000100000IeY003I4aAgDus46sws00002G01P -_x9XG@J0111W410WFwJGa0X0H000KG00C208c9lY8mJuny40800W0040WY0000800008Lfd0 -008YxDDu1f40GSM0H1000W0800W00a000080m000a6W1b@R00WG800000KG1032C0H200W41 -40O0XDrD0P900320002100300G40W082YeSKIgjt00001001GAsq000G000G82HtWZ_D0a00 -m@jC0Ss0uCU3QttWRuD00800A4840W00G4nW01000W0010020G01G0H02ffR0300asGDuGT3 -0021i1U8l_RW8000H802400Y0000G2008c_40002MSL2RZB1T10000e000WG000GAJ00eaT3 -0G00020G4008ogU600400040800G00Y00240W00H0880000801Y0100G000WW0000001Hm00 -0B0800Xaon000G400010G24WG50I_t04300A001000a8G40K001000821000000AW000826W -OOF3W000000O383Y20922080G0GcP0090G0200WY0401430W8Y0OcPWG01H0044M1GgGa048 -YL08G590020We0HX22G0H61138008040KC010B0418Y02iKWWqRn00Y820000G8300G44002 -GW80240i00020AO42G000000GG8mG044018O8000W40e2000000SMW100000aJQ0816I400W -e4008000000a100Y0WA802YW408A2000W8L008SG00m0e0AAG22W8G002385H8GY0G18K240 -418080H0G004W628GWL8GD00We2mc@LGA051004GL@600eW2082mKu600000K00a80G4I950 -GG42I18100100001kut0000i060CdIw100107ZRGuu60000e8030400WW00uEV38000DY@3h -3amK6O004W280000q400000A000000Wz_l10W0m000W040C40015040WazD0WQ20Y01W@@D0 -0W5x9vI0200G900Gw0F000G0000Kq060000108000G1gHvau0mA0W2008810820o_96y@l10 -W0W0K0e009020000111080Y00040G0B000HW8rV30011Kyl1WK40g_tWVxDuFM30eXKGC0OG -0OW8000YFvJ00108000AmW0f04050002000Gur@40WH000000W24G7JO010002448Y00000H -Q@@R0008XsmJ008W002200041241G0090COl1dgR00100000qu0002TFXirD0Y40008W0G00 -42I02k4m0G00000W400W0We80292Y0GWY8W0H800I04100qwl1zjQ0401W_RV8tx4C11XW67 -0ujL300i80IW0K8m20410GX000G40W1402W_M04H0k2O10H0WOC000000W01010G10000G00 -00Um0W6000V0q0V2A112002m200auY_4000q000O3004000208000bgR0020WhuDuly46WmW -cWP8u@78000KHX152Q0G0yV@0000Gr@gttW@@D00OWnVvI0YZV0WNB00pK5LTO6cfA00mK0s -KT24S30eQ6@Zf6cn30kXD0iHm00WE000boFQI30g1AL0109YX7XD00u102@03AA06A000ztF -0I700ejV9000Yx004X0_1804105m4G08BWe9m0P3WBmc0J0k0c091q1u28Dj7GR0SWq4m5i1 -W9WB00GI0Q00000O00005000fq00WqlD001W1060200WCzvO020085G4W28A0k0tWSmD00WA -0C0C0g0W0Pnb002000604nmPGiu6Kdd7000fCym28pC5aq3BeCCJG7Wtm2Fi70lPPAJ17sm2 -cXC5CSX1O6CBJ00mm3Z000OVS300uOw10dq40c9Lg200CJLZ_d00G3100e6WEJLm4GHegP0q -h50eT41GO20WS7Xx000ROZ2g040yid0i3000000GNO00kmW@l16@z5016A0W20pl72000efz -D002GG9EFiYT50t20MqFXViVu8Q3sflYlon00080K0000GW0r_R0048W3QJO9i4IHBXp@D00 -0404G0X_@DG004q4nI0000n80000100H004vWR0000H0020x_R00Y001W80D7P000WW1rI00 -00Odu6KAU2fzdmZ26q3U2NSNH3wF0W04OwC30008i3Q500GiRJd12000vRRGmH60100OVq4M -UxXh0IOAgA2XpWDsDuaz4gRt08802X_nG48F800282690j_000G08VV3Uyt0W004X_R00006 -0C0Grld0402We@J08W0qYUL000W0104m9wFKYk10Y20gusWK@D0048m@vC4ug1n@R000Yai0 -D0009m8z6OK0000018b08000044G8100K0W502W0WG000G0m80eG0080KD0WW0004C001040 -000GY000400G040GOtU3006eC@l120307QdXRyC0H0000W0YgjC0000Jy_60X000508GOYCK -mg120W040420Y000800a0W000204010001X0000e12004G000J04080121001W100G4H0W20 -WG00G10008W00X008040080OY02GG1160W0120G008021000000B08010008K210W04G0040 -4200100102W00Uvt080GW000W0280002000m01004000K80002G0010048W800m2w90A1000 -0G008G0050G800WH25204041001Shu60s6500G0C00X982028C4W001r0WH8092441G100Cg -0008000mI0412008G8H41881W20Y0000I00402G000008101W0420820000GW12KW00G5IFS -PF30W00cgFXpwPuw_4E3CX0VP00002000SQ1000000tCqWXDC00WWmU660000M04011G1001 -00W880wgt0u0800008_nr00204001W0G484zl10411gF6Z8zDuqtJ000H8200G000008111W -0000CWcSdXMID8BV304000001W09H4G00002W00000W0W0I4mG400024000M000j_p0G0002 -008000KMzi2000W9cOGx_9KWk70W8Y0004211000GG8O000XGB0vzdmKb6000G400WWCpCZb -CD000GGOl60Y00OcT30290ixl1W00Y_1m000010mO0YazaVsF1002000010120000GH800iw -U500WG0048qJ6300400G080004100YuCz6a0013rd0010WiUs0000uzV9i8@9Hhc0OS2WVCb -e1V30W425IS24100G008GG1000eW004058Y802GGC0080000YOmC6wosW9xb00K1mhn6S7l1 -V@bmvzOOcH0000110KS0a04f000e8B00aSz380H08mW000GXI0X000082H04Y0I00G002Y04 -0L00080G49802E0aZ00W8002000081q656W8Y008000WY1Whzh00H0W800W7iJu79FAqt0QW -G00248m80000Go@l@4G200W8040e004000K0nCpOe0G01HHG02001000WY00a4204g0GKK9Z -2029sW440W40310K041008W0002000G04100000201@@N10a220a00000esTt0G100jT7200 -04000d@@R0mV5WDRbe2E3AdjYnmJOEE60500WG008CyDAzt000G20mG0_DNb_@D0000w3vIy -Qe19YBX100WzyOe8T96k2300m18401WGq48G00082200000140WVyh20WNWy_b0GW0me@C01 -40eiz468oWROI001400808002000024800S@h1LKM1010axED8CV3UF3ZdDPunz40UE0iVR5 -Nvdmbi6qAl1000900W0Kbj10000002H4bY1fjpGo_LWU98008720020X0m0haR0Q00XvP29x -D30000AS008UU6shtWAuCOIyAMmd100B0084IA2F1W02060hGksN800Wgo000KGLp0mlK0Wl -000WUY@_PmE00004L00_xC0Cp30OZDeg0NnkH0WdV4mxtD0EW0eD50E00mV_900000@v70Nz -mz40008I00000w3F043W@@h0P700Y9mE0JGI000cQ3d400G0Plb0ed3W0bV8pV3oYB100049 -Y@00m001W00XXR000c00GK1O20045050000GM00GOuLiHk1fQYHp@IumC000gyWg0S3R0uX0 -00uhzk2p0OcE0mkXm300WlKu4U300W@1G150WN4XVU41@@n8_@F0jy_0QK000000e300000G -LTqNnu_m0aW00202W020WWnO00mTyS@X00G0g7kAA2N2G10000W4W009Kvl13mbmh@680400 -010G306qRM2G010M@tW5@DG02G040WWtXJ8HV30e9000000G2WmGQ9CNM2fJQG0S94CW1I80 -0A5FX_1I000000GCWMyD0018GJu9yql10801oEFXR@D8lo40W02KYh1bwR0000X__DeOx7uA -00000WeE03MutWDqOe4j4YXp040G02004cAl20X00rzRGio6G000e8t7w9mW_Fn8zV30018O -000G1500080XWoJ00W7JEyFypU2NYMHDzFKaW1xodGRzO4ew3W012QH_XEvD0G280405W1sC -uRO3G010W0000yB2028G10m02004000200000210GWGW884X0000WXCgG000040W20W00020 -0WG0G1W0X000W0Y010000W0010100W00W8IW00G50GG00000G001W000Gw2m00000002A804 -04OG2pOPW0W0000000828400GKEh1W208G040AY800K0400W080W04W80001400g00080810 -00Gqm5WW02200G5008G02O812200O0H0A8000W4LX60000400G8W0042G842I420mKjj1000 -24m0WO0000105080010GW0000HQxtWV_O00000G09W2_D0C00nf_6qlj1teR0002000Z0000 -W108104W41HW0C0600W010000W0W0W0402204810A2000fnuI0XC4220G600G480GG004909 -4P02eY810b2m18w82400G3480a0XG0Q42G4G1081Y000808A01A00D000G021420142GG000 -430vgRGtk6KtR2Z@RmR@C00102010000WeNQPuYV30W0200W1000J0G0W0000000G2__t0Wd -B001000G0100W0001G0G20Wf@C00000G1WXAVP00020060WQ@DG000mcV60000WW0400WI00 -0800008kVJbsyh00c08000014a1000WYst0eOO00000yf000nC1m0I2800880H8WRmP00081 -22118804X80Xard10024okb10W23HWdmls94K0FLTd000Y000Gco40040802080084000YQK -G0W800C0009PA300084080400m031G04H040W08H410W020210201CT@Rm@@6Svl1DSoGm99 -KAqF00C414W2SXE3W00004V0KA7300W0krs0W0G0W0GC00002W0mOHg42CDX9KDe7T301000 -0082000qa2210G200000G00I00G6GG228000yfd1_H040004C_d1BCQGOZ60020udE30W080 -0W0W001GcX6q9x3vowHKJm001G020042W100GaGYWG0e884W4K1000lD1440HG2105A00OW1 -WZH0WW08048203240690a01Y8I40OG01ee00X02H40r_R00020Y040410002004oP2lCOGSK -21PY51KSWC6OcPH40900HW4081Y0000OpY4aOc8HWa08WPc00WW0282I0e850908CgCWWG2c -8Y8086ee05014026G0e000200G200qPj1A00200008000H0002008200GW001CwNIbA_h000 -Gsp@90W4086E3000mxA0mikV6w3tW4nP8BW4Mi_XkHJ000850000410KPSZHGXjqvh1Pzp00 -0lcSl4At@4400008e0OBCU0600ish4W_6068B7000120L0oxn008000X000004aVC9h8l140 -WW@@D0a04Kv@60000Mf000000WHqDu5z4YXZXhqPetoAwVt0800028402IvdfaJ81S600GBd -0t3vWRGCSC000u9HE32qtWZdP081000GY5000000W1Y9rWvyyOf0I00009WCLH00KW7c1GlM -0WU1000mYF0m3p0WJEXPEC3dSI1cv10zb20wb70qBe0eNAeAgcH7weWPy0Wdu40V9HS3i100 -0W@_f1030eW000000W2A00000p000JfKKCu6S3k19WR0000MD100n08HM0LyAV2W0W02Wd1Y -R0000Wr00100002ArbV010W0003K00af3800JCJL0yaJ0ua000myoC0U8L0y55KrXouEHCo3 -L1mNB0WZE00FC30_I1cFp3yQ1Ja8d1c400g0t3D0000WH2Qw630002FabGCi6KFz3LinmvtF -0cQ0e5sJ00O0i7A3T0aGEZCikk100110W20y1d10004Qps000004GW0gToWgmJe1V300090W -02u9D6knt004020004000GIN00eow4_scXesIW2200108WZiD8U362tt000G0DORGWqCqMj1 -VmP0040WqkJunS3IA8Xo_DuaJ3EAuXKqD02200040000tBtod0080Wa2U89x4ADmWYmVOhy4 -0G00anM2Ftbmr_F800400AWGCvCy0@300W1A8NYDnD0412GIfU0W028iT6oRNYkvDuwz4cW_ -XbuD0002100GWHvJe8A3_Zt04000400100020400500W40W0O09e000080W0a200G40G1800 -0Qq08020W00eW0y1c100000040220W0W0W2UW010010W02410WO0080SOC30001cPl1v2R00 -0000280LY6IkeIW00e0040a0WG0G00G0020014102186010080804GH020G80101800W0120 -0004CGG400040GW80W000G0G02041004000100kNoWPzD0010I9_60W00w7V30400040200W -G0001W6SC00W0mzfICfl10008MvFXCqC000W0022010W00Q0W0000G4001820a0G20310m0G -4CW2100OG4Y800W00A2H000W5Fe4120020GG400000G2W0102400H840220W002W0000up@D -0XG8Gmz6G000u6N3000G00100W100804YMCDeTD3oUeYiLJe0n7Qsr022000001040m02000 -000304WW5WCW04010010000yO50000080GA00W80GP@C0G021EGW00000001Y00m0004Gqrj -1040400040201G400GVPpqqi1FOxHq@6000100W000W0000kJ080G0008sdI5FWX4082Wh9b -e@S9000YKmj1V_R0410eu@DGuZ1m6wOKLcJ9OZHXnICdf1dOR0000H0008q208UFNYU2SAAS -L00G00400ebz7000VD513rAzJY3R00H0ux1I8000s6V28000G000I4000Kw0001200G40482 -0MFlYn7SAc2F00YX2200G414WX000000o008GItr0i2G0jh@Gkk64IHK1tJ2fm0000G04HA0 -0G4A100WAcV3008Rqqk14H0000085Ek1rjRmWy6yqc4PLerPz6004W28000m800000040080 -0000ma0PG@40W14LGl1@sRGoyUyg1L0G00000404H7PIb40GW00000sw20KZ@60210020W02 -e0WPpJ0W00mJ1X4na1fPM4000000Q400K1hBb1W000008uk@t0801Wjin000eW_TDuP_GoKs -WqNQ9kPC010qx6200Wl00X0000G0000I0W00020000WhJaI0000u004000Y1m0000W14Rmzx -F0040OoV62YEXkyP8F@DEmtW93FX860000Wnn_D00001_u10cd10000UAyd100O6C300Ycnf -glP8WqDS00Wv0x0I1p100e2W@@D00mVA000420086G4WGWC0CuS2004100W0K5k1ZhRmA_OS -bi1xeAqaV600pp00pC3Uym3ym30W@10_@tWqRJ00Xv10000CF3WXYYKCHsqvl10801002580 -W000600000KI3007E8KEYRKBi4@@p00X0WpgDuyV30W000040e8V6002000GDBEO30020auX -7pnRm7wCaHE33yYHUu6SjoCbed010000b70tYAnuUOqzU23QdGF9ay@@60080BysW74JuI13 -QAtWEtD0000Dr10W0pC85uS_enZocF9YF3ImsWpoJWW000020000qX7cRm5lC0880000GGH_ -6KJ53bqdGehF0G00C5kD6ldXfoC8Kb70X0G028800G018W00G006NPRW04WWTtI0CO108000 -G01400000140yEV2W04000C0ihM2DzRGm_6aPz9P_RGZ09qjl1Xn@Gup680G020100X00020 -000W0IgytWn5D010W1000863047JdGyx60WWW00004908W0KJOfV300005YK2TECJkrRqAl1 -028G008G08000G00mF@6a7a100ai00G000G0v4T3UkL20009Ht8HUlFKqsF0400_YpWM_V00 -0aGt@60_@08vvMI3FXRhDemSUG00008000020u616008W0WGGGqXI000YI700GXfgSys3j39 -nQKsqik15aR0410W0vU000yPMjj0G00ODEg00200042G2000W00WCxD00110000emxC04m2m -@Ev46zF9xR0000O000Z0WA048J40HW88GE60W000WO00000zA_g02W000210X00WMsC8JCXg -ct01G0000a040000WG001W000m0Wn0JGe000200000sB5w0pOZ6000Y00W4O85yGA00u@V30 -G2800fW20c1nY@90A000XYa0fa21Af4400000Lg04SKEn@@mHRjCvC30800020100WI00W0m -2_900e0180G02000000mm600cdRf4uQ10003W104a2000G004051100WW088W0G200CO0000 -14aW20708G0Y0000IokaWvnGFsu6c_HD0H2W00001X08G052W0040008004m1KEj60G000qY -1Glwj000044G2G3pOS2gA000g901W0K10eeV300i10200KBa91200BZ00G8840C002X_V0m1 -y@20004v0003_X40A0WuKj10B10008DM28D0000000O110000m1IN@9iLd1NUVYp30WYYD87 -yY000Wx00000O0800002G400OC000e000G6000N0P0@0P1m1y300i7000S0000b1m_Sjqlh1 -9mBnlo602008UTO0mCp000MIQM20U660B100DYNHjx6y@lA00H10000eW80CIrhI0d10800K -00000KIt7@3Nv9qru9CnO5tjR00I0W6aDOfV3W0W00040000W0018W_@D012000W0mozD0CJ -1md@C8000G200mvsCa7W10001A5tWjpP0002mzv945G2VedmxmOibk1A000QJFXUmD8bT3Q6 -tWe_P0000ha00WjrP000KGQtF48G2ptPGWxFqvU2H3mm@@gioa1jtdGxu60000008W00002W -002400000m0SBB3HlRmyoLKef4zvcJhw6W000upU300400150ukU304404QU2000Q0G00Y40 -00CWY800008W0W0500002000W0eo@AMeoWXJ3PCvJoqt00W0W000808010W0200200W082G4 -00682001144jd10aW10S101000rR0Gm5w90012e103000W00G40W40H6@9S6N2xbxHzrg001 -0W0010001400040800_st040IX02004G00W000G0002A284A80000Of1G000W020G1000080 -1G0G000GWW0000800W10qWv6C9l11rRGQgOaol10002cdc400W0jxRGz@6W00801A000040Y -0200002Mht00008000KG0WG06000KTZHaz6yW73HtBnSpaydBCJeR000W000080G00008900 -00m0W8000900120C200o@t0000iq7000208_QDU080Y0000001WW00042008G004000G0810 -00A0Oh@40GaCiDk1xazp0xp0500uoa4W100i9U2VkR0Y001GG04h@R08F2WusFvywh0001X8 -00010200G0000WW0910mW002160000100WGajXD0D00Grv6CRzR00a0000W000821CG41Oa0 -o009860GWO0K150G0e2ZWH8W4W00008Y00GW0000q2H00ohz600G49STsG00YW0000H004G0 -00012241W0e31a0Y0401om04W00n4H1m84OAit0mu300G0HsWNk6jV0KG9040WoaKDuvH3Mq -F1000iYGA00000W0048Vxt0080qzD300G310400W50eDj74400008x5800Gkz64OSH5ct20W -300GW00000e884Y100iN@40010i_E3W0Sm01C008W1u_@t00010W0000W000W000WI0LuO00 -00080G0000Y00040100000104200000aYB128000Cyg1rZ8td@90M0G00W6oDwI020000084 -g300XGA2XwB700KVg4Su2hUG4K2GIeW4KmXGeWXWG0J0I0OPQ42008DBf10000jq20B3000H -V000Wg0m@41y00Wg82Wx@P30W800080Y0S1W0m0W1W08A010W02003000606040C0G08000m -000080004Q1000t000Y0kbJg4@@d60y0000GQi4mm8205900yKOAKa0iCD0eW80moq000000 -8GOc0ywx736000Jt@08j1Wplb00W0Kl_6ail1B@NnrwR4Ni1pY72100XqzPeS06szd1000qy -5016z_an@Dumy4IYZXaqC8f0F_@@100G0dK@GEwCCbE300UdQhjbdrJ8JB3INFXRdGQ2@7YD -t0WQD020008001y8V5RoRGonaiZxClzdGHt600240000u6W6KDU2W004000m2N00eO_4MatW -qtbuvV3YbFXYmnG000GczOq3V5dhR00010400HFuR0H000H01028W00G52Kjk1200000aMG0 -02G100W02000C00DTRGIR6y@l1@yQGc_60100OlT36rWXjXFfYVF8G000004W00400008W40 -00000WK00yVf120000jE1002O0008W100030004W00wCqWU@JuNU3IjpWwxD8X2CYKmWGxL1 -0020800WtyC8iN300WG0G10002G010WWbzD04200000yumDuQ_7ASdXEtJ0108Gw@6000eut -7X00090100400W004100W8001000G00qWa104000W80ykl100H000uQEvV20202040G4PV2Z -sR0000mDUt0000200GaaxJG000GTlj004000G0Ge_60W0o0024020028410tzRW0GCWVvDGO -W0m@@6080G00100102004805eR00008004WW000_ZsWYhhuv@70004iVjAxxd000820102fX -R0G00WaxV00002x10W9dC0400GeX6CVl13FPGB_IKOE3bkR00GG000W800040002rFYD000C -02080008hwG30G40CLF300OFcZp020W1xYd00m000G0000W00810S_V82G000100000220m0 -Gt_jiUV204004XK000WH00g002008W20000001W88W008uQV30jKGSqj1020C001H03a0G0C -00W10080002W0W108108000801KgxO0004H0292G800092001000200i8kAAW804500WeW92 -008Y06M03826WGWWGY03G4I82008200048200000Y000Gnb00W00000220J0002000010G02 -0200088000ma4C0H0GGd_FCNV20W0082000004080G000WG040X0W0G23l500WK00061812G -AG0G4000f0400W000GA00XPc20f400GW80000007O4000W8000010G404104G000020801kv -t020W0082I1W00sdF60880_@F1080KBxt2G04WtmD001u00114Ph0O9YR09000W00G000002 -80083000000Oq5420G100A0020W10m008WG00C40802G0W8000KW00400O200GG00200HBbJ -oWBg00040G0008a2200C02KH00W11032I0Y6e09300W3080002020000A80200C000KtB0G0 -00K0Y00Czl14080W0G0J0u0G010OWI90E0OeT@G000GWW00OphM0104020W00004420400W0 -22040G8G24I3000G00089000200WaG00000Og0000100H80014VlO000010010002W00W002 -80w8@GEvvaA@b0zb00GG@5080000W3000KB0W00WEGl0084y@2000080m0000o200W0WN000 -W78H20001W30820040W0W88A00020SWe400W4a2W10100G440i_l7vsR01Wi2000XHxV200u -10W@100000@oq000eXbM20BJ30Bd6WeP90qgKMo3FIZb0m3U0uV0400000Gb20Hxm3YsX7yl -CpuV0an@08w@1GqP2WeFq1H@70_NLgym320W@1z030Wp0Oz@7y00100026004yAJ60002OfO -3gsN5WR000@0L0g0x101e200W1000K000uC00WLWRWGWr0G1Y000c34004000gT1A0WOW01r -0o0g1q1K3e3e2K7G58eWAGG700WC0T0P0o0Q0b@BnSu90G00G000W0WW8501W6WB033V600m -oe100GJQM2WXv1Wq2GauC00000Fy0WP000WH60000088pC102GIG26aW4KG19eW01I01XG0C -cj1x_J204KW_wJeWxAggebr@V00006e10WTz3PTPIQtNYHlP8Uu4MRs38000FWRm6u6yRk10 -0OuIVtWRyJOYyAW010KWD6fMTI_Laa6U2DqRGO@90un1ONA3QpRZ6BDOHwAsLNYGqJOvT6I1 -GYYknOhT9000HhS00es@YsqTf3FV00mINtJpyVl1DxR08W0G0000W0080000228WOLQUw_73 -x000HWNnzq6azlA010GQO@Xj_p96l7000U0R008@@AAvFX3@yudV30000200X0002OOsK108 -PBxXS_@tWCgD8x13cugbmEXik0TJNu@p9_lSZ@77Hznon00WsJPmU42h1jjoGIi9iWU2hkMH -O@a00W88fWt0041aqFL000u4200amWDH9JoypFa205H1WniuCiMF3f2mp4r9Sr@315pGA0K4 -5m6f2bG1XFaA0Fl_R0921H80000004X000000aG800GA0K45W7HAWHg0y4I03r7z@yJmltz3 -NURGA0K45G80000000Gv100u3pAY687vO0GW0CE10001240000a33G00WnPEf0GHK0RCFF30 -00eJsF10110f2aJ81Cq5s@RfzVMS@Vbt@L1_@KY@75v@FPE600mNs300XS_@7f@tnw@Rq_V6 -l@VHy@LC@@4r@7nz@Fa@V3x@lG@@9y@@@@@@@@@@@d6000Si200@@FJOwCa5E6@@72001W60 -C8F0F000f7LECH1Wo@@X000We103IAekJk@laSB100m9100elVFU@FXLr@FLUF0MY0CKUB5r -@@G@@@@@@Fp@Vp_@@@@@@@7E00da@@j1080m@@@@@@@@@B1200e3tKX000mrPFy@l10b60_@ -lhvAa82k7_@V30022HSPWJ00WNwKv@V6W010y@F9z8mm@@giMb1WG000000008uVi3R0002q -4dDPrzm@@a0ub1u@@0J3q3000CC700I7Wg1LC0010W0G00000000X0AEaX7tQ10GDu@@NrqX -18002wwm02800vlWH8CX0yC0eS4gcUaa@@F1000PQ6Fy@FLdEcmbb68800u@@M0003KYXP00 -040000Tgf18008@@l5X920@@Fc00801000lZCp@@6O600u@@n0000100I104000G42000GG0 -00YJI500jofzC602G00W800WYW400403W04104KZaj0Qx1u@@n01010a00G008Hph604808p -KL000GpA00u@Vj0W00000W000808080000040W000Y0G01000H0q@@g000c@@@h000GLUh10 -080008W00i0000020m20500801000600y@lAWc80sPQC200CHcc080000103TSs2110W@@x2 -00W42000G80000G0014000K00410m@@j000E@@@k000900G0034500W40E0G400G0020HWeG -0084umQJg0ct1u@@k000@3W00GLHVe3YMLgKbgKvX7Sm@08wPc1q72muKgykr9pGb30a1400 -0e20q148e3G7G7WEWE0T0DGG0A0bXf20WGt@@730mB000GGG8q3WGe4090XWG01u@@M0H60y -@FXvUl10004f200Nm1sKs@aNt3VaBnJSd4At9RUR3ul0Wpqz8HzJsMdXO3K9okJCA00y@VNz -3QmNyF8G00Oah76bV300TdZgbp@@OWW01GW00W00101000800202048028G8200G0W000G89 -up020000G000G026@s30s30fzva000001W80001sftWbwD0004HNz6qpa1082000004M03py -l1000GW200BkDpuYO00080088m8b90W0002200440188029@@000010W0000W00001STc1R@ -Z10W6Wr1Zw9134400001000W0G2bFKz@33PQGV@U0e20OApesat01010000040084c83W004 -000K0W0GOlJ6IXrW3wn0004Bv00W@@TY004m3G68W080020mAj6000W8mT3000W040000Y0G -Y2d000000Glq@@E1000ytK300G4040164001W20WnJD02000W20W1AD000GOhkCyul7WUN0U -_t90C800421W168I0620YW8000Wa2yD0W00000G800008042000400H0ucI3w@D40004S300 -_@t90WI02000000W048405WG2G2G428W000010GW000042010Y1020a420RoQGo268082ubq -D00m3syFLG100IGn0G150I00410K081000000bC082000KW2e41000a2W100g4I1mWY0Cu@@ -A0Tu0y@VKdtQmzR60008200200GW8000K000W6XpWPjC0041GG06i4d1xlX1000om7l20080 -4000000GW00m0WG7X2KG01o8WG001104Gm0G4100408Z80E0G00404IGG00008G840W020w@ -@A00u1y@FL00021050100W000G00GW0G001808I08X000W02208W001080000W400000200W -0G0X0808WeMs0uM0GunN5Ah1W54014WX0M0G40062000H008G000O12m0WmGWD50600i80GW -GSm76I6cXmmjPXtG00040fPOMGXGeW3XG0320f0aWCpK819OmI0IWx5M500O0@@7b014012O -0G0m0W1W5WBW1GM0d8W1AH0JGY0k0c0S1P1u0u2m9c5W10mK0C01C1C2I284m4GI41Wa8m0y -@V50G70_@t900fW80901IG900021X7Kbg4eAL9uXcHmCbXWgIbPoK6@300Uu10_F040WP8uX -7GGLLnCggY@1u0FyWWggA0Jth2000izq2p@@o10OlUXwV6vcdBpDOqS3oFV30MA0t4@Jbr2r -yl7000uaB00yJGNdi7oCx6SVz600e5_@NYhiRvqCCU0tW1rD8_830080amj10G08sgt040W8 -01001820qSl10040wkt0100G@@p00K7a9sD0000KI060W000G04mry9SVF3XvRGx06a8X700 -G20G808W08000K280W000Ge0002G000aNV22000GG80kR730900YE@1040020G0000mdIY1L -uRGC06a5W4DmVILv6S3d10200GH02G0000802000200G54000G004GqyV200W0GX80040004 -80000040804800G2ut00W0002020000G0040G0000WqhHxD01000G0G400204001sxd10800 -8001Ayd10020L0em@@U0002000KGFF6000Ge6@48000aSk10G0WUa94000Oe580c@@XE8IeK -EFgAV300G000H0cC1900_h@IQpO_UaMl1b9QGY@29Mf18IuecZoW7lNod00Gp_E1000Z9040 -2G0WchCODYPQ@@100HuRy@msugitF60G380G12G4000300mwA51c_1OVr7EmBXzzj10580GK -8004G400084800ygl10W40Awd7a100@@x4e01WAkD00120G0G0G008BQQ00804G1000I00Mz -7600Kzn@JLPv6060200W0GDb600HW0GH1GPB6qwFCWEB0_@t900OW20020W005sf10060000 -0W1O010m04060Wsii1Y00m@@E11IW00008040WOFO0010GELv000keDhe00000mG0uSP300H -20000W0a902008Z000@7R3eJ5W@@T20C000F00000100We4500CXVHE000wzCA100W20A040 -40SfU200W100W40200eVbPIsFX3yDOm5X000H0Gz@3Wg0WF000wA303_N4eU4W@@PmTY0mx@ -2jnD3nrSIJtR000WJv00G_tpKd_6hWdmRu6aFV2nbBndX6KQE3080000200020008Gmyu900 -8gURyAowAXIqV8gB3Q4mW3rnOP03Aks00002VJdGrvI4Yi1pXPmuz9G000G0000120WaiJ0S -m1GI1R0040O686c2E10W40NNlHhWYs1k100G0gWtWciV020000K0WyiVG02000b00000G001 -00W000G010W00000GG000W002000q720028_C30000TQD351dGBv60004ebR300020G00000 -2uQv9ywl10W00000G0001vCVC6pEXHqC8GR6kqcXg2C8qB60Aa0yYV201WG080Wyvi1xOdmZ -TC0100OGV34200al63hTp00W0080G0bwdmMvC4Nk1peQ0010W7pJ0000100WYG5J04GGGOx6 -G0080W0010000Z300B8a00a1W91OOpS3oTtWPqP000810002G080XdRml2902000400HYw6C -fE62000k5I28800nhRGQ660001uG0600Sq0002eXK3Y2CXXnJOiT3oU810G100000G002CvE -3HnBn2w6aTuFDdp0Wv4Wq3J0008mQ56yu@FhiRm4by00108dV302000000HD00GKW64HQZNn -p00WR_S@buaoV8400KHDIhjR0864W9u4AqV6ght0000GJhnJAE6010004000000G4100W000 -YntWj7DullVQCtW7Q_v8432vt000zn08046kt04400nmkHzDzUvk4ZH_pw@F0000L@00KorH -zVBFhyA1005p75YwtAOg2D4mSC0np@mo9N5BCFXzOmM6BfA0J100000_2Wz_H200WRm9LSQk -GRKNKv860u70e4k4s5Ofv_520T0000uVV0GXvCOWy30000m3nPSLWRXy1I800AqdZEa3nXfU -xAEsDXiTVuIy4Ig@X@kP0y82Gku6awTBh__G8uFq3k4jxR0040Wwmn8cR3o2cX@bJ00003v1 -0WukPOwRLIBVZqaRPvV3oCU300eqRh7I3xjiJE3RhRmty9qMk1zpRm5y6iAM2jwP00G0m6vD -euy4ogt0G310jZR0080W4vbetyGEQBX2@J00K0000Y00G01080Ww6q000G001000014W000I -8000000008G0v4oG0W94JV2@6P00G201W80000uz0W0qBF3480GoctWE1C00000009W4nDeX -_400014Il1000Gok@10008Y00008200W00018200200000W0W001000808000G8u2y68020f -q_4E9q00000K0W0gsq000G0a0000000W204010000WrfoQDugV30008G084u_D3s7F1GGW0H -_RGd@90028OTk46ya10000002GMrFXniVOsW4EhZXi7IemjA000030000aH10G00W0vJ8bdb -wMydHmJeV136jFXQ_D00GWOBS@WY00epSX000Yqzl108w_@@t008W8LUaJr_I020GySzYwLt -0mu10TcPmH@90H00yqTX0004T3lGT@R0000OR100rup000Gm20528200H820002H1sd34100 -00G440W00000000x70001000H04G1tKR00q10W010Lkp304XWTFCeeKUcgpWc_D0e30mXv60 -0e00000W2000000YLARG2Wv00040018myu2LxT2810GAyxX@MIunVR00W0003002W0mU@514 -4000Wpqq5FyEtF000402GG00WWGG00m5_vqsj1200000X0G0000Se1Glk64m5I08II_XBXmm -u1000400100K04000mmA00CKC3Tx9qIi9CrFFa000ofr00020000vIst900G10e2200WQuL0 -000r0mW@510Y0u@V300Y20000G1H0Op_5141W0008Irg@00022000400026100LXR0030000 -06hBhe00000ee00e80000_P000K60000ctS20000eg@cmfejmV8xJ9Yil5WVE0@qjqbvaqgi -1Nxl1400X5jP0000BF10WB9POXS6s6aaUpnuCS62HNYXmIOuVI00Kssq_6f5M7080040G0r@ -p00E1Wg@iPMR6ozd104H000G0400GaVC9nrdGWzI020W002000a00000eK620df@10004ftR -mmos00WW8102Q0@XhwD8mP9Eu@10400004000G0iXk1009000000IlH00010YW0WaqJ0G01G -hUgyyl41yR0010W9JOuQV3_wtWt_JuwD6cvF12000Dkn0Aa00000u4GG8kOtWPqD04001800 -00002PQdZ0308020202G0kXqcrtJ0G02Gm@600ej00000020eh1hOJRIksNYxH99gxM0pb84 -tU2zmYKqvC0020uBrA6QK500G8i10111H0SeE3huMK0_9aTbG006fo@t0808020040004apK -HlAbmKw51Qn10G10ms@6KrSH0002W0000202CoTa000muL1m0900020X00WW849006WM8000 -WV@RGk@6G8008WS96Mg5006201408400X0G004000808GG0043LZq_uLCGd1F_t2GCGWTzTQ -Rr7_XJ8000ag248I8dXsr5wcv70300yx@3dd330Ww5000800804001000OuWxewz_XqyDOrV -O0qm00W0000GAqG16yuoI80000000X890ety7000W00060IW0GOugeSY0uzV30048jhlb000 -f1205820qZ8g000_vl@@BAtR3W0830000WC00GdqI000IQHM3_@@40e6000410001G400eUU -3Y4tW9@p10@0eL30000m@9plaf00W@@J00_1000GLw20LTXJLYRv00uOv@V36ZAd6Nn0200m -8_F0020uzRCQ@dXJnb00c3mtvLaGk1lp2pRzU8000Owv7cJd4000e4700YHMYdsJeeIO0040 -00002004nEOCKe3C7n@00W6zVzDeI9aw@t01W000820YJ8X__D8e_40u00KU@6T@R00GGWFx -J0qZ1mOwFKTV29dQ30004484002G0W000TTj4dxB1W20WqxPeji4000W0400AJH3yc808200 -00000e01W2QD00W0Ku@y000G041W100WH0W800004o@dXGzDuc_4G0000000CPV3kst000W0 -b_d01W0ZV@D000pZ80GWl@DeDf4g@FXIx39g_A0801KDl4G000UpFXN2CeKUCUrG2W370rrR -0002mTyD0010uPl@00010308Gb@9Kpb1rBpmu_R8200Oe@7000WBP0000O08H41Wb_auugS4 -080G4040088msJ51WOb400411010220100080G404YhAFjNnBw6iBd1hURpZZI00f10G00uN -@60HW08CzY6DNY9WRPfs70000ZR80OEAd82000H06082400AW80400080000244G06rgI20W -xR00W8A14HmC00DwV2HIVIiwL000W0008280WnGPPOKPL00Y000C00Y00mClC0IDW2W00e80 -000G008I50G400TguIvG6I2Wd8S000000W400WmndPgII2xdXy_j10Wu5040WbVVuCyV_@t0 -G002@@pGnf6ijWDWvL00012KDl18G00U7lb_An00080000G0020nUdGntgigk4000GnA0WGY -040000vvr9a80C9YJLIVFqlfD010040GWCzl400WD00000m0Nn600WP_3W@@P0WP0000uVC3 -005_3307IL0gQ60880mSc7e_20mp30OE_21m000W1W1010Z2xPusD3AeFaOmD8nb7iF000W0 -00W101020Z5xFfWt42eh2Y00000mHDY800WgUeJ86_@d4t0eWs0000G10C@F300qI0000000 -@r70000UjWeuHwteAQjVcMnD0813misXSWiDjcdGfx6qVU8hGPmt@9yql100G0000mPI00Oh -y7M_MY4nDeuV3_v_42100JqdG@w9a4k7fIBHuu600O2Ae8II7ZXIIQ1008m7rdG000eNL9E@ -s0WD10NV9HAyyq6l108Y0w0@XowhORP9cSdX@vD0000bh20WmthG000GNpXS@U5020Y00G0z -Kl1lURGWyCiyT8G000cvt0G00000G0W000000T7GW05W01fHrP00G0KRu6y@lD0CG001WG80 -80Oli40220CUV5hLB100WW3kD000020W000101000007D8020X0422mm@C028004O0Ga2sir -l1H@BHOnv000WL302m1zF48bM9iQvs1jNxm@pMyVi7@@Qo@jky@gD@dw3mHyom4gRSxv6hbd -08000mK90zzBnMpOadS23i5IerOKmS200G0W080cwV80900000Gf8008YS6QwFXQoJeey4kj -TcHuy8qV9g2dXj@J00W7VAaUC5@3daRGYgU0008OqAF0W0000101020uvXa08000Oo1Gex6S -3_35lNHKUa005H481808001G040TZdmqw6i6V22800wN@XY0U8m_7Wd000004000WG_p6080 -10e0WmY068W02uZpMsWF10080028000mW040020402280WISJ00010X0000m00G0e06qtWwp -P0050W0G0X1XP0200000QG442L220a0K0002000GG0IKuIqdE6FeN100W1G0I08128W14W0K -O000G0W0W801003G000stt0G0020014G0040G1GeVU3EjtWasDmW0GGq19aUV205e20HeGW0 -A0030050G02W0C400O0YKmWilDemtD6@FXCzP0006GEZUKZd1Bf62I00W0xJmU0GmVq6SFk4 -Nk1py@600801C0A0WG8W2yJ8WxD6odXckJ00041000WhwC00K0000yH000104040240000G0 -m0WGZzB10S0010CGaV6C5T54100kztWwtDuv@4AOCXDfDGSA000820W800000H0202000G00 -08myuUixl70820WW000040e2U3sUcaGeb000100200c040WWG00000x600000000PG008OYh -wp0W00Wr_i1100008080041ZrR0082WShhu_@40GG0CZV50G00Q_t000Lc400GO020iyX100 -0O000YSiF3vPxH6@I000G60002X0A221C00860G303O600mC00GayO0G0000040H00acmP00 -0K2000YIBC0W000OA54GGqG2O44050GXAH000220009eW8CenUR0W0GW4A001a0W10G000G0 -0020E1j200G401W80001000410020080WNzP0Y0200622Y21YY0f0000Wvd20G400108P00K -02Ge604220COX1v2Omn66KkTE0G014000qeD600022ss004W0Ftl10W7M0i00Xn@GB_9Kkj7 -Z_ln3d6000W28WGSBwa01G08s@70004100C8zV30Sa00100G00WGlbL4Bz9DdM10040W0010 -000GO00@4m6LzRmtv64Lg40G000000f500010000W0a3QUOq_S0H00801000041200oL@DuR -zActF12000rdRmDfLGO0000GhAW42WXDIuiV3gKbXvzjfs_480HbasR2TThIs_F0yH0u@V9c -Nr0X000HsF30W3060004Ta@LK08010KP9SI000f000000r0GosC0W90000mAM1SoimCWS00e -908M00mF_p100008010CCP@V4000A080b0O0W1K100830nrlnzs600100008Isz9Koj12010 -0060000100m_Smt680C000O0W000WO0C0000008YW5Ogu@VI0WH20WVV10C0WRA0my@t000k -910000Sr0@@@00GG500mA0AA0C@S0AG000SAyng@68200WA8i30000tK0W_5000000210000 -0CGkvy41IBp_Z1000Qj30Wx2aJosO00004004GSrC4kU5zRRm0uFa7W104002lF100v1Fzpm -OuF0G00G00GmMyv8000umv4IXlYQs297_4cqtZ@_t80yD04008000vgVI000Wiy@30100408 -0ipF3Y60GglFXnmDOuxJ6TV31WG0PFO0W1008000Y120wItWyiDu253YVt0108GT1cG8@C0W -000e00mt@600ur30G0GcvF04W0GG00mASLSGF9008G00GA20000I0mGOs6SJX18000C00800 -408h23W008KQO20400080001020G080W08WhxJGG00400000sD000A0K080100G0GG000000 -001G0W8W00000GW0uJQOkZt0O0H00K40W00S0WP000G000GIYYzD0W1G0080WhzD03080040 -00C040H00_tt000010W00100G0020000m00W1000050020000W1P00440G20W0WUxJ0GW0W8 -m000402jYRmb@p8002uLV3QYp00200duR0020001W0JwRmuyC00G00001mHaO000U100040G -0G0000p9O0000002207ACJhw90G0000210000GW0W8poNH@x902000W82GjQ600040200G4Z -IG4000W_X00080G004000W80Y00100W800001080480jZa3G00C000G0W014900W800eyU60 -400iwl10G4200n0W0001000q2@6aYZ1Fsb000WeeAC000C6C0000I4002000200000010010 -0100W0000100_Xt60042W0W02NiYrcC8kA3cWt00W000G02sNmWo0V00H0Gky600un680a80 -0002OG000C0G4000022002W000010006Zseon@C0098ABV3wqt0040000H10080rPl14001Y -pp0G0c082009W80000OepVCAvn0mnCW020G00YG02W00080044000008nzpmAWp80010W412 -00X14100800004008Y000401W800100G008GW0G401W881WgI22O8AY0000G6W0W80qXF3Y0 -00Mlp000Wg000GHAHW0P80GK07Y20004Xe00000404AJ080WK000G10WGNc10WI3HWH90480 -40K8820WCnl1FoQ000a400XGNfP002050082WX0410HpS0X140904000ivI2082000600200 -00WI880W051818G0GXXe12WGH0Gg21000300020006wxddB2Ce4t4IQD10400W2000W000A2 -000W6GQkRS_M20w300000WG00uPN30W01TXpF0002000CkPX1nwM1400WJPDG00002G0WwTD -000WGcJO000W5z0000000G108F0SmOcsqzm3niO008000GG0BwbGdm600WW83N3020118002 -o20G2mL000408200e8W04000WX90019004WW08W080080000E@@BK3c900YWuP63oBE10G40 -n7R0008100108G20810eynN29ABX099H000OK7X0800Y82Y0K0400W4200100G100BbqW@@j -18100000bkbJ8yD6_@t00090000000a1Coi11qX1000oedD000kTRS9G000000GKPTvy@V20 -8l00ur70H00mh0GGuR6WA00u@@40m70000_oN0_h00S010u@MP100W2YG00000V@Lwq90WH7 -10000n57m@@D0mSCB0FH400O3sr810m600M80u@@400A0000_m700m@@m0m000O6W100Gbmt -O0000800084W002W802hs000K100m0G500G5028nQ608000e2G000GL7U9OQ000W100G503W -AWA000L6s8XPRD8R63_@l5p30sw2Wg0mz0y@@300D40W940EW00Z40mdU6e280q@w1000O0@ -300fG@WKgf600mK@@R000J5y3G4k3Wo06CkmWg0G1TrD0dVN0_20DAdW@P2WqmpPkO3gxUZN -hP8WI3_OxX@@D0KG2GauCaD_3VzCp7v64Ek1a000Q0p0G00WNPOGpEIyOk1WW0000G00Y0Ge -zS600G000800000bt10WckDeFA3YykY@@99DT6AHtWiZUeM0Fg573G0000067@@dXciCuNcS -cT@10480@@R010400100BgbmWvCy8l100G0000G0003Ory4001XS1V2W850oat00024NXBnH -Sp0082001500AA000042A80410004000W00nEz6800K00G4uCz6008000G0O0x600G5ehU30 -0080X000004010800004WWW80000Ps0e040200W0mV8D0W05a000WDGC82V3000WCEd1@@V2 -0W0910AG021A0GWWyWl14W91GG0000000G8W4601040030W40W0Y802W00W0G42301180H0W -4WG2002W200100848W4HW000A02G204I04000m@348W1400B0W014400HA02G8004WAP4004 -40IG00100qjV2bfU200020889Y2GEmG000O0W9yV3K1W1W000020010WO0C20YG4104102W0 -100W00003482GW008G841WO0000m40404GG00W0H6000nG01004Pm4000GyU02A840W101H0 -K000H62GG3G20GQ0120488YKm0G00001402rUZDSb001000010W001m90008000e000200uI -@6Kaf14000000030G100030020000K00081ItF105G0LoP0004G01000008950801400404G -y@6G0020W000500W1_CecxPmG040I3G022Y2108a@@DW802mA@I000120020WY001400byRm -S66Swl1DnPmRy6000U30000W00YBkD00000200YQKo9@V30G0800a02000400W0000Oj@PGA -rI8000iFO300010008_a_4000H00410W081G000GG4OC100CMq2000G0010100806042@UOG -VKy00009RG3gXFX1aIOvV66WE1004002G0100082800000GdT60410000muXy6000W1y2G09 -41O1412008000YW000402G0YG00003W0oC100010EnEC0408Aot00W010800800HCwl1dr@G -Yz600048b230000830Gy_V300240240eJ7300G000uBu8V3G020G0000000qfb6W000OYn4I -il500W6GOaWWG1G08H0XG3000823W00C40006BmWUzP04KW8W00GH500G401m20000041800 -00W080X0HW00I4100O6000JZ03H000mhV1082C006CY20YG0G42042229200O02002Ge0GA0 -00WGqdm00G4204A3GAI00G020c0H00G4G4102600Gk76ivV2000X000100040W1A280200CA -0Y82441004Zb1c21080B0010040041000I10G04W4e8081G4XeWIKG24G001YXG01000A80e -2008qc4ktN528100X20Yys0004KZwYnY_6000WAjI60000000210200000gqzJ00WHU4_CG4 -040000W1A4WSy9P@LC00H2C@V2W004000G0004uDR9stF100100040sodX0uO00000e01WgA -P00WaHXq6KqCFxvR0W00000G18W00gwV30W2INpQ011050002JZRmWh900a00Z0000006p11 -0Zea0W200C800O0800G0G0C00OCSRk9q00e00W000xf94022044AG10022nG0OSV300026ok -1600W0A00G00000mQ60420080000I0kPq0Yg0W4028040XSKeD000o010801G00W00Y40000 -0B60A0mYkk2e000XVR0400WRIC0080W00000m001mz0O_4WEvP83_4caA70S7WoF50W04Fut -Nc3K8GC204EW0OtHzZ10@z30000UO6H0000W860W1000000G2A000Cb70G14Z00KW_0_2000 -UpzD00000fw1Fo0HWo0203e0W1T0WOO0000005A360003CGWzp8000K0w0i2o205W100030s -6rWNxDuIV60800We2G0W045040100WA000G10002004X080A14101e20XXR00WE_mmDG0O02 -1m001820HYRGYu9437CnSQ00pF5x200sT30gtd1VO00000Ym000i@l100WkW0W1AW7sH45KW -CsD206S00KL50uB50000G41k20000Hy1W808000000O0K0W@@00lM0x0008YX30000GA10ag -J10000GlWoK000kNiF7GW00VzRm4_6iUP2jYV2400YjGJWk00m6vOqiV2Lfg24000IW00008 -200W0iuj12X00sPsWyiJOE83c@tWMsV8IT30020q6l1@cP00Wrp6cD000IGx@C04G00004qZ -u6008GOly72fFXioV8SG380000G408jp4oDtW9gVeJ@4sj7ZzADODT30ic0suk47dRmkx9Ci -_3hlpG0yFS2c1t@R0W60WnrDeFS36Zl2W040t@pm5c64Hk1ryd00002O200DaRGUvCimW1Y0 -0Wswt020W0Nxh2W00608GWY8201000G08400H00GW0a8vD02HGGIT6Kyd19@P0400K0100G0 -A0wCm008000020fG010W00000I20W0000eW00080200CYV20e08000008010KH01e0000080 -22W0ggFXowDOex7s1u1180G01W0W012004W00W0082800G011jO000080W400010WG8W08G0 -G10W45G000G08420000e020W1GG048G2080000GA0100G0Sxk10HNW4200090000X0bW2088 -0K800H8052W0WW0020IGD06iwF3bVRmr@O020000WI00102H800G000001G000021Y0eG00W -AvDW0G0020402201a0G015041005W0100WX0mckC0G00C04X24014000EK028020W0910000 -04R2e4VMP0A0M240e0WW21000m00WW0W040W31000140840W001aHT2hlPm@@L0100gBT30X -008m90K00G0W0GYcdDG00C000001W80TxRG0@60040W0H000080050000AG0500Mol10000B -TA1008000mHR4qWf_J008G3G0G0G0W00c20cTwXv_b8yU3wyd100042Q00801G00000002H@ -@6080W87E9W80000W10000n9T6G0000Wa1mUAL0Cc10G00P_r6Cxl10020100W8G08H4480H -200200WlUE30000e8IWtRRmUK6W0200001GFq64@l14004000mqxV200216Al200G81bQmUx -6000WeWU30W00200008820000H800W50gIo_6080003200080004G4@98nzvCSIl100147qp -W1@h00Gnok@6010G1008GG4600G40080swB6W0000014mIXgSce10WO8W2000041uV032Lk2 -Y0WWZ@R0804XW5OOrS6Irt0mF7YNPoGNf600C9OJV30081cXl1Jnh20G423100gQ8W5O4beY -0GG480mzy608180041004Xek_JGGH84f242000820G0G49a02I2m400mTzFapl1yj2800H0q -Al10002418Y0GG02h01AK26H8IY8mWvO0X0000HY8B2CQxN2Y40WYG400u0000012WG000G4 -200000I42Mbv108A008G40G9H0H20K14510001003GniL10WUyP_D010G441W01040PyR05O -WG0021G4000W080a8282tMC00002G0ukU6M1730a20dQn00I0WHTVOvV30_40C8E3fnRGzT6 -K9B6rxxHPR6aiV2vwR0GW0WYqDeT@78C00K@l1004IgmqWwYa0000Bp00XUts8qrP80Y0Vyk -1LyQmh_6iPD6G0000I00i356vvR00WhblLCeY@400Y0C2V2broGgGg0800Y00A480000W28j -md002000A04BiB1008WYWbeWT3YOF1mt902W0080W000200002081084200t@R000WG0W40R -02JRR9yJS20008G400q2E6zxR0082W9xb8RS300003JG0ex@AsutW7adnH0W3G0CJ70000u7 -OM7D104H1000Ke000uVL1ejR6ue3003CgJ@e03O0uF@0OEX_@00O600O6000Kd3Zp020m@su -xl0Gp50G00000y50V410wE2_@Q1SpC00EG0ST@M00e20003WA020C0008000xvR0G40004W8 -9hpmjR68040b0O0W0K1W_rVOzV3o6t00840@YR00080H10075RGCS90W0m85W4Qt@408F0eo -F0zkRm3JT_pNuyb70u6t00O3@B1000U0Au60CmL0k30ur71G540ORMRuI0000000H4100003 -OG50000re60p4K0YF40SL1C700ug180000W860W12m__gKwT23@@GKp6KnT2N3RGttX00OhB -1E9AWqWJbDuQVRUrFXgtC0000m0L6yZP2PiQmBl9Sqc19LbmktF0uV0Op93MGF1W00000242 -StWJpb8BU66FNYK9aOWAC6FoWQ@D8ox721@1000aw000MTNYePDu@V9kKtWMFz86U3IgtWVq -JeHO300e0CdU24000001200G0OW@4MU@XwuJ000s_yh6qAK200G004002W00uxC3EWpZ2sn0 -GG010000K00000801000e0008MK30G0G028400040GG0008G4040000X060b1002400f0000 -2W00e0W2000Ge002G0010100000yl01000001480Km040010020G0000228210W4W22e0000 -304m0010mu_6qMO5dt@00015G12A0W0W4420q2l1G2K000100g0O000004840000W21W0W0W -000C8W040210e0400002X000W1WW040011020008GO004aG4O0C20022000479802GG90010 -00W12eO21W0X00212801G8000001W0008iqU3QptWFQCuTVF0150022X0W0A018C0000GW00 -A0X008000c080000W1838W800AW012000408H4000S00eG10008mW0020106000W210040o0 -GG0W11DoW0L1G020000m98I000W2002400XI049I828000K800mA00010G05800400W80000 -0823QQm6_6CYF3z8B10G6001G03pd0000e1zDOve404000e00200K1008Wp7J0004406W080 -0048W000001024e2@40wh00W0G0I0900e0eOEDm0000W600GWW0060010001f00Of@4sNd40 -W4I80GWEzmWgzD00040003000O000HG0W00Kgf10001001020A0uCV600002W00GG08mSsF0 -D4009000000002W0004Y00W0WIHG00854410aOyD0W4Xm5yUaiz34000WI000210OeV3Y9t0 -04c00W8G0G000104010100c1WvhD00c006404O200000GWe0eG00GPY13_Ad104Pt004000W -1000200e10G0GaWdD0008000X8H004lFdGcqLKhS2@N_008WXWZV010100008040mW08010G -0iik160C0Ynt001G00GG0_213mME07AR000m80000GK00m800008202001180000061jRmW0 -9KVp6By@040GW8lV0080W800011000W80_zC180000W04a10401080104000H01000000nkQ -F1000OG4000010002G1W00muw9COf1001000GCaux9@ld0X90082W2YX1400345pV2WX6802 -H8081K180KCG8Y0Y8300HG241o00G0W08120b04018mGY0111W0H03404Y0000Y1WK@J00WL -E102140824W221G44GLL10GYW2G48480oe0040000YWGL00Y000081W1yau@V34800ybo30C -0I8804CHl12000W00080010210W0WO2W0WYW00141K08W08G00002WA0L4W200H84mCG0001 -01841W8200C00c100I0d1mYB00a40005080WgW80000c008081012Am80GGnYB500W2081WK -DCeqQC2ytWb4JekH32wlY4nDedH3AyFXOnD0KG100000100Gp_N1@10G0008bXdmFS9yCU2d -nnG__dizV25GoGOu9040IOhx4weB10G8000040WW04Bk400aZF7tWBzP0H00mevsC6E30404 -wv@1G006RhR0100WjrD000W3C0G08205G2G1u800m120eY_A0540Czl10005xvFXuVJuU43G -050KMl75@@W4200000WRy_008100GO400G0dEA1001062WW6pr02X08001G8941b0V2d8d00 -00Ou300H9p040600A40Jkd0K00Wum3f6O9YR@XhwDuwz4UUt08020002002000W8400000O1 -000G08X_N10WelGfUeU_46xt02000f0aGt_a000E1000005S3d000sT70M@t00S5W_@10000 -@hW8000Hig10G4A0G10000000L100U1AG310cg60200000O0e_200008UB3szF1WCKT0K500 -000uViGm81S0u010rK300C20z@30G43Y700yWgs0000GNO0m@33l50606nmPGYuC00C0G011 -01W0WSmJ000L040g0H101u200440008008A03Y5d1000ew3000O000W0m0G50102WgmmPG0O -042m001G40zbYnDv9aLE3A00000W8wX1000F@4080XiuC000W20Tn0@300W2A3ocs00y3W_F -704L0@ArKO2GH0080a8C00K20G5W0W2QZe000rNtx@900mkrN00180000y7U000080KG1Wh6 -0WRg20Hy10we3_73C0GD006O0u@@D00Wu310000@@r2uayxD39P@mN_64dz30W00W0000WA1 -Oh_40m00K@@6DvLnp@L0W00gOS900G02004002eGTvF0100GW0000080020000GG000WiBa1 -001WE6F1n300BeBnpy9KuF3JaR0800W5D31202G4dO8WG0ewtDYzl200a61jCpx_X00G0elR -9sLmWSuJ0000q9r64Lj12080000012G00W81mYy6CBI20M906NbXgrDOR_4sbdXpubur3C48 -90W000000YqIVCKmi1W000140H0004WW08004800084lnR000020G4080W001m000W0I0210 -0WY100YG8W8G000W0E0YwNS30501A0I10Y01001104WOGW8W00a00KNl1G0880G000W00W00 -0q2xF80008Fz74511W4W0OrA30005000020G5WW2G88HG0L0RGYy60AYG000542A00000020 -88404W08800201W2AH1114G08WYK000O0GW0G010005B83000A0W00010e030000WW400104 -100400340WWG8eA_40L10CQr6nZc000WGGm8gWW0040400420emL3011KGW8aW24408e0002 -20Y000800GWG08GWK4e21002001W000034410240602YA8WKWWX88G30W008X000080000GW -AW20480G000D0Y55800O8Y0WW40eG4m1G4W0G2G240G00080004000I40W00W000410OHU60 -020ax33nhRGby60003uqV3AyzXXwDWW05mg@C08100002IRG6Kml10eO20W00Z8Y140009m0 -8WBvD000080W100080x_R00W2elyJG00080W8WiCFfrR3g6t0W0802G00g_F10020ZaQ0410 -G4000088044400W4000W0Gy860G08PIq4000OSoa100C60GG018000010W00401W2WI40000 -A8o02G0A000400WT3C8rZD02000GY08zNCG0H1qIj1XFPmTw900G0X00880G100001060080 -04Csa1440A008820H0003CY90W08OI600004Ih8K2Y10I424W800000G2G00110800W80004 -1009UOb7I000_ayXs5De0U30W00ie03Dkdmox9a_f1W0040040c8k1G0020208SYL2j_RWp1 -0G8200000WW00000a000G080800000A000X6q@XQubOtV3UxsWkzJOwU38000S7T2h5d0Y41 -W1xJ0H0WGIx9010820W4Gju902q08uS600yF_xl15ho001000080Rr@mzw6q0c4Xk@GVb6aY -g10802G418W0X0eb1380049200100020002002p000051200H000p00WW8I41G01040Q0J8W -G0D0YK0W0GOH2p080YW0LC1000gO2G8O000402L0G08824m88WI800Km0G045W5CGGD00WQ2 -prWLrIG400G8@90004m0820000882003Fd00W000W8000208WG002W00841208HWCuD000G2 -080008000G50010H8W0004H0W0410H481003W1IC1WW0G054W0WKC0L1W00C00CW000H0000 -00Db10Ga010G20WK3nG10g01634XG3W0452H022Gpj_XGvIO_V60W808G208tz7MQFXxoIuf -@AsSd108007TQ00K1WmSU00WrKp@CW4eWAXS340000420WK00mxnU0A00efRF0200CbD6Jd@ -GHv689000WG1G9w6ahg100000Od0SJR20001100dKeh10003040WS8K87Jl1oW000000G0A0 -GG804iV25idmLk9CZ@3W221X4a0i1h11mP0000Mt7201xR03C0000G86Y000200008024GmA -010WWEJ8PsA001480088eV3Iul200H2rjOm3xC00420009Glq6000000r0mOv6000a00010Y -4218000g104G2180000490000WvDG0400800001G280W000W0Y00920GA0W0080W8GA00W0e -0GvlRqpk1@sNnJw6000Y8yA9Yd@XUxPe8S30002aHV2W0000gU0iVJ2W00000i0020008a50 -002e1yD8O@4EiNYouOeHV9yxB0001K1202WQ0m8m00Am00000K5900000AAmt@C00z5000iY -Qc2Gxe0W_@V00tT00m602W70D80uP30mp6000000WHkP1q5H0000OU8_0008Zkr5W5e00A4K -00100e2403000WE_@F40K0000WFy100CG@320108040a_j1jxR080CWZdP0W000010104020 -808oUB1100e40305WA080C080E300CGa3k1003WK02080g000H1msu600I5WA000L00W4us0 -0004200Wwua0W8A000005500v@@0Lt10000pG4G00000uB08200K84_300y@JG0000m210Gt -V00W100@m0@q00UOcCC500I4O0qX000u5T00UeA0KV1yC6eOc@10CG1054102010m120s3qW -@@P000_840000yH4f@d000C500004500Yuda@sbOEZ4ANT3000S0700IQ5cT531010Hhv6qq -M2lMR0008Wo_DuDQ3__tWFpC0081040000G000G8400000W0H000008001000000qh0002an -l120000W4000020400mSw600200000qDw9ivw6tnRmuw94Gh4G0002vrWTsVuk43MTp30QE0 -Hd@G1pCqD_3PlRGyiRi2l1xrbG3uIajk1hnR000044000RgR000WYJkD000m0100000GG400 -00040G0000000b810WvpJuLT3oWY10000W0G0Q3tZxmVuWP3e0400G0n04100008000G00O0 -000080011CnO30WW00G00Obz4040208000IG00W000240080000400G0020H04WW20000I_R -5OGgy6aGc1040001W0G100034IGTw9800GGC01GW_6W400OKT34W20quY108800I0000GG00 -0W30e11H00000G0K80220820m0080200W449GA8YH0040G101G014000HG0GK0248021Y002 -00G4000000A0a8G88GW0200a2A0E0I0C000K0H0W00003d008e008O0042e810K1080YG0H8 -WAH0G1414000O0003GW0200X0X90m2106022080I400e00G0308008000020Y280G0W00W04 -Im0CHc10G20WZ0Y0G6040GC08W11W80C0000C00KWY80WWWo18200G41W042210X0101W050 -010G00102W60400G8H02C804220140014W40X0140088W1G20006y142420080010W4W0G5W -G1G00C84710e6WW0000hGG0480A80WG0K300000O4W804W0G40W220050040000002688W02 -1W0004K3O00XhOD0G20Gr@600G3G000mSZ600400046W000WzmDOsU30300080Gun@40W000 -80800C000WG041402080G48W080000mr90008001G0001o@t084000000100182000020mZ@ -9Ou022W80ma@60e000020W00001008pAb0W00W5yDe@Q3YemWaxV0100002020W04JiPm6h9 -0Y0002100841000230004WW0I2W08200GInW60eN0ucU30040G008QlN3810H0G042H40000 -08084000001088qwl10101Vxt01000tyQGbdLqrk100G00800G0049uV6020eC423tdOW00G -ejBDu@V30W001G00440G040m000G42400_Fp030000004pHC100040G6W0W0808200004PxD -6CjF32004QqCX6Lb8kG3AOqWU_JOmM3swFX0uJuZ13010001WGe9T30002014Y0000480000 -0bW00200000304108n00000O80020002W0700G0000802G0000040lybmSkC0000fJE34G20 -CRw35WQ0Y000W000B8@m0EF0108eCT30008001000022W22nrvI8tU30rY00000081000001 -00G40G00C200j_l100a0_4q0G000BGR00W0W9rD00W000G4008022040QZk221W8002H0G01 -0003G084HKx90H0000G01124WQ_D010WGdt6W106XGG0XY1000W0104H0em401W84G4AK1Q0 -80000ei500X000Y804100M30G404Io4Y0440n4001O0H0L008050aG2W0G4Y0a80W0000n0G -008100G004100fC0088481o0008GOaeRO0008qeY601000W0Yu4zC0W00000WX0G00048W08 -00002X0000Wo00W004KG0022010m8WqWGG411401W0OG451802W0015000mX101G4020200G -522008o411008202600u1G4C140X4WCGWG00G000G40002a7xJ0000802200481002200044 -2j19U@mCz6yuj4jXRmwe9KpV20100440I5BU2I80005KW0004G00008VKg3wDWK0004WG4G1 -00020I6ys090WK00000X8GHW0020000f00Wy1D00248H4GA040GZQQGWvFinV2XdR0020WtF -JuzUC000GrWh1fJOG8u60400000420K010000k24000000100100K4W0000001fvd0e01000 -0GbdRmq@6G0W0080004W10W0240X00Y5l22040001W3JkYtiD000Gn8vC0Q000002000Y0C0 -0022010AE20m02204G8G200442G8050008UH2O460G030745000807W00008G008m2m04202 -Xz@D0100508000804W0000C08e0000000INj6qy23H4a00W00W200W00000G0i9_3zkRGjLC -820WOj030aG1000H11G0W0WW00014K80W04200e4800000G705401088G5000000a2W000C0 -WW004084a4000CGW1000220W0000040W7rR0041I610APYdmO864wQ5J_R0G0800W08vspGV -uFazU2G00000z00W08q800Ge@60M00000220008KGV9000ar2020080m100m3460aI0W40mo -UM600083000002XQJGG88r10Qmt02GT90Y00n000yll4HZXnK@O0mH08Bk4m3WCP60000330 -0440H0GG00050GE000u71C0W1K00Wa_DW1Mc60UU008o70q530000uV40000a0YO000y0WPF -Q0Fy00000yU000q1W0iEj1A0000m@04@F300410000G401eWT3a400i_V204000018a3k15n -R0000K2W000G50_Rr000c100e2u6W18A0A80E304000Ul000WP000@0c1O0s3W2W10043W10 -C000s1O0W0c3018otS60000t10021y3858206mC00WC000G08XyA_@t0kO0008gkm0W@H50Z -kxV3000NJ000W8He005L00O00X@R00oy00SY0u020z@N0QJ00WvP0Wbf10BJZbI31Bb60G32 -0G30007Dh20pC30000L5L0000Q4b00a6B1OPG0O2G0038o4000H5mA00000000gA0@@R00Qf -50000CpC000000Wl0qZ80000uV00mFXkd0400WyKDeyQ9YjtWM@J8EC6UJd1000W5up0004o -RzDuLV36_r0000W0201A_d100W0010WwocXacIuL@4wPF140405hO000204WG0G00G004000 -40000GIO@900004G80GW094PN20804402e4xl1G110Y@t0WD70vcR0200010G0Htc0008WRN -J000mGl@6SgM2zj_Ghq9yCW10005_@t0G0m049002VD10C00nqpG1iF4hk10G048W004MR21 -xP0v10Xd@3Paz4k2eYwhVW0001004WzbJ0040Gaj9yWl1HfR0G0400812hld000200001XCa -01G000G10dgR000rwLKD0010GZ490000o000W001WRzP86T6G001qyj1FGd0040WLkDW004W -0212040808G8WH010e00u7B30WW0CKl108284K0002W80G0020a801100W0W0_zs0Z2Z2qG0 -000020q00WC020440020H020000Pc020000011qOL602W00000008280041W804000009G0u -@V3004OG000WW000GW0300A0200004400100uQQ34X00KDc100AW02102028020WW0G08010 -0020804A0008G000Z040A00082G28410C8904W20004Wa0001O40080me00e0W004118WWA0 -844028gW040K1WO22000iMA843C1CGA8WGqGKW8e20G0NYG70AW40H20c04KmG0We023C100 -8W90L5208004120aSg1001eG01800W004000822WOjDG00K0002GW2100000W2080W24m002 -meT6GG0040240e0G0G80W00bA08LWG4X5090204Y0G8084G1100001904K648I0211G4G03W -G60030G00K04EA000WMPH0X04261GA40YGO00C02A0r06400n41G0830A230004u0220008W -148L08KW02X08000WGe21082010FjO00014J001203100020W2204W4W0mA2WW00GGG80H00 -0e0100020W0400808FwR00G0O2000PzR0Ga00W0080000G20G0020Wm0C01020000K06020W -W2e210W408001000wh048W00Gm0804G0282010080G02W200WW80GG081G0G4060nniCOpU3 -swt00080G90400006nL2Pnd00G4mwxJW80Wur9900a0G000WG00WTYD000W0K00WhUD000W0 -0101000200H0kct00300G080400000001000F_5G00m890008000010880820mr@IiHk1000 -G0008O0G0W002mNH6iLi1ZsR0003014G0D_dW00We@@J0010G2o900100008Gi_600W40002 -0W41W9rDW0002080WpzD0H000300480W02G400GSLbzl1WW00000YqUd10W90cIp04100vlP -00301000800W000G0X0000W04Gyr6W800m4000002010H47Oc00G1WIcb00K0m6x6yuQ2280 -0G0W0010I000000G0YHvD0108800040C0000G00020GOW2W0000GHZG0W8G0WWC0W9e100G0 -GH00004G00G000206Yq0002000011W840000m042GDqCKzl101000G24000G0X02000W0100 -00W80pu@X5qJ08000008eSnIut4300200b00wWE3sCF1000Z0204QZt0m00I9MO00003G000 -800HQLtW6wD05H0W01WW4zD00K00016WZzD0001020204W00bwa00404W0G0L1Q0Y040084H -@vb004020000e004000miyk10W0G0m0X008OzjT38W40J0GW100011O000G004004C004X0K -510L940O5000g8KfeHD0Y000P65GZI4WKW0004m0400W10020Y00HWW200W009208I000010 -04a80GY04a008210H02WP040Cf800WA00W0021014HWH0200H004D418400W8WWmrDW8002Y -0000X02003K00W0006004r00KZ001000WI004400WL0An404W0A0228GW4W4G020Y860020I -120086441208mA000008d14400AWGe6100X00H21HC28240oG00G5i6W01610G9000020D2B -0121G0MI0W18002010Y000200TzR0G0000GX600064Qm18Y1G00G1GvZ9SoF30e20I4F1000 -a000f80000104PZO60WK000020100LzT60g0000240000QT1005ld000GgFACWK0W8000008 -L4082000KGH061000050G000600aY800100GAe2020002a2WBzD00042000AI10XZMdmZuCy -7@301W1000100G4Oly700H0040000024000000c0x_R05G0000g00GC00W40brV20Y02000W -0W2000G10G030W150000850040A0GWX000482400We000G0005jDc1lwd0e004G8Y0400001 -40Sza122G0Qwd1X000HZ@020008E00G080MiD108W0W38W0OG09Y8004W0mlp60Ku0eyS3_v -t0X001400F0W000L4WW80201001Y2010000G011110400004WWWG000100324000030C47o0 -08W600810281Y06WO0180040005G2WVVt0000X0000241000WXYjt04W20e400c@t0G201Lg -RW000m0fC00003iG009001000008L0000062W8040W0000W0Y02P04G00HGOEN300490080G -0H0GVz6GW00PWf4000W020002XGW00W0e820WC00MCtWdmV8sy42mt0CGj4886IgR@1qB112 -XzH00G0G0060000vW_600GQFeu7a0G00WMeNB8200R102W800a8000k00GWWK8mc1410Hf00 -0000W04000s00G00d3g300W0A253a00W000E2000m30004PZD50W00WRA0mf_V00Z0000O41 -0ue000000WfA50Gq33000_@A0ErKd_RWWXG800XGI0Iaa0a1B81161000Wc7A0Uj00y@7yri -C9YFe1F330Bj40Bt0WSr50000DW0a00025413E826C4W4O2W4002W4A40m2XCw00020000G0 -0G150GLt10jqY8cHDCX00W000fE000u20082W1020Y@xP8ox4400000L00022000@000O0y3 -m0W101a3U20@000S1_1e2o2W1G50103020W000u45000m000W1W103030K0600Wn00001e40 -d19Ak3S3O5i7GAWBWbOM0BHW1MY0N041k0S1O04103050003000e000GD00WA06Cg0L0G080 -00W8nd4cq33N9m400000010QI008I138w11B0GU000Kb700d@@D000O2G0001GC100g10O_0 -00eMc00_2K0C7eCHhagEOiabf10cd1WqK51pC32ggAgILLKLL7OcP4KLL9e3VGGPc1W_3W7W -2AgIL0_Fny7eG7Tud7U402200@5mVpP00W0K3x64vl100800000bqV2Nep000GWKbIu7V640 -0002gHeqQ6_sUZOqOOEQ96_b1W000pAP0020005000804400W0m000080181000004040000 -0GA0A000W08080WGYD0000005WWotCW000004WG04G8G80W000Wh00G00000020XG@D0400m -Jj60G00S1D3Y_FXa_DOz@46is04000DzOGkdFapl13zRW080WhODePp701000O00OG_70000 -Tph1G0004G00118000GsoKvOyhT2XrRmu09akV802G0_iF100H0NsQ0W1000000W060ga910 -Z029UR00G0WsuD00WWmTvC09000W40020000Zd0P7a0400W1@DG000m0u6aVm37d@0400014 -00RAOm0fC000GG000e000001400GX008G50eO0b000g04A41640W0100008800KG0G00M002 -04100800W0WW000m004Gof@980G0080H00A02000Ko7H80G140800GW0600G000e0G4g248e -400W020014WG498104800000We02202W0W040200100W402441W00WH300W200000W401POO -000GA0IGK0000W008202G0B0W0W00G804AtJR0GG20000101Oi4GGW80WG20G02Gg000G4K0 -8uGW8I1W84G0G000805H0W2A8000e00119X628L000Wv1800C0WmWW0I00GWA10211G22800 -108eWG406X88022H00802001H400004nOGG000O2G500a0008YK020800G0nWW2820WGWWa8 -041G0Ae06010000I0G080086008GGC0G00504WG1P0X00000044b4H000Y803W4W280m000n -Sq60G000mGG1W0825A0181000YG0W210008C00aHA3Ie4O6000J9S028KWIY202G08OKH0W0 -06GZC3201603K0WX8011mZ2011G020I820a144FY9404WK1YK011180qG2De0400i2817A48 -0W05C4Y01281An0204O0PWiaD08000W8000C000X001GWmG00W12I010010100041W0WW0GO -200H40CA0G41G501O049QMs00024fKQm8z680400000bf100W0000W001140000G0G020000 -902800G1008X000GHuYI6ciFXTND0030mc_60028u@V3wLp0G020000004062080CTM3000a -1404QoV3W80001004H82m1@60001O8@4sJn0400041009404002Ga0000001phfDm00006I0 -00102J6R0CZG00000260008008G004H00mY_6000G440A00000GW00vaOmTs6SuH2nvd0001 -0000I0008WW80i8S240208Y0WG0X00W400H8004400000n24q002G082P00W0412G0004100 -0G04G005VRWGy3000G048H0000W0O00GY2IW0W8020W002001000G8021020010C2000000Y -8V_t000HaThR0200001608G6410000Y1W044006I00004G0000IooWlrPW0800000ctoDeNP -3000GCBg10W04040XqDl10W004000DPi100100041000Wau04W0000000Wm100gdt0G0W000 -040018040m0140mzU6ScW10800000HS2X18O20000081300080840W00aW03idWC000Y0W08 -200V9r0000GW4000200002O400400034004WtpRGc19Snk1@ea000X00X200144108000O33 -100400000O200000C10000HG020G4000008G0000200GX0W0000CW42000041008200G0820 -1GG000Ics602100040aW01YQzDW0YWC00W801mC003Y10KW02G050W0080200W8G001040h4 -W0a96Gm0200H5gI400aG0W808I4020800482G8I41209000061024820208G4I2G010Y0000 -ek2O0a0W0480HGU000G48H32G2C0W0HmHW40W19WG02O14X0Y5X8iI20e00W0801094H4401 -HY414A008cY0Oe0GGc00Y8042020I88G0I00140W980mywD0000W1O8000G0Y00408m4W411 -WC085008A2WGWGO2iG140000AfrT300W40G1G20044W0G0Gm4K00W0832O08LAK40CaYu100 -004K900Gn010r0Y01Gm3141H00G42WXG1W0a0e012G1L25W10O4W004W0W2I000Y4104000G -O2211H20AYwbr04Wo80Yv8G28HWGIWH004aWG0WvyDuBU300KGLsg4WI00000080f0400GW0 -0GA0000W10e8W00Ga00W0G2mz_60000TpT3410000Ge6K01Gny9000aI800GEu608G0WK000 -000408540000q0K00000yDS30G0I100100050e00Wb4DOLE38W00GG00uh_7a0002804000e -KG@904G000fWG2u60AG000X4qK@60200fU_40G10Cuk100000V708800uzx4oIn000240003 -450W4fk10X200010rdl1j@R040101000G0100200yL73GG10Uk_1101WC0W0w@tW2MD0GGC8 -u8m0A0YW0054eX13049010B0W0000W00IrFQ0c10000010W04040011040000Bv9a1500100 -009I0GW80W20000WW020G18000G104Y0004W8G0GrT600G4W2011G0000W0I0KW8000E00n0 -K00W008040010040G80G4qgt3ZHQmSy680081WG010W002001K0W0480400W00a01080I28W -2G0080XK0G8000080W00WG181G00X008i0G004000WIB0022aG0000G00201822I100W2208 -10Oe00GGY000004K010f000G100210440000I0W0Y0020104000G0GaGG480000086WG000W -800WObV000000W5b7_IeX@4m6AG00201zJGWG8rbdxD000H680O0O92HcW000W300800e1V3 -QLt0mB9aFup00u6000000W_000G8150000G0W1000K30000000R00008Gff_4400000SWCFW -700006yi1EH40000NPW90000KW20KGL10000mdC00u04G1G4G310Ae00U0000000mCp00ppW -PcL00000Lz7Ww0000000eQL0mB5egg4eU50OK20G5000mni004Y10000O100GC2u06G4Wdu4 -004H08000e24mG000WE00341000400C500C50gwB0000Km3000000n4000100TX0WKzW7ql0 -6HW@K120ylx1u@foDG00G80m005G40fVR0008000O0W0K1W105G50082S30_100C3y3e2C60 -AG700GM000W100WQ000b0w0e0K1WiuI000X50008500W2WAWK06080p08HE300W100mDWP0L -Wr08WK0I0G500mCWA06WA1obGU0680gCG0O0W000W0wC000000tK00y16_82LS4002W708rS -3W3408Y2_Y22y180WH40WH3mP0G08V1GC10uEH0800000_1W1k20000@Pk0gf60CpV0wlV00 -60008VW00O280000GSK1OZDUXe20WcB0G@Y004000000C9g0000Fe240uVN18Y41Wg0000I5 -HGq300WS_Z902WS00080G540mFC0q6OWFY004H40_@70_ICX2uVuPF6AkZX5_D0008GzB9S2 -930000100mJN0400WWmat9KeE320200e00CZl1Y004M9FX7jJexV3cbzXYICWG200G080040 -27yR008211400zwRWa80WonDOTn40021K5G2000a0000000248X0mV_6008NycS3G080aUc1 -jXRW0000e0008001EytWqiDuxH300808042002G0W0W00004xfd0400Wd@D8ky40G00CFG23 -7mGqS9q4U20800000eak7308404000000G0G000061WSmbORD3oGpWt@D004001G008810fh -R0020WttDedN9_@t0mm129mRmIy6000Y1180mBy60441eOV30020y4l100010001S@g1Pdd0 -0W0Yw_D0000l6000G4W0080210000214uPU68080i3V2XAamvu6igl1200GsXsWpnDeQV30H -00000W012W48W20Z00WKXK04002A0XW8aF3840G00WWo081100490W8e0G1208G0920040a0 -000WO4W10G40K9Y0000040mIfCbeW0004000ia01W40028I0W4088200WG0WW0100W4GP022 -0m40040006J06G408000GYW202W0aW50000042E6100G10G020000214001081000Y8Y00G0 -9KG00G00e112H008980m000084001GWW848G000809e04480H01210C020G024441WeW0009 -H8e0WG4C480gY281WG00204I0WG0K80m2C00004K0I802000pV000GA00W0a20Y0a4042042 -081X8000G80022Wg00B45000000X0X000K0O44b00100mG0024W0D2O48808W40I202W4HW2 -0O40Z00GW0000W42G301nG042008KA0430L0a00e1W0W8Gma004288X022182G0K0YAW0540 -4206WGGC10G310W0e0021H4O045a1Y80I4Ie000W410i082608804G20100WhP0X00180PmG -41Y1m8001WG42O09eW0W80G0800E0m2COS0022888G002825cW208Z00GG0050J0G00M0O00 -C00320004W0A0b0030G4002086H4402000808GW200G400000eH020C0m000402fs0Y00W00 -0We00G0007G00Y00600WAC80Ke08004110003000000OIf000001We0ZAeg040W0000TN000 -540000G0000600G10O2@6O2030W07208000002408080000u00fGU3W000O6W6H0A040W002 -00G4I2WW204000KY088004002010dwRGym9apl100G0G00200100G4mC000JG000W10G004W -00G008W0040C2G02WBRQmnY9010120eW00000WlC000804021yBX14W0004000G00SkS3000 -0284G40800G090000081G0020WWW01080044C20000WW40H004Y00p00008W0G00G0004040 -0a0020C00004mzz9GaW02000C00G40W0004W80001G0010G000W00ax@DW0000I008800480 -60kzt0092029000008000YaJ0W004008IK800H80088242200840G01000G4010002020708 -W02000002000100G0000a108G011100WG0G6000140000800Y4mGW10812010080024EQtWa -cPG08000001G00HG21GAQFXKLC0AG0m@o60004uJT38108Uaj10900008000Ov42Wm241000 -0G2G41GG80Y0WG08pI3000800W0vFE38000G0004700008014004XSR01GW000m80080svD1 -89000600000HCTi1hzc0002004828G0a2Ir000a0G0I4Mct0040W000aX10081000AW8W10G -WZVJ0A0W0850200000HF42LD10WG0000000240X0IW4160000025002204028021G09BB3G0 -A1qGk10404lit00W0Y000001088200W08001W0O0100012H000aS_Y10000WA98GK16G4W2e -Y40K10H00gOAK10XG400aW0W00G4H00gGOIH680HeW2G0W0Ge010W0W200G1HgG8X3H0HW00 -00FwH941hIW81W04eEYG8MhHL4GC0O060e4AWW064HG00822LK0K4CcX0082G41200004W0W -Y0G44AYK1G8IL0040082H020Lh0HW60I4012HqG8006X004OA49WA000008eghJG0a0040c8 -540WYW0Yf2D00W0016020IG090119824G4G40008YI406Y2d20b040a102WX0K0a001AG420 -O2000XJ8002000HW9A8208Y0000004GWWe09011210W8X0bAeG8G0L1e0084GX110WfI0LmX -4A582H09G40K814W8eG5O2K404C0082404YYHK018W106W40000W1310G100Nzd00W2akcPe -a6305400W008dT300K00400010051000L008HEd0000O0000Wj90w7d108L0000Y00014G93 -4G80k6o000202G00YXp01200byRG7u90A000X00WG09YYhhuC830G800000n00000X200000 -0200Z5t0000600WH000OygR23qR00G0A000ee600000I41C3000000C0aTV2tTO03G008200 -C004Edp0W0G1000G0405KME30W0000100W0Cef0940000W00000700890G80080040Y1K4Sl -1m1WW80u0010020410GW0Y3@Du8R600in6il100888000GHGaOeV3_pt000A1ZTRmqa6CYl1 -050000G080G22XX0002W0040100012yt0001008089441bud1TBb00G000001DVQ00120m0A -0YAW0obtWXJC00001G8010Z82000IMSm000G4JFO05000WL100nI00410G051000000GH00W -g0040WoTt01220082Da200Svl1000010H2200000I44Y000Y49401000IYGW841008000G80 -0230GaC0gXdX6xD0a00Gbc9Ktf18028500pW440e22602a00WK89PS6000G000WqT80mepCa -0d100000440CYl1000c40G0iej1Fbd0009WcuC8Rp4cbt000G8820400WlI5600050Gq_600 -0E2Wv@10C410ywB_z7DyrN0w@R0ac00WSY0G@dn3_z500m1nB20Vs00gAO00000ulUfk0jo7 -H8ZTt1WC300F4000zXW100410u0YwG00m2X9OW80in60Soh0u5H0m3Ln@H5W8O000C50W260 -0800em600W0yWCF0AmWmNK0GcW00O100tH40YF4W7GfK7C01WQ0m@N10G081E382008A00ev -@4GO000m0e2e0W102GXSmD0000i200K0m5W9WRGWS600WB00060k0CW4nP0ay3GOu603WA86 -k70O0O0K1m001e200GbK0IG0O0e0K102W100OC000e0aDU2naRGOu9W000000e0H00W@@D00 -0w8704HESa8COW1qt7G00W_70a1000000wY10eR4y@DZ8n0040T0GgS68I000gfCZgQ0180G -LU0m6100mWEH00cw0000GUY9040g0L020ThB0x0000000yVFin94v@7qm@V0m100WD_70Tn0 -0000gQo9eW20uJ304Km000l100O0WqNW@5uAr0WL6mL02W70SrugVG002Gm7I4mV54G00M9o -WqmJ8BV3004obez32004A7dXUtP86C3AB@Xl@b0808mc_9G0000280nVvC004004800020G0 -4805@QGUyCKUc1dkcme@60GQ1000a0004WHiJ000WW018WmmDuwS3oQnWtqD0004mm@C4vv3 -GG00I6tWlZI0048IJuF00208Ey7I4@XZrPW0000000CT200Ph@0280WwoJOm83A5zX9sbugx -42mrW00h0W00000G000W000G000080402v9F32AdX1sD0440GMvC00eG40000400aXsJW000 -00G2W9HCuiT3I6yXgMDeG_D020W04O0G0G008Y0800gG00200K00SMl1010548000W00u@U3 -0G004rU2042000800O00OMU3cUq0001082000Kk00203y0V364s000X001410X0WG20W000W -Hxz608800011m5p6800000G0HWA6yro300001040W00001G400020GG00004OElo0GG0802G -W00KG0BO02G0000028OXG80028GGG032W0200W180460500Y280040188W00010aG2HA0000 -000WnG800000G003WW02840J002080081100W0G0GW0W0BeW1902eW00800W9008010OAY0W -0I008aW0O0W0Y000G002W1004100W8400IG082W00o100W0A001KW0Y00110W2800WCGH000 -19002GG020104004i84K0W80000008L80240080WGW010G000e1G015W806001000824CG33 -8C2G00X000mi890028001020908002A2010W018400008400320eK2Am804W4088010500C0 -42881WG0Y10054202060400WjuQ00000I004800W0102Fvl10080W0000G4200W2Gcz90000 -180e800100200W0001000G0002080I60600W000W000e200010001000042G200e0000000m -w30W00010WGi6g100G000040AW40G204100400W8fsR00W0404000044gzt0003WhmR00W10 -0010bxQ0080WS5n8c@GQ3mci1OBs0i3Ru@lA_lhZ@tAv@iMiVse4I7@1002pDLzGZ0Xy5WAl -uyVBG@lYq@fGz@9MD20Gu40000Su0000GE8W3002dvW00m95W7m9004Wl10070I1W1D100@U -zVlP@lxsXBdR00WbhG8b0KF1Gl@LK3W6ZrT50a3GF00000MP108ZGV00u5FBJAm0Im10jzH5 -W00XMuJ02000800G0840000000O0C6l14W00kdq04010lBdmwe94Tg1diR0000C5Y0000000 -041q1l100G00620yMA30004004GK6A6h8QGgrC00X0usv40024SzB3rYoG2pF80G000C8Gtd -90W00080WmFr600my1840mEw9qvU2rpRmkx6Kjl1PhRGihF8000W00GqHq6C1l1tJdGCy60W -100040GY26yVj47PdmYz9CLB3bNd08eamQtD8tK9sGzXDwDe0L90420S3E3040CwiDXt1IOi -P62nDXL3OuVQ3WW00iLG2DYQGtu6mQ000000000X00000004400G0001089V3Qet000m0008 -GI0l20W000210E9rW5xJ004200K00800W00200G04KVi1TSRWA2480800048802000022000 -0W064042308G04K00WW000004WIC06yvg10000025000O0BtS3G0mG00000X0800G0008W00 -G00G00WOWW422100W00800Yo00050A040008000H540002ee000428004W00020W0W00W0m4 -00082090001m2060000Wfe0HKK00X08001K102GGCO02080G1K424W60HW25Xg00W01D02e1 -004W00e00G08GWYG0K41L010H00WLm0O0005GK028e01W408000mZ2200W0G24Y0005G01G8 -00100YW08202G0G00AW0IG01WW040G801A20We5GAG28002054W001Q0100a0W0W00G0101e -34W0Y8012L0006G4G12018am0GC00202X409cW0080808We0mW28aW04000836b0105G1W0W -2100KW2600W4038O00CW0C03XG250140AG0H4W06X60000m0YG0b8W40801O000qc1000A11 -2e10101G0200022G840W1902300101e3m8O2O0010G0A02014bAYI0X80001546YAm8020P0 -00WO002G000gI8W02L08WHY00402eW0400010W000002W80G00G41yil100210001e401008 -40012H52X00000102H00010W03m9l6W01a00802000G00W2Ned0140000nk1qR080460000A -500cRE10010044200W0040G008F400G00Y00TrR0400024m0W0008002G2000001008G0010 -W0430Fpt002G02002044CiXk100WH4000080G4492KEA60000WX00W1482WG100100G400G0 -0W0441201000W010Ga0wPr0202101000ty04bl100480W040200080060110044872R08GK8 -00G200040400W1008ET30000082G00W0000m4000G001H80003H00000210G400080400000 -H001G04J280G00040008800WC00040000002008G0800biO00G00Y0100000WW080W001082 -0G08YxsDe4R30001oM000000010XH00G0G4u0W800ipk1dPRm9@6000aX001000020004c10 -8000W081WG0G400280000082WW0020020Gm400GF_6W0008mO3080G0008G00080G0mxsD0Y -e040210GG2002004100ifl10251a1G000041008nQu6GWP00086100110W0000ei00080000 -X80W0200G80000G20400J0G04000WuI_6Cwi10G4041000e00000004P82020GY002o@s0Y0 -000240O000W0G00W00GY@60400PNV30040qPl10004H4000n908IS302400800000G9H0010 -02008220000004W8l03YEmW@@D0mQ1000A200200GaW04000020Y08006001004800H0W800 -G04505114000H040104XC0804Xn04P_4300W000200808000100W0000a00820yth1G800W0 -000GK104C00P0010m0X010C000CJKYYI40KX00W50049403Y18Y111KY00420S2I08G048Y0 -G1G401WH92004110G4Y800401I000mQOG00G00W88g2n00902A0G18CG8e06GX0WI000e20o -m00MD35K0e201YH031AiG0GK0gYPK9me00PG86HnG8G0O0000000GXe020PWW0G4C00H0908 -602G04008Y0Oc03011E2Wm0110XG0901GW8iQK0GGW2G40010G0O0KCGG0G04002XWW45XGg -H042Xe2CW8020S0808408A2c410K1G40W4G020000Gg300G0YG048221q206nGL800eYG03C -1000GIH014W02G0000XG40eH00H60800I02aA00W082WQ4WY0W820W340WGA22YK40GGK10c -WgG400EG021K3kR0e0000000W2A0BTt0000ef0OG2y60W02O803Uut0Y0W06000EvFXy0CO8 -W40goGr3W1W200000900e2000840W2000WWFp@0e04WO@J00085G00W@ECObz40W0WiQK2W2 -A0G400CVU20080sMF100280000G0M000008RR3M1810009vUpGJz60000Ne00mMH9y@D3top -W000WemD0WG1mj@600Ga200W1e01G00W0Z8a0G000080004200A1em002OBT3E6t04W70K02 -G10u08008488W32G00W8G02008410WiUj10082m000B0m00GG80000000i0000004W2G1900 -0Gc0H118W3000240000Wm0GC01000W00aneC02000000XH4C00W00J0000e80000001G1O4X -700044102010083vRW00G200804004K010000120G000G0eqbC008020W0400W0400W004A2 -H04I208880020004000AW201W05008A4000000XGI0WY1G020W000000A00004G00002E4G4 -00G051000000G4er@D0YG0000G00GY0000004822Y000W400491089WY0008W200008GWG0W -20W00W2fG0EW101000G00240GA02G440B07TRmG_60M0200802G000001ChvR000W81000m0 -18X00G4rV20W80010002G08nU32it0eW00000G000GXKO1OuT60900008W0a00WG8100000m -000wvtWQ0Cez@400r008008TY42e9Xp@VW10000Qk00w2s0So90ev2uV0pGNT320c10m210W -3000000wtT0W94q56Q0P010H60m6zB0e050RK30a3K_X15C7K0K070W1000e5rN0_lV00GZy -@@MvXgSLyH0Gu01WlM3000006uAg11HytFP0St1K7T0e3@60OW1W_T704H1txE2O0H0ylF00 -0G00WS0m3f2qe05YOG0m300cG00c00zIO0Y04011G08200a5000G000W100W203WAG40G0L0 -00g000050004200G1G4e40304WA000C08A0300G50302GK0K08000H10002000i4000WA000 -L0g0g0H1G0e200o2m00N000C0k0O0O0W0m8G030000f000K0K163m001O60f2O000H000O04 -1K1Y6810I0W4K00K11CwtVKa0Lm820iRT0uFK0mVj3W@xWToaA@@WLO600AY20yV20W940mS -G1ZTKWdVC0p15WUH40Lz30A@k0G15K500G1AO00000YG406000W4Zm00Cm000U0CYe00ymBG -160QM10_B0000000QG00382qG056820r130pH50G510yVT02WQutVG10r0WFY00k1000000W -700eR4UL1HC000yVV0u5VWnmfQC4v63bsd02W0002000020W0004TV2jzo00G2000eOxinmR -lF4al140000G00KSX1blbG6mC8001eMV3080G0000020400e0nRzD040W000080a800000W2 -0800800e0000200W60nRyR00GHW5uCG00YIU064ql10800m000S8i1W2I000000042OmV3W1 -0WW000G200mnm600G00084mi@600K0220WI7T6SGi1lkbGY16SZd1G001o8pW@@D002000W0 -W5PIOX@440Y0iPC300W06Xn0040004026EE14000000GnB00aMN2ziPm219S_l180102_tWQ -xC8Sk46@V38802B_Pmvo60000210000840400W008W000100e0evF3008K050000W011004m -000@tnGsZ6001000WOz@@68W00000G008000200DzP0080WeJC8kF3800o02000X00mP29GW -00ObF3G0G0qdW1010GW01000A001800244000440W004W0W82080000WWG000G010W00008W -00010WG000u020880010000W084000G12W8GW0eKC008000I100W0GuLQ30nH1K7e1DJR002 -S010G5G0WGW000090A20400AWG0000G208XG000G1W0Y0W202K40ge0WT@b04004002e05W0 -A3C1G01400000H05200G00m05080000rK02000365W8G04C03bW0WH0Gi020W0001G0WfG0L -4C804900G8G0e001110000m0I0X008C01302001A000Go90810200W18G0020VuP0O00G002 -K2WmG8180000800G1502002G0024B8G004010014a040G008C010g0H4G008mGGG140011W8 -0100G1WI400214H0002811A1402W0W9K00240GO5X00000HAO0I00X000W1G000Z0a004C84 -010ZIGeGG80481080G14205W10G40G450404441S102040e000WaO8480600G1WGG0200001 -404G0O220021P1W4310P0000G010100aW0AAG0W0m08082a10Kmb8c10K001O1008G44GW08 -X44m4W1888WX400020050anb1VCQ020000G02G000040088K100G00G01K0B0G81GG080000 -0W8x1340W0840010880C0101X800010G210000GO7I30H30Ki8300G10008840040000e0X0 -0800600I0C000000102G5G02Wp8D8tA3W041iaf100046lm00010G000X800000G9ln40100 -00110002W000GH0000000G900004W000000038G0020000C02W004100004W00WOCC000082 -004000W000uu80800000nGW02G000100NPQ028400A022WH200H60H0GG00200G00G080000 -8800WW000000100W0882G04002G04H000W0W18000G0H00WnMcmma90004a020mAd6G00413 -W00M0100100rIPGkZ602G69KT300WY000010Y0012100G000G000Giy2100W80G010000440 -0040YMp000W1024G004ZK_f18400W080041002000G800W00042040002200a1WK401008G8 -1801G0W0o0Ctf1v5bG1d9CpN20200100W0000200Y000W000W804G0sADX@@DOe53_@F1mC3 -02830k7r0K0005ra011200004G0G00I404Ag100010430Kwa1G00020r0W00100400824100 -GG0O0m@@FS4g1Vpd0GG41000200G00008011G0228000019W8001500200000e0008GEz900 -0G0060000WG000OC3000108000G0W000400XZID0G10000GY@@D0098W0086G060BgQ0C200 -0W00O3W90030Sgh1ptdGI06aPd10G804080Sxa100005020Ga0X0WH100180128W06065IWZ -8m010G088a9W6G00J80aA0aY5i1b100W0404001A0010000e0000E500G04820116040S0uy -L6000nn80F2G6C2L5O4418c11G104H0108A04G40W601mG40W0WK14G41GGL56YI00YG0mWB -G04K226W028e22IY008100VSO01000000808G000221040001402m80H01H2095480K00082 -01mX82X0W4G0812G08040400G400W0H401I50G0004I01WA00GG00088015K300O280L020a -00G440W0000100080G20290W8XGK10CW94SGG00h002X1m080mG52410822W3A4O500080GK -gW4Y4104W842Y13I164820G400aRq3@mQGeW60000O0D3W00I12000W00GWS60A12OFM3000 -1K2N2@@R0000Ex902vmo0e00WTZaeWM30300qAh100W400KW000WeEn4kdD108F0@@B1W00a -@@D8TL30201000W00W0SGTC0400G2G0G7GFynh100eL2nrWDOP8w63c9AX5AD000K000We_T -Jef530W400051w@V62@DXNvI8_N300G8ypM23lO0080000G00241Qbr000842200EzrWuUDe -tt40Jg0Cqh14001Qas0002073P010WWQXDuUs40200G00HeHT3020W00088U93o2sW5YD8zO -38104aJC3@LQ0W0G0000I82G80008000I000A00W40001008580E000W48uCD3w3s08000W0 -0Yw3q0aW2GC00000020G000A100000080W2G0040W082701G40240000008W40000W401002 -0W8W4000WNwC00W0400W00003004001908000zvO6EGE1000JaW0000000880040001Wi40G -01GWU0004GyZW10000G600O0a4180000G2aARIetE300imYeI0000WmvW6q@s3NTPmyI6KVy -3W40i_xs08100@@p000uB0_x70000yD00y@l4_V30000Dh001ORH3020000OEqV00WJsP60_ -rV0yV0_@t00GbV0000Wm@0y@l1WoAYMPsWhJIG7T000W00OX0W610OfQ6lg0KC110E7e0C70 -0OcE00000yYH00002C1408Q00sD30ytT0eg70WV@034DXuZ0G3C00Y4000G00iFU20004000 -02000r00080q1G1W006WB00WE000G1000600040c9O022W2e2008B000m00001000J020CWa -000Oa7W100088F000m000e3e201G7040HrcRGW0680C0C6E6I5m0O0O0G0W02aBXe0au@V6K -0000W@B000000@z70_X109pN4Q0duFu0q@F1e@1100W00010Ly2A4X10W64020000001mLC0 -0000Jw030000F@00Fw8000000YcS5W1Q50lc038002E00m4G0000000r0m4G0WEwWQ_z3V4n -8sH10rH700m10Kc70WyYW810mkcj0c040p000E110_2K0yVh0GgIfv20IDr0mwB00u0m@@C8 -00W0009GwsCytT2ZYR000GWNmDumQ9QlEXN9C8My4804GSFE3ZSdmYp6Kco3@@B100400010 -28H040002W0WOSS30G01A000G0048400WijJeDS3wBWX5qD8CS3Y3t00200200C00001L000 -010oxH6y1L20000W400I1108oO30020100002a4KW0908000W100400W4vCeWN3UrJYZmhec -S3WW00qSE3NddGgv6800G8cA300X000OrhUk44008q9Y1dZB1100001W097mGtS94bk1lcR0 -4008000W080L0020080G0W000X00400G0G9000020052084F3AVHYInVuNq70ve0ikg19Xdm -8y600210G140004W5NI0004n3y9a0b1Lldm_xFy6V2G0008G0GqXf100W0UaF100W28W200G -4W82020000Gsn600W08vK300010G03utO3Ucm081000002@@F100A0004480K20008002G0G -020000820020002KOd1YW4200000800Cv_4W000G00000G02P00W8TC0000CW80200100420 -4WW0CIk1O0O218GW002X0D15480W000C1GW00000010021Y0GX12G41m0000uWlGt0408502 -100400e06000WZa0000120GGWX0K8000g0821W4e002000H0008G0aG0000Gm08X5H020G15 -6A0600088Y800W0m00G3W004G020025G00G000I804e080014085tR000221024010G04100 -P802000002W1850G040002Gu0201m0188G2OG148000G000533WW6W01I250000A880000W0 -112fW4kL33m0000Eo8000G090G048GG000O804132Y414002140020X0W04G0013W6kYW000 -4g8I0G1W0W00P800106WWYW00028G000W0W0W00W02002W0Gm802W0YA_P020000O00000er -sR0WW00G0G0000180G0W000igH3Exd1000A01001400CWl1pAP00008a30000400101S1U20 -0W0G04W0000400Embb90080OuH3m320SbF32201400002010G2Wmh@600001G8008G0WwaOO -S99w@t088G07yR080000G00F@pm6@900e2CZV36_d12042HBQmI960WCH0400004W08282@@ -R00140010W0200P008W100OfS3_xc12040x@pGYr6y@l1W40YGa0G2000G4K0GO66000W4H0 -4020000GK0B5d000W8K00XW400G4008oX1uAT301000I00000Gmv@6Cmj100H0cytWcoD0Z0 -G302008a00G000OGW00000G283000G000800S80824GKSh1LRQGuCF8000eqT3G000040aOu -T666tWSoD04000HC1010004000ISt0000WO50WcOtW3OOOuR3c@s0410002002rr000G608J -01008WW0000000401m70CO7i7gCL20001VIam1v9KWf1nBdmjT6001G004HGcgC00udg1Q60 -0Y0KaA3NkR0400XRxC0800010010G0m00W841004uf100GawTEXuGD000We0880a000Vmm00 -GG00G00G000040YG400YW202082880000002100010H02X08000044G800W8Y84201010002 -HOdw60AJ00m80088204W80ltO00082O000jmR04Y0005828I200001G8KuG40C1Ce04e2b8q -0W0C01a0010m0LL00001041G8X10410W00W040H00I0W001800002gJq000008A808080080 -400001L000e0180W0Y0012W0g4HHW040WG5081000W0CY4004WGG0800180008ZG2008000Y -000WXl0W0108000080008000120YY0040m9s6W80W0H080004H1486W020G082X0G8H0L8W2 -008A950W9221aA010WWGH49ojo60e1m0004HL76ax63000eo8q0G510800005K0eK0GK0G1a -4000000KW4WI1G2G1AXK20040e42G00G000A81G2081eWY0A10000000_lW2G00G00WGe000 -20G2v60a408BS6w9t00058Pbd000G0I1000G1085000001eYV30W0I100W4G00oFu6qD_380 -0400000C00wsV300XO100920C00e08a1qD044000m200a1000Be0G80W80WAZy400t00Y40O -WS3sntWAdaugD3001000508cS6820WaCE301400G8GaOk1fq_Giv60882GW4OGem6W2X0042 -0108AOGO200WW15e000002W0200J2C02C8WA000ckp0Km0G000Oz10A80480000a8000400W -4100Amu18L00200A000Y0082EMV300808W281820e041GY160410G0W118110X14027G0410 -04NVRmrLF80H0ufO30Y00041005108e08YYrJ00G00200oHjD0000100W0OYG0PTR00Wf900 -0402000G0Gynk1tid0W000000G000W0C4030060W80oEy6820002H000010e024G0400200I -000SlS300002019hhl7000G00O100XGYG000820W02000B0028031i0W800C4000Y02GW000 -m21012WX0B0G48062000HaY281X0000GBO11Y0WPG0e_K300k0GW1G280WGXp900000A0800 -006m210VvR08000000t2000002Ktfi10W00W40000g7W40007W104000X8_000000CXX_0WE -qXBku3O_nKCyZ9Cy7JXv7cmmF0ZXVm5Nw03@C1O_PATyp4CyZfqH7CuYBWnFTmWVcG7wCL70 -00ei@FIuYB0u0J62wt000eEm0000m@C2100004H40Hi30_VN0ytT00008YF003418OW2G0S4 -WnLR000GqlxD000kJLK600H00011mr@900W9G24N0cAk0P1M1u2y4b5O9gOmJKn5aeYBWhK0 -C0O9c5mJiOWbOnf0OW2o2yK0CGY53000xT0bOnA00WH0N02Wa0014100I2WyoCObA3010501 -008NS30O004Bk1080p000ga8U22mCnSWA0G0g08q43AyF1CG40H@d000GG@100KLbgK50@30 -1Vm32_d74CJP8uV0uX@0GLL9ZPcXWFy01pS62_704CpCCZgguX7Em@08ePcHG72000gJ00eg -g0000m@20m729q0V2Sn000W0@3Q0P410O4W08000G1AW90fY2A820U00@@70oR600eA00000 -0Wf02g0WAW0G8z600040600m2z6iCc1BXRmZu6q9k4800WM4t00400LhPm3zC0000rg00Gru -F04W0OIE9IqyXOiDuaV3W00GyVl1TPR000G04G002000000Wqwj1juRGrv9028G000000W80 -0080G82402001a8G042WurJ6000W0XG00004006000000802000WWIW80mFiFCQG20000URs -WkqJ88T3IIFX_VCudR9cHFXfmD8063oSxXTsJ8gJ3w7tWcaDuyt70Y31Cfk4xkpG_2Fy5_3P -h9100W08WG0FhOmLgF4dk100209000iVl10W0CG0W0000n01020W0000G0400000H8KaMQ20 -802000WGMW4eEE900G0i0j1zhcG0z94f03PYQ0220elwP0840108GWasCW8W002GW01W25qX -G00000080G0440uXz600W00W018G0400W00W201101020W08Nz40G04CCc1004000yT200Y8 -KQ30000008G8x_4EMF1G0004000000A004100W40000000e0pdd0880mHzP0W4G4140AGG00 -2a000C50000W0K0000a000A04YPW0G0M1iXa1W2WWZ8q004m802f20002G4141G120100000 -30000X0494GWG0OFV30P40000001O14I0IW3LDW20W00000GH00820Q0404GWP0040G1W002 -8008006e0040DjV20980MTm000W0nPa0G2O012A82001e00mO4Y020Y0210885120008Q409 -80O2HWC00080040008008G0810WWAG44b2092e2141e02W1_kr00406c0W0G1000W000000l -500004G00HY80820010WW00C0050Wm4C008200080L0180840008480820C002K04Wt@DW00 -0100G0G011W0000G0040k1002A0A0000188Fw40GW0010Wm4I5mAH6Kbl100G0GC000G008R -D3sttWSyP0400WW10W4mD00GU8000Z@eJ8Gx40040004908G0GYj6G080ujC3QepWpWC000G -nFDISUV2JDRGK@Cavl100009010iwV2G400400Yy8k1000010W900E008000W30Wf@J0an00 -004WETDe@V3W00100GWe4W4000020400008IPu6y@@3W0H010G8Enh100H0IorWbYC8ET3sd -b1o000W0X010000GA0wpJ32Va1002G080000G0004J000aW000WC@D0004BG0G00G400Y004 -001axL20010skpWuyD0010mddC04G0uNV30002H0H0uvA30I40yOU2400XYKnWozIG000u7e -Fi3U2NBd02000040202G0V3FXNqD00mjE010XOrCO9U600A0KDi17bp0W2000G0G0002APE1 -820000W04X80KTy32010kcCXctD0008009004000GW0004004Hf1pidGro60004H0000000e -4LP00000WD4Wzja01000000G2G4G9UaGsX90440OvP9W0005AC3004GoGsWKXIW23004600m -00G820P0WG212H4G0Y020004100H7oR00G40001808g2W2A0Hae8600K85G0WQzD0000h558 -0W8I0408I000aicj100020401W0840001004XeF@D004100G01W014dyp008A04100GaP800 -G3r3k180008300W0125100004AWNJD00G03000400X20G004G0Y000200WGGEz6SFl1fPOW0 -000400184W8G02000WW000Wm1_6008J2G0G000082001XMR004008000YW800KGYG1I00200 -0H0G00100003208W0804Y000Wn2y903W3GC004061040m0010I000W080W20H000I00GX80a -20005K0020000G1W00GWCcJOXV32hL200W0fROGoyF0kz5OueDAztW_6D86E6oGQ30000030 -20500e0001041000GK000K0G0G0006ril1W20G0900qtM2XtBnsgIeQGG8L8900804v13rHd -G9wFKqk1j@R0Y00ao@DW0424WSG6208A0200040400801425W00G004KG20O00081csl190p -mLx9CsU2XEc01000003hN6K1W80WxmJetV30C008000W020m@vC010001800G40my_JuOH30 -2W000010e80000800a00e020080002G0egT3QYFXuODOkR6EVF10a28jod0Gl0000000GH1s -LpWziI02080000K1A000280W2000500000WmMLF000I0G4020008a080bcd00W50820a9mn0 -WWC4G2024WG0USFXatJObv701H0SBl4000mCAH0aG_3VEp00G0WRuD000WRXyCa4l1W0W0Qy -sW9xD021000001x2exS3mTvsk000eWvQV60ulV0WY2m3py2eX00gW0W_5000000ImD0000KW -R00W5wJ020w87O000413Fzd000iV410100m@m6300W@9VV33000l0GyH0WVOWNH31lM000u8 -0YN63A00W00G0VIF100B4040Wa8m58HWB0N0JWi0Y0C1KYI2e41C082O200W1G4G40ZC0Jub -@4cfDXSlJWA00mT86aDk1WEV000010002W804G5x6y@l10C000G0O041We6e4E3t00G40fqn -000C008g0m000e201i8a10O61bP62ApCcHcPyF0KfAL9u3UGGLfAWPA30FK6g200eXWbqmm7 -H0eD50Gfc20C14f0004Z000000CZ7000000yq000QSN2X000nW8HcU6G50000R0Go@9mF@0q -l@1m5yLi4z38410Jut00W80zlbGY@6qqd10J80W00000C00000uj@60W010000000ju3ugG0 -00Gv89Sfs3V@R000G008024G000W2WiDU24000808fA00002GW0W08I804200mG0G0402420 -0110X00004002040oIr08040I20WW0B185100280mD@9004K0G040400080G0jcR0OA5110G -00020020H0W0KOhx40I000052001004G000W00fldWC0a01X20zWR000011GI01Tp00000GC -O0vfP00YW0080008G04000GW00G00XGK0C0005000e000K002W2rip000004G000802I8t01 -000000OTl81qaj108G0UzD12000200W8G00idB3r0aGdx6aFW1Z0RGYu9SYU240G00400202 -0wxI3000020A0gsT30mG0W000200GHqX6808GuJ93YYt00840G02GEgtWGkD00GovweCaAl1 -G000G040KCl1Dmdmiz6WW80H802Hby94mX1zm@00020O00GW041014aqdW12W00W801W8W01 -000002L10040000W00G0000400W6000O040W0Znd0IBH900104005oFF10LD0080008W0020 -000018000830008W00W00000GGeiz400100000242W00040810WzQRG7yFSdl108W0KWW18G -0000001040H0004A000kqt0200e8W8cX04eI48GK02G00GW0000W00W28082A228m0GW004H -O45000208002082W000440G00P000eK5m04G018009m010W02W3420G0140YRr040G000O20 -2H5100008aC00202004B40000043G0W100000960000300881QlF104008W0M4KX4088010W -2e102810o00012000180221805W8q20W82K0X424020X000W000g08802EY8A0160Y00OYGH -012N10020400920004010000100GWEG0W02GW402Y2800YGmYG20O0005G00K00PGR0e0008 -K0000090G00W080G022000000GG00100W001yqi18000000Gq1T2RqRmCq6K6Q2m000Uaq00 -mC30410gyt080000100I0Z1001000aH0040G00a080000040a000W@I080200G00uxU30000 -D4K22080G0048001S_H3G0001410K0820K00Ke0040000008800006000HirC00402802101 -040018G02000G4q4T200G8000G0084040000G200W8000HG_zb10W0040008080yNc141000 -0O82000WS00GMA6CIO2NPOW0X00800004H00e08I8H04008600G810800000800WG000O5V3 -G4Y0G000000an7v9q7k1bdR02G00G0GW000000WY008012004C0040000G12W8010H0000W4 -0Go1682000H0000800K00420000K4G4wV208M_4G045Tc1001800049W00001G08G0G0a900 -0028Ia00400W0040G0000W0G0GW00G2008400200GGsCqTy34000004Z00010014Gas6Kai1 -G12000210040WH200002WKdC0801GtaFSqr30X0000GW0W800080800G40001vSR0GG0WvyC -0120mcw6000G18000q00100G4m041100G000C30800820YYUP00004020010G0000200G0W8 -00060W801B00W00000100X80WW49nS3W00G0040G404000AW0mPeYP3oNt041000000vD001 -020114WmLz9000W08W080000018100000W40iWj1frR00W060100r0Q00002008002X02Cd1 -0W5G0Y2YGW00WB20261Ga00G44Y8400K44W88gOC06L0000Z2GHe892680G00022Gm000H12 -80WqbDW0280G008WG40800I8Y8000H82800000Z0Y8020251H20004090Ha0008G408Wg0H3 -e0mCAXO04nCZG0Q4W008410I800G0400W41GA0W8G6400808WG008K8g80PGW20008IauvJ0 -028WW004W0100H0X086G9Y030010A2W00G0008YX04Of5H0240G0801Y25a0q84G408GG018 -008oQ300G40G1800404H0000820000492000mY008YA003201Ia020800W8C08020G001GO0 -H0082K2000188040L500Ce80W84ZaGu0020Z80X02O60W4800H58G24G06Y03008Y80ezV30 -0K2000Y00W8LRu900400K0000020105000000WK2G0000010510000a08XjzGxzFysl1yLAH -oib12400@sR002e0W050bob0G30WoPJ8r@4000C0006wEN9G820KLd10000O0000800G500m -pq6000W28W00000980080008AjpW5wD0000r_u9i2U2G00000ek24000060mzt9qbl121000 -4I2ail1lTQ0408W1mV0060008400e801qz080290004l_RGFw60008W00G0W020e002888GG -100o000O7A380W0KHi11iP000800100RZ@0uZY1m000hcOGXsC02W0WG002001040m00080G -0I0lwV2pxRGfn6W0020000n_C6i_l10092G0G00G0Wi6T3cVp0G004@2R0a80001G0A0004G -a949Z1000Y0H20yrl1440000800100W400m7u90000d210W800WBmD020m0005Ke00000401 -G0G020XaG0000005000O0028INFXaoD01G400WK000200402pgd1m6202XDK0000000M0000 -20W00402i00000180Ctk10Wi000000583eqV3wSt00400002CANk200XzeE00UXt08000BnR -msx6iTQ2PpnmhlC0080020001W0WmZP040CB0ko00UuEs500ydA4000000t3WAa70Kv70000 -u@dq0A08n8004410Hw200W0V000W5260000W860W8@303k2W2W2NpD7r1J40OE0zH408F000 -evE300CZIrD0CG10682GG000WW009A00wzpg0WJydxU0MG0WY40m@L7WcJ00z58r300G018y -@30410002W0m@@10800G10WlJP0m500WBWB02mU0804000HbsT200305GG0e0L000Z1Gkt60 -0020L00mDe6G400uSG30G0GS0m07mb00004N2000L000G0c1W049P_4o1t05W1e48A04WA0q -kl100W000W10202060408000O000PpP0030Ziha000OE00000qH70a1Y001G1W8A00100eo1 -54nW8StP0n0H0mWJ0ylv7kr6300x9rRR00O2WKyP0WA800YA05bQAW620qL@0cwV22200000 -nBYN0000yFw1yN82u@_100040000tPVSWJIrMYDBP8@xA0OC04dd15O@mJGFCMz3LScmhuO0 -000Y01010048GW02xxRmev600801W10GFv9KQ230010W040qUU2VUd0000Od0004002s@tWV -OJ8uT30G01iGe1TKPmj@C0WW0uzy7_K@10002NhP0140W2nP0402mxwCiVk1XfdmTL9CqF30 -0MlpwyXTuPOnz42DLYWtVuyT92tsWFtJOry4orrWNtbu6T9kIF1W8E00050W080qYR5204Wo -lzXnvDebb4o9iYQvDurR3W0W020000G84000411G1000W8804000WWusU30001cNI2vFR001 -00G1040410sXm0000A000Ov74008GWQjt7w70ZBAJe@E3000GiYc1X@p0022100112882000 -0O00040KWW0008G44008A008101A80640181W00040020W011400HW0G1400200080249xR0 -GWW00407e000Yqt000AfpFR0000040850000G000Y000uGD3G00402200G1G0200WWPC0C01 -1G00ebxD00W01Y000W0000200008GjxV284001I04WG40000C10002e0W0004GG10GWO04M0 -008a41GG0G8ROR0G024G10000W20001000a14WG00W000134W000GGKXKpc122000o20_fl1 -00G404I0000GuMT3k7mWQPb00W0000OWZzD080W00100G004BjQml_9Cyk1LiPWW00G20000 -C04U3p0G04WW040wxr0080040W080DGyqt3000GWO00CtV2000G45000AW0ABV9gwt080420 -0G2WG00a@l140O010W0yml1000A02404fV21Fp00X0WzhDu_q4YyFX5nJOT39EvF1GW00XHp -00WlWj@D0002mxmIaZA6lLQmDBR0802ej@46grW3@DW8Y0mC_6Sgg1Xe@myH6W000eMX74PY -04pl12000gw0Zs0COkz400YG002000G0unkCa@23TmP0008200040044NwzXYZnuzV3WG40G -020eJB6000WRI00uDdJ20t00400XMQm0d641j4NOR004CWu9DO8_4QV8XOKJeJ9CwLz100BM -G0W8W001aAk1lguH336SYl10104W000a2G27lp0H040r08004G0418006000H0G0g00WAoP0 -W02Ghx6CTj4G084041028000902mu_90_S280M38000q9z37dp0W000400Y000W000801002 -24000020100GvkdGY@9aqe12W84e200W00000051006XhTIGC000H0042002XM8HAe6W0840 -81GGAxC000Wdr000H0402108XSxnBRC8200I01AW0Y0W8oC8U@7004000a0uNR6Ud@1G152v -dQGazCSyj1aY00040004f000W0vw@900WmyWYG8W000W800020mrW60040020801000G200f -0amPSFa@l1hZyG9HCSHK5P3Q02WA0W000pco0mI5Wgfs00W1mx@60C000800031000020C40 -3108W800210G1IA06040000P0Go@C000I08000808X1yPOL@4000GW3H0uTz70YW8002eW40 -10nW0W_rP0000he00WpQD8VaD4MG680000Q02eP46G30806m0WG00Wu06W18204010003000 -0022JPZnqVOvV3YQkYCLI000aIw@900GJxy43_0f200f000000H0W0400L49528HW08G04Y4 -080G008YG0G008mWu600G0eIl4wdpW4wJeMb7kzt0m22000mGsa91W000JaR0OWWU50080b5 -0gjd1G000WH00MFm300G48A00020EWeG00W0u00Y002208f0O08SWeK0CG400mzyC0000KWg -0Wg1uL50mkY0G0kq@1Q0000uBe0ec0Sil40W800080KGk19kmWP0000800XoBnI@90W@1G0p -CZe@30HdP6W_F0ylggOcPEm3F4fggAQ72GqoC3ebP6@300_@Y_74020Epc1R@p008Y000G18 -2004500SiU20c002nE1000WA000DWA00We0u_@400yV4_c1toRGo@F0012000C0P010o0A04 -1K08w00Ga1qXe383G7G7W2WE050H1A0YO004n0o000a1qP@D000i10h600000seQ000004fm -30C300G40O60KjbC66vg2m050t@d0GM1000000KG100000X60eJkDK50Lz@V2o0Ag00104Q3 -6tqBHMJ9Swr3V1iHK_90000AF_4A8dXr0mO4FI0Ga0qea100200H014qf1@FRmUEIW0000W1 -20008XAeJu0O34008W000eyT300uP4Hq69wRGXwC4hj1HZu1010ab@JuHy42@3Zt_D82I3Yz -d10G0000W9_@t0GeC0riXn@RL8080eNGF_jt00100020000414TQ20082_grWqkO0010GTJ6 -i543LYQmhy900005P00GgXLS_i1roLH6MU00G00W21a00001009zvR000W4Y08Gn5O0W0020 -0mG004000WOaHG200W00G040G02uPU34001000aW810200004C0000Wk1010000W2800004H -0W000zcRGe_600L9W00000W000201zvlHh_F008W0000ZGW80H004W0041Hn021000Wb0W14 -W8W051W02C0102880aH4G00L8000010000G9G00010W04G4qEm6KPj1YW0G004006000Cp30 -02400W002X00G004iJg109W0028404004008WG0W000010G0000044fi100W00110ark1jRR -0000algP0GW82G0WG0009818G800We80001W0aQ0e8148C0A4W0C90PW0820X00O0W214000 -90000K1G10OW0W20W40YSvDG0040X4W410000IWf000mOF0009000210G80m048WG00000G0 -W01200010200204G19g@tWxNO0020Ij_6Kwj1v1_000100200G60G2Nt0a00W0I0GQArWjEJ -0002009EW_QV00WWmaC6010004001W20e5wD000Krxx604208El46@o082000WB0MjtWcwD0 -200OlZOq543W0100200aHe10200G0000041m02YY0G014920G400cft0W80W0400WC00KW@3 -8080A3BXmsC0e42000001G40pobGUm90100u8N6Amt00W0WlxdmLPCSjF3m0008600Knh104 -001018Kpd102000GC2Kyl10G44AntWKlVeku7000Y000YI8800049WmmCW800mg_6yHV2Bwp -mcs64vU28000Vz4300W000X0E89100G40220sNBX7sJ0201m7dFS1k1r_RGW@900W6_A03sX -FXDvDuKU3g1aXQtD00000108Wqdnug_4wTtWovDeLK30100S8c1rDb02W00800008000009D -7z3bI@0uC6WFKb8ydA2Vt000201vjnhk6G40WW40m44G0gYuD00Y0XG8G2eG0ne0W00W8Y00 -05wGU303810K0040000X42WJGP8I73owt0001W00000DW20102I4H400082H00G0G02syFXZ -sVGG1001G4008202400kYpW1jh001W800980004W8000HW82G43008G103I00508652H0O40 -Kol1m0P2G0n008L89FM600010W1200OWnu_600410880000G0800W2K0000445hg1J9OmHC9 -Ku63a000000SCnl10002E3mWiKzeAS3sflYsVyu3V60Im00400uYx4ccOZH9COsLCoprZy3C -Oe_40004Enl1Xr_GvaL00003D0O0000iwzJ8CS3E1c40420RfNHY@600228_R6_ltWdDJuE9 -CYtBXEzJW0000005pggyeuV60a00C_l100W1W003iBf7bHbGzt600K0W40GSxh6Cuk100O0I -PwXMabetS30s40aSS20001oFtW3uDOMS3QeRZvUb8LN600025x93P0@00O0WUZJOPP6INdXS -pJept7g54ZxVV00410W00WQyh0@0W2C0000mC0lvd000O00MT00Sr0iA008c0Y8YK34n@0wM -20GXTy500W1T100dNl100@uxXP00A8oVP9C5w3TcP000uXtpDOnbD00W100000602mHw6ivY -1fTR001030802000H_SrWSlD8oR6Qyt080809Ip0On0WehD0204mU064UT2N3@G2v600C4vK -A3o_630yBWS710000p2000008GC20yVr102O00tW0W7A30_W1T710_d@fmJ0Wfc200009600 -v@R00O0G@l100000eQ60000OgtP3000GHV20WH20eK0WH00GD9Zl100e60_x7BaV20480000 -0OG00czE11000xUNHY9a000vBKbMIhKYhohuFL30040008G00G0080GWMJC00G0Gkg6qgl1W -0G8_ztWdsC00G0GZrCqDV20w02EEdXj@P0G00oAuCiPdALgd0010WuFD8ft4s6EX1wD0G020 -001WNiC8mR3IeL2000C2500cxRZa2cfzu4m000G000Crz4088043E3rbWHWuI00Wyipk4_Cc -XYjDu1GR01081000DdU3m00O000000n0Gdr6010102a204W0081084000Mto000104G02040 -0000410004401ahwDOkT388000GB0CA_4UjBXFxDuEY4wplbClDWo00400WA8G04800A4005 -0W200H404000G4eKW40200041W600002002eG420008000001200048VT30m802024m40404 -000G0000008X600q5S27tRmkvXqlJ2Nypmdz900W3G80mC0W2000512GW04O840010G00014 -80004K02Kf10I002aHG602000001ZWC200041010WXW000100H200W400A4404G051W1O040 -02040000IKVnPmoy6qOb1@@dm5q6izF6x4mm1@9yrl1000OwytW_eDG0OH00G00O00000220 -0C0CQl1hypGR_C00G09YU608000aN18mV3k2ZXdX29uACcoy1G00WW800012000010220X00 -0WTvC000200G010080fNB10Y0WgVV0000ed10002W0X9RGakFy7kAva@0GW0423WOV@R0024 -0200100018X008GW408e0Y000WikD01400080000G4J_Rmq7O0Wmu64400040YHxJe4_7sBp -ZKdh0G040860XN9P00001W02WXjP00G0o6tISgE6W4700028qP_3r4CJxwC8020000080G8W -o1D0200006000001PjRW000001023LRmZwFCsN5000OHR00yFF3fpdGmVLa7@9W000W04W03 -0654208481G0001GC008I0HWGWK0W0m80G4044000Y80G40008H0eC_40G40qck1vb@000MJ -W8808W020290qfk1BPP0W00WwC2vb@A000A2G960K5IA0g00C2G00A0G0H0Aea052XJ40988 -040O0g00I008WWG8800100200WYjUevt7g@t0Wog00W40W1G24sl1zpRm_y6Srl1dp2Jzt6C -jf1000e850000G18V83M1t0W0b031OW000WwuJuBS3AIh2000S66W4kcxX9T2f2kG0G00joc -1000G4100W400m000mym6000a20WCG0c6ac_39@Z10WScnxbO9V3UpmcTyD0Y0G01400408G -0W02Rnr0u001G1008H000G42a0000000D0030008W1012CRE304000G004m@3WMS0Mtt00W2 -00020IKu70000001W510W81G804008O0000020I1001080002000K21W001G14001KKYaFX6 -9D81V3omtW3HPOJU3W600yYLBtb7283000092JsR000WV0040004G_nn00006400000P0000 -00841mZwC0400m081Io@L00eTiN@40020a5W7ztMHsqI00000W70WP2000C50OW80wxn0000 -000WVW002040W1K0Kb700000G5W0mCTWg00W@_AjTF0p4F2GNC0W7cXN100p0A0FA8400004 -L000C0g0K1g000C3004K1m68000I1O0W0Y20582008A000G000G400040H000G0013VQGQv6 -i273RtR0T00004000808o28auhFH40Ye60yH841WKvc2000p00HK0W0m40Hy100u4_700GC1 -Y00000J800300WU@h000Ef90000in6v@d000goSyn0W@h70000rNF05aapbRvS6_3W4O0o1F -dG5tOqU6_@F101G0lc@GFhCSOk1H@dGv@90000nP00GP@902008193Ivw1G00GveZHlw9CdZ -DrKjHvp900GI8_@7A3ZXlyD0000O3pIioU880G0oKFX4xD0002mb_94fU25opGW_Iy@l10q5 -0Q4EXGwDe27L04000084eBu7k@p00210G0004100G0200000100W000Y0W0000G04aZA3FAd -mV164od1fBRmLy600201000d200WFyJ0I00mR@9C6l1008GMucXkmt8@_4801LW2Y004m008 -g00HG80800010W410e000K00He02092KeGW2000L0K0WHG0002400G400W0220G0G022W080 -0010800100G008_7s0200000ov00100Y000W0010004I8807_d0GW0Wiub0100OhmU0006G0 -p020W0GGW0GA1HI021200G00e100A008C0O000a0W0KY80504081400H000032000U8t0304 -8G04800020W1040080W4H08000xOP08670100GHxR00Y9W_yJ00008W08W0_DOsw40001CoF -6Ltp00G0Z06C000WGE@60G00100020W0500XWnzR01W0WmdD8kR3UjoWIXCue_7000Wh200O -L23020000W0Ari4oytWOrJe6y7I1UZdiCWC8830100Y006j6a000m40G04lZQmnyOK8Y1rSP -G_z600G000We000040GW0L4hI9@600004008uE@L04G000Y00W00H05008000Qst0G80000m -9_ft000W8FzN100G08000W10HcAd110002CK0cnSckZz00Y08000Yu8C080800uG40090000 -32woWYwDeNU3_iTZtvJ0000ib10WRlDuweMAIU3074004420000002G0WWW0001100808WG0 -7Aq0e000G400c9nWsxJ8ZQ9oAd1004Ef@DpAz647k42000000If80044a84HO2401042D240 -WGKe808Le028X6T000000A084008KKE39pp00002W00W0G4H004000X0OaU30aP000G00021 -mZk6KZD9tnRm9rISFH2mY4aWOWO0mA8m4Y80I0W71Y040001K40O00GZ06Zg8820200O0880 -H000WCRz3003C002OymN2BrR0000OY100xyPmX2jW0000800nKoO0W208Cy44000W404eZ06 -00K0SB@6rpd004GW2uD000YuXP2jL_3G500UqF1000800010084000Y8eE3_wt0006HJUlHy -_604G08SN30YA0SK0Id_R0040000W00012401000YGX004XG0080540000a1m004Sj1ZvRmW -vISZl100WWwoF14a200008P4004f0IZld0040Gm84402000a000O0I00901XeK0W210NQdm0 -_9aoj15OM1W00WlWC00GoOI1BDll1Zlp00W4001000W80UbFX4nDOITCK2000040OoG300H1 -0000W3000W95Wo4uvTU6o6t00W0W_T70Dw88O4060KxS400yFt1ul40W241Wl05H100rNGC@ -C0mTY0000Wv70Wj_VG60000GO600O4Y0G41000XY10q@R0000OrM_F10@h60000@@7WXXlX0 -00G1W1GA03040L000C00002000L000O0KXG0W100a8U2dVN1000K30W84G708W804Bk100Y1 -p1lYQ@zOm@7000WO000OrV3000F0mFm0W@@WPA82@@G0Oa00yV408gR04Y10eNAuVNBmNWQY -Y40WB800dCpVC00gf0000GNC0ms@90cY0OD3dEnkYE_be5zD4000KZF300081700qEz3008W -000000109dkGMyl214G000084G24axU20010W0800000wK06000100408aV3QWFXuICezJ3Q -cF100zUfMRGSUj45Z728000001O0088IoSQw_1mQ30v_Dpo_U00002W00mAz900088FV3012 -0sxC32002ErtW2nJuv138G00Sul1000W6Zs0J30002000802CTGEVx@0W002A8000020e108 -76j10000e08e08e08yV3_krW6KC0880mYz9S7k10W40ckt0G000dmR00WHe5_D0W80m8w60G -00OZJ3Mnt0G040PPkn1qI000604000W0g2HK508800K20C1W0000H0AW2100K00000G0W0G0 -2H0004W100100900BI@009000014000O00080004epU30cq08280eWR6IhdX1thOrT92XoWy -tD00X020702GmWWeQ20G0C32WA000000H01G202C3bOW021812000O408000A0008L@40080 -000WI0080G02aLTD00C00G000000moE0Wgn@1W000JbR00010088G5wZn@@C4@d1vSm0G011 -0W0MZxdW11AgrsUeWV36dmWHjPuhy4G100q@l100o41W00100004H0Gt@942k7PFu100G002 -0WG0000G000O20000W00002W00000P000240000OFY7Uqc4Wm60lfdm5d21000008800P000 -OGapLR0W004G0W9W00K00WG00801AO0000G0002W0018W000H010OsV6UUl200Gem600gjge -f_J0W0m0008I000I4810W2008000080010GX0W801BM@mAt6CzU8002zEXNbBkL1010G2v68 -200W000myy6Sij1G0041012C3l1JGV28t7e3ujPcTC0GG100244H041O0G4a0W0010W828X2 -30m140Hb0G011YY8W0Y08G0G0005G0080C00018000W40wTV3000OS740wUZXpwXv5D3Evd1 -402hG1a8m09CW84H09n806Y00G8080W0L0O0208800X12W0000G400G040008080I08k@4gN -6300Bd0W00gepWUcBwrV38100Sl09Bld000GW3mt04G1mRq21A00eCV6IAWXlOCOjRC23F10 -02076Z1000yIpPeCgYMNF10020@o@mr@9Cil15qRmpx6Sl63Z@@000OiaTBQqj78CWGy9A3I -06000900G80jvX7_ztW6C21030mvM5DAl4HzRmN@6asT27wBnBb9ac1600005400SVp3nwRm -k_a00G0000WKD_6axM2g3G4z0Wk3XwD18@y73U_10KrV0qbg0WUY0GLpGt00W7g2Wf@P0L_1 -0150Vm3mCv9l10We400000003f2000W708CyYYXp0O0O0m0W0W100Kdl1PnP001030002TYQ -GR_68044X0G0m7cU0uN18HEC_@@40GC1baRmKd90180000y231W080m220G00000040T7204 -10WBINylAGvxl0GtH0mNOu@V3soOZkvJm500GdYELUD6HK@Gz@6Kdz6R@d00WedMit8IuDAP -FaR@D02G0Ga06W00040840G0001000G8W0BmsWinJ82V3EtFX@oV0Sg1W00000402PfR00G8 -Wno2fNzAA4mW6st00004120WVHDG0W0Gp0g000W5h04000008800HsRGkT6yMF9L8ames680 -20OST6oSt00G04fkRmip60001OQT34008S9k1xiRmiu902000001m3yF00eFR7gMAtd41002 -2000G8400404WG0040010We01040000m0285008e000H0We@J0880W000WAbDeFy4000WECC -3WR206dsWCwPe8b400404bl7W020satW1yC000000420002e00880158940018012W008004 -0202e0W00080AG04G00822001000WW804WqLX100W2004a0G002080020200004Y0W200G40 -02000007w00WnyD0020W012000W040a0Ajm0400G@@R010800004H0Omp_FSfl10600oFtWR -BCG0810051K0Hb040O8000W2006W40G0G050H0G00OW10G400GW20G0000480W0H000008G0 -00W20WCH820W0020G0040003G2X020eIG300OG@0H2G00LQLn0GG00LpO0004YpLCOYU3wBr -Wu@P00m088000020K000OkRE100040400010800800100C8000G100W00000e0089008W400 -28WX_J0G00mupO80008gU30tz0yxl49sR0220WY_huoECYbF1800I000P08W000200010GRq -6040000W08000nevVeMV6Azt080WWPXzmoF60H010002mCS5r5h10GW00800800Y00008010 -000102020G0008040G0000201aqly8PQ60GuO900000W0002000414bXB4008Wd_JeBV3MvF -10010G0000010y8F3HnnmexO0I3040800000XFrDuOFXYZ@18020I0000W00G4028kT6QumW -sxJOLn4EgmWRpP0000bB100GG1038l4008280G00GPH0YG0WG0GG041Jzz9G80009008G040 -0110RhPGev60004OA@4000010208FU600GPMpc10W400014S3VH2Y40G898000e001W408cA -0C044104GKK4G8H00H00WHGWWvHDu0R32NbX6oD81E3IFd1WxA00W8X089a00001G0HK_wgi -U_6Npp000400800HxRG7c604040000008GWHRE1000hM40WqqJOjV30900ijFF00O06fd120 -00G00GkHD100W00041AisWEtV8qB3Y_N200yn0100_WWXvmHQY@705200W000000uvw900u0 -UsV6EVrWhTh0WR2m@@C0050eXTX00GWW00000P18000okPD00W00e000W000000K80000K00 -OAu7U78X6ub0000fN10qE4J0A00old5byl1G090000000a0000GsE@Ca0d1XGmmqv6yLC600 -aCGK00i@D320002StWVpi100CB0Yy20000cduk0002ex800Z400nW2G000WEK5O4000u10Wg -oN3030u100000mW840000y00040000030mJV86S90lRG10100W000O000C1a00000Cm006cp -3nmD301030402080823t00000200WKW808We000Y0GOu6adX14H41000043N20800080GKcE -30000H5000W000018200G40800HYRGy_yW10m300000_100CW8610000m00G71000000Ae00 -000K5W30000W160Kc00Gl@d0084eBSjg_l2000000C0sKIYK0IOvR90ld0a3U5Tx13W08WLw -J000GGDK6Sde100408000LVl4pmR04000100220W0sG@XuWJ0000hJ00Wwyhe_y4248XOzDe -UB3sGM20400d@UItu9qhU20GW0IINYXyJ00G7KW0g00040000HmkI4dk1bUQGXC60101eEV3 -G1000000SOz4_bhYchU8CT90vA0qCL8Rftomx6W080a20m000014020P1P0G41WwdJeIC300 -00G04G0m80Gn@6Kgf1@sbmv@90000r9G0mEw9SRl15VP0W40WOvDugU9AptWtuC00G0Hj49K -xV2Gk008010eA000L1GA1002000G2W400W00G000Y8020240800W0hYc0WWW200040208c@F -1W0W00008G400KRl100wQpRtWiNDOuw404G8y@F30220040WqaM2Xkpm9@60G00eKf40002P -022462Gi00863eS8008002W2O2G4G00400W8A010020200O01e0000004W0010W44000O000 -e0CvM208000088EbV20UA0100100004028GEx6qEX10440_@F1800W010800400000vZ@4gx -p000040400@FDXS2DebU3W022qkT5njp010000Y10VlRmJNCai@3fxpmxx900400140GEtO4 -Cl11jd080000W20h@d0022WHcCecl40104yxl10100J2VZymn000Px@@X0n008IyM_@oWcvC -Oln4000GW801020000H01C000NdsYmp0W4HteRC3G40HqqTB80W000006EI20W00cQD14000 -G1000G00S1jA000GGA00C0V5X@Rmjhp00W0ekS3wuYXCpDG00GmNS9Kn96f_BH4IFy@V2408 -0000088Y00H0aHahpGW800K200Y000W0G040041G000W8010O0Y060002G0A0W0K001atl44 -200Ypl2mT10nBZ110G00GC80W8HG404DRlA00WGCA01W40AOTT32xt0000H000Y0W0004000 -B004000ekkz8Uz7SE00i_l40032000000W41020W008WFVRXK00mfD9K1d1TjxnK0U000000 -03vQyOydV2aI00oYl5W100800ewRpZQ_Ju9S6_Q03G310tqx1000ajuQ10C05800011KHG1Y -1EwrWc@DeZM300G0aLV515QGJpL0000XY00m5uO02001G00000mWIZteoV9400G000W0H008 -00011800N@R00IW40n0882008000Sqk13qzGNb6qzV5004UJvT30480W880020100K0e8bJ0 -00Kh400eJL3Y0o08C0MD@@G3y9yrk12100000G21008S@4k_@10C80vWB100eae1O0P10GtD -Ca_i70G40KG40000KG150000eU50000000C0WV030000p3GB360Wg_3Wk0me@g8B00e@V9W0 -WwJ00000gSj3000wyA00CcO0ek0in@9000mO00WO0p0G0o002W000a4000O02Vp0G080G0W0 -W000a5d1n@R0W900000JL@l10WCaUen00WC080H0o0W14100C6004bM2bs720AA00000W300 -AU@17g2000WZS402040Qu0eMYMCX@@n00g000006m00WPyhIp@6yyl120W0ATBX6xDe103Aj -tWrbguyx7000mn200usMFcbdXUFy8Hy70H28ahl1K000IuFXDtO8eV3ch@10004ftz00Wqey -ebuRR6ATsWpzt8GT30004SNw30000D000G010ejR3s5f2G000tTdmfGR0sg08f0C_4DX1ate -@SFG000CFj4pYRmZS6SzT517p000W0000mq200ICU30G02DFG200008002b9a00002WA0W88 -0G0000W10400A0010H08140dod0200000417sam0z60080f4T30AG04bk10G00100000ejez -GCW4W00GG1f_q7MUQZGsJ0040a00W005X00Q08AcD15000010W00008200G020qaz64NU220 -00wtF120G001288000yMT2W0H0ILs0040KPMpmez6ync1dzRGT@6Cul1xudGh@68W80OwT6W -004020001C4W10000K004500000a0WWW10G0000O400012G080004jWj1Hpd0001Yy_D00q0 -3W09WXkJ0000Fn00000010I00G0m0qsT200020080000WvD33Icd12G00VpdGMz60004ucJ3 -Qyt01400W0004iWW2001A5T30030G044ejI9000Wick1dtRGzz6yll1PFmGOFO0008G0G0Gh -w6ilk1PvUIke64CU2Xzb000080440jZpmmrC0H00uarD0WI0CqRHrU_Gx29KrV24000sL@10 -0G4nvp04Y0Wl@h0004AS00WchTwQV3o_C100400008QrCXbtbOs@4wj5ZC3IeZxb0G48Y080 -0000W8G4WsOC0W000010XKuV00I0mT_6ibM8WsB02XddVgb003000Gb009810000W8080000 -002Y88W8G0G00Jw@0G0G4200G00100014L7k1D_L1000Of000hrppUkI04G4vOF30W800080 -0GO00008000022CY8W00002C0eyV300H14tl1XzQme3R00GdyLVUAVMYOuC04000f0009080 -TEk1e0000W10PQO0040gU@t0Su0G_@v000WgOM90081CRi1000YYQq000100840cz13W0002 -120I0VZy5OOKVX_@t00W0GW00W0C400008Cfi4YIFXe@P000W0W0200800010G8000WW00Ou -@A00iG__lGnnn0008G000HA502gSRZI@P0H04vdBCizV50bC0_@@7008Gtyp00eF0042jU10 -0gfFXvUDe6@7000GA0021fC0G709Kn56000Wu400q@VE00I00G8aqo@300G400W82X20003C -GRtX0G00000410E0000mh00mh7_l2001JHN750Ct00Oc1S3G0C600yuU2FkOmUwC00086108 -21O2848204W800W80qdF6W0G0U@ddwvgeIV3yx7H0000mH0200000@3G580mCT0C00WMxlx@ -70G4H000000L1GqCRWD00eCyewNqWtyteISCAstWrrP0010000Co1ihu2EFwy5Z9@D000W40 -00180G20004ExF1040300X0kpAXl@PG000W420WzyDW000mnT9000IO@V30LK000020W0WW0 -00WpxUO7F300I0aFL2Jgdm9@60G00uv63kIt0G0G0bbR004400801bCbm@09GW00Ofo4MlRZ -HdI8kI30000JK0000102048WrLDOcy74000GO00uCz7suoWlhD00100048WNtheK03ICFXP1 -UOnR6IlE11C00do@0040000Srd_5Ia@6KBS8JfdmAz9ivV200K0000G08008jT300G0ytS2h -iZ1W0GWnRD00000Wm0WTtD000Wmte6igj1W040000W20G0001208018W200zqPmB_60W00DW -i4YFz10001NnR002A4001100200GG0a_l10W084G00qwj1Byd00W8YynJezV3Iwt0K040vmd -0@1000480nnp0030eGtD0W00GB@6SMl13@R00001201W@od0010W4oD001082000000908WG -kYt0IGH036OGqC602G0G00901000020CptdW00000920000GowE120400100008X0200e_V3 -000qT053020000G0G40108030100403008000M58XR@D08240W02Xd@DOYM30C01000000WW -mbQFS_V2P7cm34IiSe7@zR08b2Wi7IeBY42WFXi7C00W00O00Wf@PuKK3EkFXw8I8o@AgAPZ -@i91000pe1X0G0Guv5g0002040084b4cLR304hJRYI20X0WTXRv7@4Y6VZl@Ped9F0aR0yei -70010020101418D7g00W0aeT8000O2IPZnxJO7UO2iEXS3t000WmGy90004vmkD00Osrug70 -00X000480148YFOgqdXHrh00020009200W87842832WXst044000001a00WzIQm0Vs4TR5NY -dmaz64Q@6000OP300y@l108100080G080OWyAEp0cWyJuV0F000WyRa1@Yl100eu@@PW000o -@Z8bKB3v1Y10008G028vkcmP0O0kd1O7ALEsScVin8Sz40G00Slk10850Is7ZiUO8cNC_@4c -lxJuxT3kvL2000400oI00006BU2D_l10Wpr4pP000GqMzjiv@60u000000O600SyVF000Nl2 -d1nkl18_5Wi12100G460CpCLXX820mObIqzF30W820083W8G4WC00GK0R000Wtv00m@@60a1 -0OWz7snt01W00HmkH3iv0W80K600G0ga000_Sv@D0mEH00000S01O8j@SVh1Z4RmIu6S5k1J -VpGQw68000OPQ3Y2W1WY50Ju4Im_O43S21y@00I0004020004002A0040uYO3gMdXliDO_V3 -oUp000025dRmDS6i_L2lhR0O08Wzqnu54340204Xg1G0W0EjEX8vV00200200WhkJm000W04 -000G004G00800000c0eLTCgPB10800DiRGRy600108gx4004BK196lxQmgt6a4j1128n@@60 -0a0esTCU7WXUXD8x93_Zt0C00GhoBnlT9aIS20bG0IQr30C00LcRmfERCHV20400MgtW0tD0 -W0404W0GG00004G00W20yQl100G5cit00010JlRGxv60200OqU3QDtW5yD00020000Mc000h -HcGR@CW0000400mkQ600004002GSy9G000SAI30WY000800WG0nfy6G180WW00mkpm0000v2 -D3_@FXQLJu@V300qDyvk4bMp00GGWAj89W_7cvF1100WzyzGI_9aff1PAOGf26y@V2W820g@ -d1Gc90zxdGMT9CmW1htPGU39CsF3z2OmYe9ilf12008wdz102005uP001000m00VrR000WX4 -TVW00Wmy@6CNV2B7c00040000ya300M7S30001lxRG@_CKZV20aH0Qvd1C000djQGnFsqrF6 -00yLous902G4j@dG1uOy@@33IR0008ok@nGa82mOuH9000W800000Giddv9MO6e900qQUKjQ -Znnxs00eU9UzekdD1GG40zOV240WeSpt0ak1mEyB1000104100410K10000WYQNuasxDe0UC -000moT00emUd85G0KuZ1Pjhoou6izF600UTYZOfptD0W0000m2g2mneuuA23tZa2MQu@404G -11O0W8DM90000Lzl43nR0080Wmmn00003P10W_yFPZ@GG0100000G004nSNI4ou60400000G -8W00OxVC00eHVMoClyl100WwwltOGS30G10Cs_3000Ga000CTd1B4A1ub7WRu5QGV300a000 -0010008tL1GTdHt00WT@x@400WA0000qD006u16rddGSu81000Z10021C3WLuUeFU3W003qk -l1jwp00C0000mOfcPmv@I008CApOFISbasmDuRV3000LL0k1tz3300u10O60pWJriF@q4w98 -700UjLeE0SODeDo__XSpnenR6000W43N2LQBnIHXSgU2Ll6ozw9ag_3X0J2004YxsJOqRCIN -VZa2Ef57L6bl2GI80PJerryFqjj1LA33000CI2005T6IC_Oavx6rcR0002eWL8fAqJ22sZKI -nOjvD_PMYQBbeSdJ0Nw0KTg7f_bGbxI0W0W8ALFs@pWbT_1000XT00WwKcSp5s2KIbnmtGaI -2GPvm0041Cj6s000WvH00e7gJ0G4G00Y2eHEgI3t300kBvCLqJ12jR_60CO0wf@4800YfRQG -5Mj4YU8n_wnt@6iw36nMiX8W0WoCSwBSF000ar_MBNadG_PdKp@95_xHcWOy0lDj@BnsRO4Z -j40WW0sTl2000a_100Ip@44400800008004IV254SoBAa000WeoVC004u@hcALIFJ2SL4CC6 -LtZ10m0WX@F1i00mZzIe0000480mG_9KYjDvYRWN10GN300nmPMx@Ca0d4NGZ100Ga9@n8gZ -AE@730H000Y0Y0001Tz@3JZBnluE1240e_@JK5WPQ70g20H000OWXntAAqrJ000mX600uz@b -0W0WSsT28000e000C1U2nkvHHu6iAU22010W0000042000000W3z01muQS3Iyt08002V0aGW -0CCQR5@bdm@s9aK090G00G001KGU280010000G04000000Gi0Wa3m85w4A6tWanJG0200100 -008G0hxM1080WcoD0010mibmyzT5000OeB00S_hAVQsoj6CqkE6xzJ20WeZXZpfPK96YtWMG -3vurA6aR30X30BIBKnxC0O00e5LIoFN5000uE7002b490001000GsnFXQqP8oVCoSFXIdt00 -mAmkAyCZW45odmXx60020vF9X0U30i_vLTXdGwr@000YDtpJUecXkdneYH90W800024fDzV0 -04YbdwL0W80NLt00W02Y4W0wTd7GpF0VK1pHzd0GY100820L080p40HLAFJ3@CG8008x1O_m -pZi@D000CY000008240100snwajhn00WVJT@gqCN8@@B11a2W_SCeqjJ6@l2WDE01EUr3x60 -41000WXm09g4TT5E400IW3fcuVWW00000HW4pDuVc4Eb7Zxlt00GM@MnjiIk1n1I2024X7uD -00G0W810WA@v9NQCsx7ZTuWfFS3Ga0440e7HURGWzO48L2zonJYkI000Et100WTU6006HL0C -J0cyd700XIfdq2tL1000GtjXV200G0c100K1000A00Cp66HUpGt@O00N0eDnMQcpWO_9XP00 -004GL0000c20nYy@7U000LY36300W4CKPZV900Gr5OL8W000UyVZYmn8j@D_SpW5yV8zwD0L -v0K_V2JYRGW0I4a_3B@pGD0OaKW7BS@mIpU0000s_0WmYtRKyM2XNNHlxFiG3CHnNnYuI00G -xQK_G0200qFmC0001@@t0H400VS@38W2Wemu9WuAoxE10G0GVTp0002Wwug0000K5zR0000b -h00GF@dK7hA@@p00Z8Wx@VOMV9ksV30G0000Gy33GbbK9P5_4000K0002O7U6MhhYrzDeMTF -0YH0azWJVuJ5T00WrUNws3Us_7304hznhBA0i1WTu5QKV96d7U00@g9xBqkS6aQT5000GEnS -ZV@F1un2Gg_T1A018qNXe000S_@I@s7500000017f_Z4000a4pb8yjDswN50WB0r_xqITU4q -iDW300oyN80A00Xlj1O00WhZWP_V600SljTpFLddG@d64D3LWm706iN80S000000C3006_MB -XugYq00Wcz5Y820GPbpqmZYji5IlzX0Sf18wv7kqtWOyDuSj40G0Ga203pGdGW_94PU5vwpG -Rs6K59300W4AccXA_Peb@4W000000W0v04mZyCScU2tf7oSsFSjk4HW620A0W3kPOYR6Y0tW -PxD00WcLKsF0100vtzAYoNYHnPu3_G_YtWA3c10000W_0WD_JuTU6oDWX_qD8yW7MgtW7oJ8 -aS6cic1000Wq000cjd7000SC600A4I80200002008W0y7_3NbcGQfCq5V2L_U2008tPhuPKz -7IqNYXDUeiMRuQ00y@lG00200000200WeaV3AVd1000Wc000YDeYVxF100WSqCvifl1lpomM -Oy7b6@Tkl40W@iGpwwpkp100WxS00eP@b_ibX3xDeSw700a04ll4f0Oms@O00eBBjNjQ8rWk -CheYwM0SH0iiFLbbro2ZU0000JE00G@nE1Y00eiU34100000GG000000021084000000WGyT -y9p@p00WBxWc1xgKX0jq0i8jJT_RGoM6000I8tV3I1WYe0O8uGF0KU04Nq6P6LHK0Oy@l108 -AXYkF10008T0OGA0K4503vzx1ml3We@bOfvAcVkYRyb00J424H0000W0D0OW921WK0e8AW70 -00I8400exVC0qc0y@l100H4o28108H8r1OGA0K45W4ve6R_@6Kqi1000W_KZXK0eOdKI0H@0 -CYheW700wX7906003pmmtCFa205H18HN@L4Tj4f2qoxs604Y00000W09000040on0W01OS20 -002480000876W000ZpSI1WYe0a0d00mLsLuK00u@V30440aA0Cv3aG2z687d08Q0CQ4x@5r_ -FHk@3tc@0@t00W4Kyw76u@6W9O0sX@p0xB50GiJcVgi6n6JztbLy5W5g91080mOvLiOELh@@ -000mfCkVu_t4A0cXRWJueU9kJSC0GymFEcPR@90Q10ublGA_tW3Kn30085A00WaC@40mIzMw -yyBh4HM8n0jXtgi1S300MdN20020LupG706a203H1KHYFN10O3CRqYI_Fd9@91mZ1G7uLC4_ -3BYRmbu60008SIyD2pBawdX1000Ey00W6RNQ9JXIU_@Zhx2H00G7lgqVIT00NRM__@apB200 -Gjg10W4h@40mTOwopa0@R0xK0Qh7c85ap900GbeR4BQ2000050IGbyV2f0aGR0Oyj@I00SL@ -@N200GW804YY5z10079000W000obDA3H18n54fL9w3nYQGA0K45W41a_@_g@@@@gR1c3000E -7000R3EMI1akDSuWt20obxda_fgHS6000m2H00uLFBp@F100A2PFy@Bt@tI_@hi@VAz@Vo@@ -@@@@@@@@@@@tm_@Cr2T2k10i10_@Nk0wDOMUF6WF1000Gk300_@Nk1@DeVQC_Js00W00JAR0 -00MliY@F6Ea0700Kxl4Rrxnbzl10ucwbVOgsNEWDD0FR@Ps3bEG_@1i@@@y@tl@@@@@VU_@@ -@@@TZ@@swt_L66Hy33000Mq000pBVLntyqBE300GoJA@A0002zlPG1w218A1Oo1@YNd44200 -TO7IisBTyzC00C1@@@@FvA2000Cb00WKp@Vl@@tK@@Cv@@I@@@@@@@@@@@@@@@@@@@@@@Vc9 -002y@@6W010guZmVpt00001a10W@@@l8R6YoUZ8sDOBU3000ey@@30eT0U9pC0200ZqdmGz9 -00080W00GFvFiL_3000u5B00S27O000W0000100GQRH9wst00G20L@pGa2F00W5DmU@o6aXZ -8J8Wm70Z@0ii@@td@Vzx@FV@@n@@@@@@@@@@@@@@@@@l7ke0s40_@FA0400TXN102WWkpd10 -00Ar00WufX9fWPc2p01W001cnm@@d00mOA2QpEzRoN@COm_70800208W0W00Gjz6000WOWU3 -0100S3d100001800yIF3W000000m3S00OKTm0080040020W0mw_60000100W000800080010 -000G04sj1HgR0W82WHrV000rKoxZ120W00G0080WWq4C00G0GaT6008WepV3Azt00080W008 -0100KhW1L@d00e0Wi7qAY@70800svW1F@R0100u9uJOVl40808qjt3000GbF00CyFC7o@@u@ -L0ck0OPV27e8X1yhGo00GRzM_@V200a2YKuG0010TsW1GY0Wbz@@@@@@@@@@@@@@@@1800qT -c700YR_@tF00GZQ000w8p30y00Vnz90006o000@@7uamFyAy30027_@tlOfD8HQ6MeJ2mmB0 -@@RF0W0WHeU00G0mjwI009000000064WxhPuIg74000000@UoQp6DFXrmJuFy7Il_10008Be -d0eH2WCpfgpy4EVB102G0Vfz040GY4kU0W04GAS90001OUF3000mhK00ePV@0m00S7f700Mv -Is@@lq@lB_@to@@@@@@@@@@@@@@@@@@@@@@@@WlF9wxG0X00CMdVNr9HI1Ak9tjJlBnwz60a -p18mUgYRNY5mP84S6s1F18000020WwBK2000Ca400YNdg0cb8XP6EJGYNsDOAy463W100fSZ -ohOPbO0oZ088y@@H@@Uu@V7@@dF@@ut@@z_@R@@@@@@@_h@FnAd@@P0000EN10WRwwAVTX00 -yky@@@XAqIs@O0000c600Gzw7s18600c2ZKGnM5n0uV0m6t@dH_@Ni@V5z@Fn@@@@@@@@@@@ -@@@@@@@@@VXMH000OS000S9sd0061_@tF0020fX520A6W@@pVJz4_LtWl4gu@@J00KRb@6Ux -mdmd1Fq6l1dnp0u20Wd9wwd_7wLdXbzD8PV6QttW2sDuW@4000mZP00uElz6_d400jb9x@@N -w@t5@@Rv@@@@@@@@@@@@@@@@FZT_DDPGwQc120100G080000004WFTRmLtL45W10400ZosWe -0C00GWvUuc140004W000000022095KH@Q645W10040000W000W00W008000G_50@@@F00000 -e00000000Y0KlME00uU_@dA0400HfDJ6tO0a61u@VgG002y@l100W1MBmWFvp1000lT10W_r -JhPFR000BbiVKe000_@@1000X9np3Wp6WYtZ200aMhZI4PVE000emD00KlE@oCJ0_@F1PcP2 -R_d3006W@@Tw253IHnWA0I008200m2WGAoHU00GfeH10c10000004Ha@@J08Cpyqfv00eux@ -@@@@tL0W00@@d30Wsc@@Z2440mxjay@lAWs50_@t9000D000sI1WY@@p1a50m@@E1Wg0agg0 -e@0eA00m@@@d000yF00egKvV00GLLj8sCJHmp1qO8000K0O000m0WK0eu@V2ZKeq@@Njt39o -0@XOmJ8lPF000WXT008txb_2ZXyj39TR3Ui3Z@@J00mnsWncz@@@J9wKfwFanT57TB10WzwK -7nBZRCwwsWrkP0S13mJzubnjAi200wu_@Do@FZz@le@@A_@@@@@@@@@@@@@@@@@@@@Bpjf3v -700aKdK0djIR00f2W@@@@@VEd@kYftD8CyP0cb04XHQ040G23Vca2kw4@@RG_@5e@F1x@F0@ -@2q@V0_@3m@@@@@@@@INk6ZfgL100G0100WBiD000xJVuuL7U25Yp0080mq@b0m40mevfLxT -55V@mmvI0000Zx00mxzZ10408A0KI@N2mN00ryds2y9Cjk7@@B1110W@46B0_A23lYDnb00W -SN6tfjf@3XXZn2wCaRl1WH30MfNB00W0PzB40000l100rv@@@@@76@@Vv@@@@@@@@@R8@@Do -F0m60u@@@@@@@@@vP7uA0W00SAi7foj1000ES300@@J50G0Y@@H20G_VWqNH001uvEa0@I0y -@@yHrbGxxF00G08mGR00a7rgdSDdLHOuR06j18ylh0000Mee4N0QG7aOyjV5000uG900in_U -3@J200EnC5JZ000uRTmy@l10O90oTPl85EH600GFy@NsP2jpm38m4WbzD3008008000G20f_ -F3K00W@@73WY00800WQFi100Rt@@@tpI81fS2d10W@@@@@@4a000y@VE00O0AxbjyUFPAk7i -000qpyO0KV10000WH00u@@P000NKVTQrPa30E1W@@@V7gGwxb400Oz3LNq@@R7V59000Wm20 -0qJ_FFS160WEpsrhx@@M0qe0akEUXXdGbz908008PV3k4d1000uC100Au6ihwmuVT323@XLs -DeBy400000087efB2Z_t3mO409SPMZ9y4LONXf7B010mG@j1480mo_Z10G2uRbS0000dshP0 -G000080W400uZICg_V300jg9xF600WG0100@4Cp@@60Wl0u@@@@@F1G200Xczv@@@@@Vx0G0 -0TO60000KC6k@p4@@WhPu_O9Els0Wz90@@J5010auybexS30800020W8O@DY2W10004000u2 -aoCG000JNR000040004@2ZHK0FaKW100EdpO_gtrhO8UL_@d10N10pVHb000000Y0LqRmj36 -CRl1000OEt_XQkJuPy4W000KEk17kR0000CI300VK3JDvaSb@3JddW000WOmP000G000WWN_ -DeByAW00000W5PFzDkJFXiOX10100080W1sJ0002Gav6yQl1J8p002004408TXN1000aDxD0 -aq3mIRLiHr65lxnUw900W4euQ6kYtW4us8E_4oU8XurJ8BHFMztWGoR1000C000G0W00dq9n -IT90G000Y00Gl@d00ery@@e0420SkfJ2l402fq3100G1_Rm0_9qvV8G000800GckF6du2300 -0Cl30019EpoZvC3YAv@d000Vc6MyOdV60400qE2908600Wm0G800uxV9020000040000400G -eS@L1yY1m@@O080800000202e6ID0G00G7f6aIg7000WG000000YunH9sCDX2xQ1000Ta2O4 -5v30W0008804YAOX@N10Wgv7thOcQ3800G2041W02000Y00080200G000X2iMzFd_F3mo3Wj -Dh0041000040420A00G02000C0W2800WC0000020v0OmolySBKB00084300CtV5006W181W0 -00m0a080000101a0004000018800OKRFA@Fa8xX100CwArL0010010W004000W01q0000020 -0200G0000052aGargG_A01000Ia0u@V900H10001W30410040W0G48A00020EWeG08503_@t -30y3WMD00000@d_l400WCf20000DW8vpM0000PN00u@V9yGaPAZeLbAFSe3_707CpCY_V04z -Xp8w@00qPcXP00W02gOlQ6400000C0eDSa00S8_@l4000G108300G6WCWC0P0T0o0A0a1K00 -2e0a2W1@@x100u100W1PNwKy2mSMlAz2R0002Wpw3Pz53kx53000S2100ERNYjGvv0U6000G -4iV22000ICtWpzJeqyAYEN200ovzVzG5OR4QRB9Dd0180WcZnudxJ0TF04fCIDmNn_x9CuE3 -ZpwHqvF000WcX000G00W6rOe3_VWW00000Yu@V300G0ziz3fpcmTS600001008W000000082 -100Yb_XTfD00GEyoq60800010Gm7Sd43735tpmYL9000O000004G2WusJ0301m@@90801008 -00G080020002W005000800G00W0029WpvC0010eW08004GG28u000000o900011000801K00 -00210000eW00G010W029010G028W0G0000002G0000008a70C000WqiTX010000080110eXw -D00040010WqjD8AS3000O001008008000uT0C08C00A00W@@DW0091G0000818e2000000YB -G004000081W5EP01000A0W000W04004Yl8108X0RbR00u0W@@t00280000m7oJOcP3EjA101 -00400WUFt00040000WG0024bl1NEy020W00G00400000aCyak10WW1G00a0100004G004000 -8004000G000SiF3t@LHA8I0024u5U60600qz@31QYnjWO0od0u@@7W0W05XU58002_St0000 -108000044SlT8000910480GG48CU30200000GisfD2Ol200GO_3040H40800106G00000010 -08Jq@0400G00IW34R0400G800WW00004000X01eafA800G00022A0WGe29Kmj7DsRGU36aLc -40000008lX00G0004208218410G0H0BXb100050000010Y0W000400KA_6W2000G1102W0WR -8nOuV3W0G0000G000400G8Wj4DeByP_tt0GX7P004H400288G014K8JI66KaG2T3Om_E6008 -00104040008900@@R02I0Waoh0Y0004102O102002Q0094218geyV30104KdY1hwRGezF000 -4iR@7000G00100000BOAE0mC30G00cDpCHG0G8YK0022WGcFtJ0200W0G0GaWO5001i8O080 -06X02041WGC0G50W2a11G800rjf441008200Wa0800G8W020004244084osaXgnUOoN30WG0 -0W0WuyS62Pq0000000c800040018YY0000096C6002eGe_@F10W0C00080H00000G040048a -82040Wm04410410G0004W4GawI000WwMT3Iom0G9589iNnm9602000P2000000005K0aG000 -82asD300A0400800000WrBGu860A0000800fa201410HVpmdx602G0W0G0001100800W40J0 -W02000W440800100000XJxAnmE60A000800G_A9iPV2lpQ0000G00G0010064m0X004nLa00 -000X000lfQ0000Mj32000W014000008I0002G40BW9003n_WW008W00880WC010004021002 -0G0n004200040YiBXGxI08020000008041YQmmiC01008NO6kRr01000JsO00KGWfNDu@V30 -G010G004210000iU00W0000K440W0K080u4K1I00Ke020@@R000XW@@DW0800G40000048W0 -002004@Y1G00001S0y@l13zOmPi60a88QLV30022000210800010WtKD0000mhA60500uSu4 -EHEXafPW200mol602000qb100W00508G0800e0W0GW04X280Iz99avW10001W002000Y0040 -811H0000G4020cJn04010@@@00WC0000Wb5a0wH0XhUVukF30W00e00004S001080000000M -00m0CB6Ya01000100eERD0a0000840000yIcC00000O4008gV3GV02100VPIL60G00800010 -CGa00GI4u00Y000118082Y200WW0782200801@B100aLi0000G1H00Kmy6D30ebM00000_70 -8s@M004FRO0M6D0CBcuPPqOPQenoq0Wbf1Wb1u@@4000g0000m@049Ld9QggIqoCZPSu1LfA -LcnCH@F0YML@3jgK100_@@10G800GW000W10008WP00WW00W1hD0W000G40100W8@@pW1008 -40108W900GI000W100WC00000o0410000m400y@l1WS0000W100WAWAWPWe0e0c1006300Mn -E102000O040GWw0q015e12g124K3e3e70CW1q1K1g3e24K0oAtWuVP00d08PL1WBRJ0K02W7 -00F000000mFO0000WTZu@@70WvPy@l4Qf50Ifa1CP90QLA0iCDMYfPIZbBLAj0KBQ18D7Qd0 -X00085aW4C8198122GG2YW4WaW80990PGI0I1b0a0U2j7bBdGxiX0400OCq4MycXgsD0002t -@@K5gb1NEdGCmLqyC3nIpm@pI0ad1e9wMQYza_YP000WmUnLKHD6r7@00006S200Zr_p0rOi -UJ2jndp3w600OF_YtbYXF100G0ZpOW000000400042W000KKi10888_@d11W00rnR0W201WW -00TVdW0K0W_wD02000Gk2X0yJ0480mX221WW60M5L0602024I0AkW0W020201G084WW2G8G0 -W002O0A0200G1WG8QL340Y00W0001G0W0W00100IG0006Fd10G0H08000000JV40OsE3IttW -NBdv@@78W44ha16GW040HaGA4m01404G001G0W1000g00H0W050IC@_RGTS6Wa02eN930081 -80QOeey44G03CoU200Ce900KSrl100Y0keG8000WG008o@t00WG0hlR000Q00mW0t@R0400G -040W4008kXd10G0GR5B10001H0W020000OV1y7@3f0B48W0Wd@J0W0820000044OGX0W04a0 -080GSQ63UXrWLtPuSU6MwBXssDGI80KktB1000010X000G080204904028000KGG200X0204 -10047PO000000G02@qamv_60W00G410020000080000101010100AdU30GKianH2xcZqwy64 -vY1tqQ0WW0400004804WGW0004GH00000G000010dyaGMz6ibl1410WMvFXVqD0SU11100eT -_J86bVcnt0010200I40910iai182020000010a0180402158000GA20Q1mWw@Dm00Gme@600 -122010002004000008G020004120000TCG6qql1C00GgYN84046W0W01C4H18220L1E302G4 -0005c0h2W0KCW20WXEW0C0609082e8201100A200000W8mnt6G4Y1G101000404000G00442 -0I100W20400008D008004W0100G0044OUaV0000000Y00W4W000110m4p_R0P2e0I42G406H -e0K1Ha10GX582O0G48M000806FRqWhyCW800W000020W041080W2a0029GW080848000000o -DDG2040008eMB3gftWASu10200020808Y00000e402SAl1TJa0000000bK0800O10G18000W -082f000W240aIA04H0000f0000G0000A00G2G00f05486lk1_JA0d3tWPJD0K0amV6@0a000 -M00000100001W24GgBpWYnP00W80G08brvD0000200e04008010mGA000400OmV300H200G0 -085G8000000Nr0900_@t000M2VfN44010204608S2000Gg84000803WW0XFzC0880000E0IG -02e0288001004000W0010SW3@D0DY100060m1400H404H0204408ZU30Xk02GKG4003m5Zmy -yF608D0484200GW01W00K8009044DjdW08A0010480A4Gg00e00100GG20Y00008040G8020 -0GZ400010000GW3OCGW00W4000040W0000jM0aS5d1dpZKQt90800100Ga00004W00JdR000 -8401000WU0000a24OB100WiU0G04420E00WG@40O0s@1zIGWG8T6004YG0GW000mA0012Wj0 -00WHRqB1Z00mH00G82011ACk4IoF700GLYyF00zX7egg0mCpa2H4100K300K00zx700G84LH -1ig14HH20mV_2mJ_@t00i10nkP00W@10KD0hTpWP000tC3W00000hf0y@V2iCD02yN800A04 -T008w0w0K1q1e2e200G5000e00005000A0C0d1O0W2S300450008000G500mF0LWVWz0m0_1 -00M3000E000y3K0m5u7WB8B0L0N0Qpr0q623000rZ0f9mFG30NWV0cWi040C1eD1Ov@VuAWV -0307r8CO22gtTSfFy0ex800Ng0GNC0WL7W@100xEh6z9O00h20Lh20000L@@@108u1m30f9r -CCpi7WdWbMA1p0000cBoKmm5cWCZfCF0UpmC06Uu0nlgcV6AvsWAkJeAU6QZl2mC20Zvx400 -WW3hI00I0m6O6C5N2tp_G3jOyu@3m3002JWgrrD0400GAS6aK03LQ3300ecUzewrxAkSFXCp -DOQf4gDdXcpJO2T60Yx0COlJ0040000202W400Y00010usMDW2020G34G094008G41820G10 -WQVU6WmWOiy93ZtRW0000020WW000000meG01uIP3MezXByWvz@40G118008092042g00540 -ZO20040I0G0GO02480I490O0e0201040000004000040020004AJnp0G000000G0A80kkA10 -0Ng3mRJk@Re0140084C4WG45011ee8A0002GH1Y043K180I6O8m002028002ywl1z_R00bG1 -G000N@p00W0G0W00W00001W0W0000G42mT@FS3D3DnF3002000W00401002W0040vAU34000 -0O0GG080000EG1600600G0W00KFR220m0Qlt0008000G00G00C3j1@kc0q14WW@Puw@GoU84 -00WCG010400W1101G000Y004H0W6Y000008180120200W8400001050000JSr0W108000000 -8GizF600QSZpq90004020O0J4GW000104220012e0W04014W12000W020100840WROD0ZW00 -00W020W00G040G40CnD3FyRmqq6G400G0_1mkdHX0000G800480ZXrD01W0G669Kil104000 -0W080A2000W40G0WOsJ8JzA000mgU00eWKdG0W0qil1W00X4HACCxl10WGI40H00H0G0a820 -0a910a000020G44904W0uUV3IS43020000yaIIr900W8WH4GXW81ILaeWKQ0002042A2m62G -44C60ee006GH03X1K4A80W0030KHC0I5504oa0400060840G000A4@X8wDG40008a7W9NT20 -020COGAHG20e0940YE3Jg12HW801L0G029204gW29001e80WHGCC3Ig240e2W0X920GX08c1 -000004G10WuyJep@40G40000WBgG3ccr90420x1R000GgihDuGV3800000082000400mU00G -00009a00GDbl1W2000980y@F300Ae00a0000000mfK8kHn0000800rj@9000W0008000G808 -08xndmB@60030OGT30080yLM57cP0056WrUZI800Gg@6001800000G0848W1149G00100040 -8u_y40Y0WB0W0G040010080W04vSPmK@C0000a80840008n100r2xa820000002040900000 -02000W000CI04H802G00110100W0d002000846GGW80GG04W5Ge1PVomwvC008000GrG_nHz -dW17sR00e6080025xRGy@9qUl10100010W4@l10G08L000qCU27@R00W500C0o210003L0Cq -iJ0O00jQ00GFHk2W0yi7r06G100g10013WkJF0AI0@400W386ytF0yVS1u7C0GNz3WTKWVmK -3@h807Yl1000my@GgqS64000A0C0K0O0W0C300W1000G000W100G506060L080O000f0a0WA -030LGK0O0gcXd1000G8000G0H0a8k1006NZks900mC0GC10ug1OM00m@Vj20c2G0S4W_@100 -500IrC_Ht8qdW2eAp0uhU4mgH4WT3100q8tLDLW380myCn_p00ym30000f0a08e1W9ukAJEa -000Wg400OwOUgAx100000C0G0000iXI21WBHE_9CpB3dsl10WUoGpYAiMXgrz1Wg10ph7501 -0WnBDe6_AMWFXxuD8ipG0000RS00e7Ud8A004Fl1000CIeF100100400sUp000800I00gad1 -02000020dk7300J31s750WAep9D00002W024aWO80A000G80000W00GG3000400215spGUz6 -SOl1lWRGgzF0q11u3kY2nbXTlD000000X0200164G20800GG28000040WG4200840442Q1a1 -O010JBOGT09KNE3000eK700SPUHN@d0W106000WW000010100004000pEz90400ugQ3AcNY2 -xD8t@A00qx6a2dv4mPS2@@6n@jPyVT@51yU1OLVaIAGBT000D2LqpA@tCZe0008q600y2jGD -rAHN@25zV200m5I@@4000v2F8I1KGKe8jGH0U94H82C6GW78Wqfb51008C8qHqK0W0004O00 -8uS0wEbX8nUOlVR0v30q_@90l000K1208@10GmN9W00040008000G000X00020004@F08Y00 -100020W4X0H400000G306GYG08V4XmA00ai6C@@BX1000000000Weqe904fq@FIzVpWG200o -110W30000000ET0O0E004S200rzp38O2Wjm_FBj@lqKHC000000Oi500akt3@@R00WyZaQ2f -8WG2y@7087WU00000io20G6X_00G3fMcKW1aW30MIbG20008000cfdXaaJ0000P_nH5wP500 -0008044EcD00CHocrirB399U6Y_@1G7E0ZuIrzfLCygD0000P400qiVK000WK0101800000Y -1008G2202nLd0110Wn@JORV32It3000000k1puEA0400G80O8G8X8g02218000G0015004O0 -G4020040000W00G0GWljP0G01Go1I4Yk1008004000ch08UYe8004G4O6W8o8A1a00I00060 -219001W088W000X044WqPDOz@40010UVg4dwQ08000O004000ev100KH1L600010000W0300 -008108WtyPeqw4oU8a@@D000guVDH114000000121000000188G0000400018222G6808W84 -080Qz760w50Bxx40W0b_ZD0080mi@6G0W001002428004000048lttWpXD8uVI000XwO00eP -Vd000100020m0W4Wi00490000I8000G00K0G004mZB6C5@39@720Wcd0xZ240J40Y00e0000 -010W20000060W0040040000200G1W010W000G200Gk@g0gg1OPVd0G0m8001WgW2W00GA2W8 -4W00LW81HW0W2m808XP4102W0Gg0020000W5008RUL4500qilJ4M1P82400WH9M41410AA0e -2102YaO00LGO4a0GW0W8HB4004100OG0kzN500Oa765b00000500p_R000WG000e00010000 -5hOEWsI0Mst900Y000012WFXSAJ0040Gcs9KMW1x_h2p00WUuZI00HmlY90000400W088001 -000BHQmg@m00WRz@@e0Q0Z0880u@V309000004un3360FX55Q10000WX0WbzT2041GDy64gk -1l@Rm9AXaSl1boRGTvF000WJj00Gp_E180CY00cgKOg35qT40u@F0800000_000020m21@30 -0W3400000OX00usVL004K5OVK00K100W1G50306000C000800004000O000K1m000e202000 -006F@s20u1WbzT20A2eg300NOm@CNWP001LvF0x2300el0SJ208_GuF00mpF930000pE30G5 -WGSL1t00GFyQrYS2drRmIFgSSF300OjZrjekry000W1200WhzD8pP6YzN2G000H1amik6020 -00S00GirZ10AG01000G40W_XPuUQ9kIqWWYD01W0myn600007L08GPTWzRYDp9d00Won_0u9 -AFC0400041002000100000W0twzmhpC4vD6zJo08h4W@@T2010a00000HW08W00W08405040 -800Klq6G404ORlDM243000uE300_@t930W00140XG0A0W000W00102024014jsbmLxRKU360 -0cm_6vAW0020W00e0104qZ4PF03Wc2WHAf288880W008200rpNaf10W@@TI48W10084W0000 -880W000CVE35dR302hlOFlQV2300400082un@V0q50yDVKzA9njLpax93C500kNDduth00W4 -4P0G32020GW8000002002ybr4YYt6004HL@np@@I000412400001GJ004YWe00G00CjlG0gI -0kjDgqM3vTVOG200aARNjA9400ytLyZ200400G0eEFUuTBLI@N20870rzx40G144C20W800X -00W2500u@@4K2004lUEq300YXxA0810@@N40WQe@@T2000501000kJ4W1004L00y@F3000u@ -@t60j80@@75030006WA000CwasW0hDG000msLs000W2n00GZQpSSw647mHD20e0b@100000L -00000D40CJ0cyd700WD37RZ800W@@nGW00mXxFSw_30020g1tWa4F1qD3mutdK7kGBYdmdu6 -CVT23Ox1000Ai080@qUoArUCSB6XHpmTq900X08f0L00u71800elK92DLYLoz80L6G200qJ_ -3Zc@mlv9Scp9Wp40o9aA0WW2r_z0018Wy3ge6G9s2L2U100JrmpotF8000W020G5y680G4u4 -U3Q2tWTunOD_AgMW100z4Jw1plo60W000G00a00010002G0044G002W00G01W00G01001000 -0840020200OYB3I0WWwhg84EC6PF10200000WH700CSbDtsc02800200001010W00O004O2U -34W00C5z33nRGs3p00OpvAmDkolY@yD00400010YAzJ0140Gvw6C4V2B0KGW@510mpfVxY00 -00W8G000800G61Wr_ZIen0mwa2D2l1JAmmY28b9l1A200wZX700020020W8G0004G0400GIc -vKz@6z4RmvcsivV208209K4000420080HKq94fmI0lO0MhRcwwI00001100G003G410C811G -e0I00C00000008200B0KmW@51030u@@V0W80G46O400GWGW01041C1pmGR@8zCtF0000100G -100800085f8eK9G00fz75000u@@@vsV300G000G0110GqjwCqi7IWUC02jEdXmJ000000GW0 -00000W20100WyMk1F0X4000Od2001Ampaw682000a0n000W10KI4Hk750Wt@wb@1004Ig@60 -00eN00000V1WjvZ20C0m@@s00po400a60MQ90000Ippm000g8DB1GQM2Wg10WQlE9I1LoXVc -9wO00100W80206020e0p000g00002W0Gmgr94aoX00Gf950Xn3000DfP90BLB0iC0jenpu@L -0w20Oeve00100200OiR3w8FX@kDuDS3wttW3_DeTu7I8cXApD0000SyH@SeT5b@RGGTCCzl1 -000400G00400Ol_Jgut000cEdmtrRyaqjF3vxN1uS0W1xZAYm4Ag@abTPO4U3YMd1000Cy40 -0cYt0W000XoRGezOqLD30001400000009nz400G00000I000AG00e@wVuymV00KZMQu32W04 -cPt010022010MQt05KG000044001S0m020W0000X880211100080400G0000G0G903e0A20X -W402020010zvp00WGW5@@1y72mO_9qnX1GW10kDEXRxD004W0500008200G02Ast00G11082 -480008480082000W00O02888000370W600OMsJ6cNYi7g00009T10WczD0G40G0pFyzV20W0 -08000inl18G00kjoWvqD8GT300040G80W40GG6zO000WusS9oz@400iY080G0082auV50W80 -cr7310003wR0000aNrD0G000009Weej9wVF4VC0SUg40002o_dXivJO6@48000CrO200090G -40010C04G0GRvXavwC000G9E00KGM2xVb0430WHvh0002Gc@60H8WOAV3W00000460120C01 -002002@H75000000IoHtN1W00WN_J89V38200000WG004Hux60048200148002100000G001 -04000100002W40Wzzz85tP0hu000G00G40GSuC00YA000Gquy6G000unA300W000Y410YW20 -0000K46GG4004G100W04005A8K180AqWW000G00040K5TayGTsmeU02ecn70W80C_j10108_ -rcXYyD004000080000400G18080W020004C2088800W8420GK88ma@@6W200AzV600Z0a200 -000000f000m40000A0W00000f_VF114900GA0a020a203W00f850D2H010K10GU060W2W00G -9508LaWHyuf0R0ADWSHU22YC0IVt0002000012ErWaJDG000GK09004230W0201eW7pP0I01 -0001Yo@nuYK3M3t6000mUH00000G0O02RQ_408Y0G00000G4zEv6idY1G2010G0000W14800 -WW4000000W004Qlr000100801WH000046u5UCwT1ZX@910GzHqe9001Gftz40G40W08008G0 -GA0600W00400080W0HW00000GW6L0000KGW081000049SY00000a080G0020000G30WSs2fr -VO0ygqh0001wl0010840C0000I0000a20gd01XGA70084000000WGj4000050rb0WG8r1080 -W00020W0qh4092Wl0h0G400s2000H008G000w10W01O0fH100WWUy00yF00000F@@@000m3U -0000WvP_@t0000FZ0O0UMc60000iCD000000W70moq00F33UqiaMAbfqO9W1HmWPkqGFkfU6 -00vF000mP00Wx0L061P100O2W@@D00WV000H0_1s14100O700y@l10t000o0k1m0a107u6W0 -OF0j1u0QJWLmc0h0k0d1h1a2S38Qc5ms4O0wy73dU00X_R3WL2W@@tWvP0mb2Cy@V2Cx1u9m -m3JmCAbWIB51bMAb6UO6UOyC3p2w1u5q128000GWcKI0W0000e0WpmDOsU3kMMbLnD0000lw -10WslL1040mtr60004eS0CW0008000e103W0200000QzQ3000800022200W0004000001X00 -GG00002fDS3o3t084005Ydmjx900W710000WG0WgvDO5V60010q7G2@@d0YG8WAoJeSx740G -000000009Gbq6CfJ2JZd0040004W0Lcd01G0080000010QaB100W0fpRm2F9y@l1WUP0EEEX -RbDu@@4w3WX5aD000W82G0W0c29Ny4000408000WW0mtu600001W000000evSD8VV3YtVZrn -D00005E00WCkjfSW4_@t00W0WzixnfRUyf_600c9ISF1200WJkRGtj9G0018@@4040200000 -K01000200040R8Omqz60200008eIs@600C0uPTCslF70C90llZ1001004020800408000009 -7U300G08W00G0W0W004WQVC08000G01G0048010G040Wi4b4ptdGCopG0000000Bn00WzsDO -gZ4Mrd128000002014000O0W400000540200400H080W0K00u@V300W020W0m00280W0000H -et@DpT_U0005ViV6oUGY@zbeaB3sFs0000W0820000G0W0WQhCRQsd4GI20BxV202WW7SPeC -V30000900Cekm40800aZwIz@R0008EU002pbh2400WmtD0200uHqFitgJh@p00WRlRnL96@7 -cEn0c8200304cfNY@rN2OW0mPdp05000G000040eB@J0091Oz_6iQUK000OH208y@@94CG00 -0008G00000WnV_680P010p000620W000810126pW0xZ20W1za_gKxF30e60W800A01000g00 -80W000G4e0a04GG0af8LW140wKFXeuzOUE30WK00000K0I958WC20GWKroR00GaG9W00aIg0 -41a04OVK000Wm800ixz9xRR0G000DH02014042O008GI8_V3K000G0001800cG00gbzZ200V -z@ud0010W0002m02G2G00JRQ00m18000m0W100010GW9840W00080a1Fpvw@D0TU0SDa4HoZ -H8g6080G040000K0mi@D0eA000140040408000G208240O7p7wdv7000um1W20000W104e6o -GK2000xM0W04000E002n@D0W00z2rIWWG8b000Knw6W_0G4O0_Io_H10m@Fk@V000BZ60M6D -Mc60IpI0ym33OHIh@m0_H00000000PW@I310004200S7G4OEOF0SWT00Wv000W1000@000O0 -y3m0W105mDW0mUWbOQ@@SfS51DV200uX8_C0yUu100u0ym30W@10WF004fW100WqOv1LfA1c -nC2Uul_ISm_F00OE3AZaNjcfvP604000040u9S3oPpWaXzG00GGa@C0Ck1ORQ9_1MY_cJuNo -J0401y@V20W00hhcXZgh8fW7gGtW5yD0000410WW@@Ve5F3gpkY@RDeHyVgj7600W2Vcd602 -0WxzXfgV30Mz0SLCCG0206CMYzjJ0240mNoCCpLHY400cJkY4Z91G00n6w64wT2002GMrtW8 -pnudvP00WnsWN5FudG7_I0000S7W40080Ccl130Q0000001845kYnW8m4XV2WlI0_@7cChzO -Q6gQ8xgg2KDLX@Fbu@ID_VKa@3Lv@FPI3000FQ00WfQpvzc@R@v@GSf20mRKuy@4@V5lbBnS -f6qilDWdB0weIYUWf20X0GahvOA00udgewE@XHa@10GzOSM@7_8Ie200gFM50014frdGj@CS -yV2JNJ50WWoc7R18W0OmyCyiV2brRG45Ejts@vrz@TV@NNu@pD_VSb@@sv@H@l10Wtdu_vf7 -k@@Wz@ESf2Kg2myqpazl47TNnjv900800000umrFSll4xyRm7sC02000000f610WQgqwly40 -10000G0P4xG_ftWrqD0800GWx600Ou0000qa25bUihRplHd2IiuE9Q700QV_gezDeoU66YFX -rzR100YTbtvKzN2xmzGy@641O2Xtp0400W75W100008S1WV@Rv1_AgzFXV299x1O0000RB00 -euRj2th800Dp9aV500We50A0006HZ@@0WmOEAz@VYlK000HfFOmF1PMPf1FLA40i1Wv_r200 -80e00W@@@1000CM00W@@rgibP_@N200CJ@@t508W00GG0@@@30G2W3MxAPNX000G0700u@Vj -000GA000OkxV00uHlVZM000L0000eR00ax00m@@y0270u@@h0410001G0008IT6@0000_q9R -_@F4000AG100_@NTGb90ZqFJRtTz@@3000mSC00kG_30020_iRZWsa30GBMTw60810uBQ6cH -3ZwOAw@@97TNYqpR1000l110WT2TgAkG_@7600QbTXh2000YstDe3W4w2@Xd5LPPlM0ub04I -lA00800040qJV2010W6ppWmwFf19R000GB800em_@7y@@6w@VX@@@@@@@@@@@@@@@@1WBD03 -ewt@@@@@Fmfdv4000u409Phx7kxEaWmDuJPFMAtWfiOemP30104y@@300WDF98admpPBzDYQ -E10080vcp0000Yv_b0Ga3Gt3D6vj40008mF00aedJdlRmerFafk11QomCtO01000001mvuC0 -00@xsTIc_EXdjDuzR6YbtWUvP0082Gjv9000WAj_AshdX@yPG000u@@I0_80u9T323V30080 -JyNHOuI4hl1TxR0H00W3xJ8bU30G00qsj45Yp000G00020000GkRd1000ym001237Z0oJ8F0 -3_JN20200f2OGLvCyM_3vuZnQaO4gg400GRl7fbxO5wp@9ZczdmmD8LeSY6@@dr@FaYh00qa -4OVNb0N4eP3Wmm@VIXY00qq5l_@00010G0046_F000e1A0041kALm9D00OWAbzut@J0060iD -x@VRnG4O6q1c1JgRGayC01008jP9sts000vO@@7rz@OK1N2tbbW0G0004007cP0G0008000V -cPGB09CYl10180EDN20400vzRmX@RG1000W80Gn@IKOT8Fyp0200W41C0040000WWprUe3x4 -a400Ki_C00W26Ol2000WHOXn9v6SjM200W00K00abE6HAa0004000f8Bj91008W@t910WGm9 -sLqCF31PR0G0020000K00SQet000W0d9ymcuU0o21u6_4osE1W2W80G800IW20G00000522G -100200HaRW00001402W0W0k8CXGkJ000W00W8WXxPG000mrzC080G8qz400080100y@V3WG0 -WywE30048sqtW3mD0000iZ20WAqPG080mGu9820X0Y000G0001000G03800W000014W40mp_ -6WG000m0G40WW04041fxd001W00200bzR000W00010h_P001000C0WWW08orB104011AcGQ0 -6CJU206416@d100Wdjbp080W041000000040010WOW8084000A08WI0001820W0800008104 -G800080G0XW100G1W8048020030W@@DG000IK79aUl4000KoU8XnuD83@GEat0Gnf0z_B1W1 -G0W80000O00A00000Gg@V30400qKd1Z7_04G0WZ6JOZ26MMjbtuzWb00muyUmC00008000C3 -104a03Dam@L5LgW10800gYtWWvn00WDOaMXG01000Wb10020100O3pULVB9C_V50uL0snB4W -00GG0002F8104H4dqu40G000G0033kXP00WLyz00G401G00W0W80000b0m0W0000H41mtP81 -08000200010045003HQmv@I00G6_@@D004100082A19e245041oW0Kq90504100c9sWDg6j5 -120020000001C@c13jX18G3W@@tW80200Y0024440Wh8m1GC00W2Oynwe000y@V8m400Q0mW -tuwwY0C008wy@l7000Y_@FGGm80JMlHGU5z@lGvrj1004WgbP0440GQt85vT5vUZ10WYYJm3 -100G300Wj60Cu@@h4000000E1Wv3m@@L0Wn0u@@DyX1000CwM40_3O0y3A0uac0mCfoN00Wg -cf4RdW001Ka_60008AP43000W0000502080C000O0G7060003e303Mx69lW20000ccps300n -W@@l10sH400W0we30LJD0IVH0qEH0eCLvE00m39kuHmiUq4j1TfnGir643a1@@N1Gi3WnmXf -0tG0GG0y@l100X0chEXfpnuiW4IksWy0Ie9E300010000ZQ00GP_FCsD3VcdGQD64La4j0bm -@@C4Gc100008G00ihT23XRmvn64Nk1Lmbmvr6C5k12400UmEXi8D00WaRh@ICVE3DK@GW0Cy -fC3PfpGCgmqxM8W0U0EC_gQuP040000L100001000Iw4@XCfDONy4olN2000eU400A6dXhnC -000000504080WW00G0WW0000W100204G0OXW08TsR04000000WDk@Ghx908004G40000Y0G1 -00008WkWn00G0G0I000110120W00010W02004000081048W00a008000W00XopC0001qxS60 -0W0G010000swYGJG00004G28002ArOb0G00204W200000408X020erG3001W04W0204G4W40 -02000W080W40G4fk10080004000004001e000GGW0e000008WW00W1000240040300G402W0 -0G002G0y@V30820Y2800500nqu6W20W0000001KWN@D0W0G010000VA040000W00W004000G -09WG0G8108000G040G40W0W01026W200040b24WG080qG34800W0W120Y1028G05G0100002 -W0GuA@6800G0W00G1kO0W120002GS79000WeCS6QzV3000iy500E1630800W00WIz7Zdx@vs -VL004sUYU5000H0W028G400Y86000a08Y80G0G0WWWW84W8irSv4x50CMV5810W8WW0G894X -800201G4Y0e89PO0120Wxr@vcX4ESN5G200lwN10Y0WzxDO@V3EPqWTvD86EaYc@4006uLtZ -12010000Xz@R000W2040200GH080043tFhKzG8_a0kH1OgV9002A240Go40X018U6p0048GH -YGq451W190WK18020WOuAAIXM8A00y@l4W0819a40eGWGI0000400A05H401GH04Wb80K2CN -FX0500y@VB00EJhWRZOu0xt@CFYbaKpVmi00m@@l5Gf795eI2S600WW86EX6ZlYsuhewRC0Y -S0y@l49mz00e100m70nmfLMvXO400u@V982WNJ00FaAtrD4gw1giR40igP0Oc50m9d0WgcXN -100pK50TKyJhrLizF600w7_@lY4uC06060C08WOuSQeS3YAl5GC10@@B10s0GTZ0WECZDCv4 -puXCsL40Mb70KLR0uEY0m3fo600WgU86EIwatWrlJ8SVC6dFXdxh00008000OyW009u@JTvF -a@@301000800020000008W0000080tPd00W002000zlPmJ_CC4i1v@d000RM000W3wR0a00W -5vOu3T30G00quV8Fc@mWtFqpL2XU8nJv60900emS6UDmWw@P0iaI000XYokVOuS6sCcaIqPe -qz4kUt00088Tc@mVw9a4_3vaN1000800081Ibmzv6SQk4lm0pRz90014e4T6002WSOl160G0 -00001W00402000023042000W8YkFX7oJe2_400ab00000100qowF00080WO0WI801000W008 -0obt002G00EW0t@B11100J2Q00050100008W0_@t08Y00G000BrtWGkD045m000400044000 -4m000Sdl100100100alc1Byp0000YuYV0WYX0020000I0G0100008090G0WG100H80H14004 -000K0000020880400gG404180820400W0000G4G0WW040G00W001gUrW5vJW00Gmw164kj10 -800040WWX20000W0O01008m00G0eErt04000000OW00WK_V2JqR0G8Xau@P0000ld9004800 -WH02G000W02e00404W1040GWC840e00BKW000002052W00GKG02CG4WHKG030G000084G0HW -WC0G00W0G0800C0udJ3wcqWewD8x13I3@XB@DupV30G0G00X00000SA06qAi4j@d0007fQzn -0W100008WzxD8BC3sdtWHDa0003Gsw90000H0008000G0880n@dm5x94SU2TPt2eP5W_@hG0 -GW0401WJ2D044000G81000I001AW0204Tj70W00G400G004004490010000G@GOGw@60H08e -1OO000nxD00eyV9G004O0n0200IIi@9G0000004010GmGpEf0S3W0280I08eyV3AyC10410p -et2002xCOz0Y82mu@60G000800v3ud0W81u@V30W44S9l1DMdGH16qvTB0TA0ckNY4pDWW02 -408G4YW0440WCb9C000400o000W42WYw31HW0WY080a80H48000W02G0200800mj@682000J -0Krwpj00009gmAWWY48Gaf1WG01gcP63114KScPC030GHgG2000GzuOi@V24110800GW400G -GG00A8W7O1Km2W810000O0081000Gkd6KCVB00cxY2l20X01480W041000000A1040006W00 -G0WGUWHL8000O6p00mt@O000ag0r70000_LE3000fc74Zk@n0Ck3GCSE10G0eGT60W0000X0 -OoN6op66000qa600gztf7wD00W0000WXxVh002G100mX@KI8i@G00avVYE958eoyn60005u@ -@AG040000012000400oe_L1WS3G1rRK4k1dQ9n@@R00g18CP6sQEXsmJ0000Y00000a20lPg -Y100WOu89CSCABN241uV41GDfHLx8268I6m210PW80gA000000O_100000Z4W10000p03W_3 -00_w@400H0nmL10Ri10cv1kRo9CJLgOt80q3L1e3B0GlK0WEKbN3DB400c100W0O60102020 -400Gat6KNk100080W100006300GMP@g08f186Ed0030G4H08C330G60000O410Uu@@F0W028 -bu7c_t3j000@@B1H0SIJ0uXKvkNKmCL9ZJE1WFC30Lz40sD20yGLS200uXg0eo_VIVK54000 -00Mi@e@gM7h001000G0WNkD0090W00008400@@RW00WWklPO6T36ys0WyE00W0000W02G0G8 -6l4Mlt00W0YVa@mCvFCCW1nLnGzaaqhU2K0002sD100G0H2W1000Up100G000028WSBk195m -0101WsKyO1_4I@_XSun8h83EOFX4vz0100G2@6anU2vYNHFw643D6VfRGYvOG0G10008Gr@6 -4Ql1jsRmt@600W0zzV3W02WMFF3DqRmE06SIl180000bd04ck4042W0W000GG000020eW00W -21mG0G0106G008083A6Eft0000e2000AktWH@D0G0X000YWadC0102q_t600020mG0103123 -0X0F5RW000aPyDuaV300I1zJl100WG4G40yHX10800000W3u000010W020Wf1C0010002AWB -wC0800qyUC000W201122000000H000404GA000800G0K_z6W4000G102000200041MQ00000 -0A0206G0HG0W00000040A0020K0o00A00000mykl108Y00C02SRl18a2WUkt00G31loP00W1 -02G00PsP020WWexD0W000200028W00100GWW0200W00m010242W006040008G0IG8Ge2P300 -102G4W00000GW00m408h3O01W1WBzDW0000106WBxVG0002001WB@DW000SqzR00W9uvV60x -34qpl1vud0000G0210DgRGy_60800K00000Y00G000ZGoGbQ94ml11YV2000bC2J8HwJ8900 -4tV584G1pgqWvoN200W000090WG0@4oGK@X0WWJfisA800a81008MTgM_WX7vnOcD36QB1WX -50p@Y180G000WPC300EWtfvnD8sSF00G00041e2@44300qYl4200W0088qQn6Tqp300000G5 -HvtxHnD6all100M7Jxl222K0GW5W01004OEFv_B10A000m40qX004GW0yZi7HyPmNW60kh0O -uV9004810Qa140eG4yKD6_9nYd0000Ci300XX7oz@E1004G808mL@g000ejgyt0W000000fw -2FA0EX_MD04V1GCSgy@@IJxx1800WQkD8OC3KF00a80C5xdJ7S60081ul1IY5t0G02000YY3 -3Far@T2070000G1Y0OcPzVYg00Wdoh8wQ3SeARa3_FZ@@000WO40002W90oYBXdIy0004500 -08000mk700237ZSm5QlUCm4G0wS00uIbG0m3A0m@000Gmu@@I000E9PT3S200egP0ucdVIfo -Wc3h0200H_XOCpk1000X02000Y@08R3IQKiYF@t0044GwR9S9k1v@RmWm90110uoR620dXcQ -DOvx4000m4sJ20006G001q5E32000AeJYO3h8PQ3EZx1080370zG_v9SAU2TiRW000WrrP00 -10GIw64Uk100Wc@@F10e0IT1yGI1g00108hEC0G004rC3zxn0200ak_91yd1GdwaqsV51UM1 -04KWywJ042000012AW003id00m12020WvwPmiz6GH00u4R300W4SEV5000m4500iFl10G010 -8W00000442200W200224W02000080220O_@4Uct0201000210X2G0100400200200000Y000 -40000210W00400W0W0X00000000281G00010440200408G8txR00200002808000G8Wy@l10 -140400WSPl1210Gsut0W0I05aR000ET0420JtR0W00WPoJ00W0GJw6000008140001000010 -2000211aFW1pob000000K08Jsp0600GGW050000004G0G121402W048000102G2G6Xp02000 -04208000W2808xV30102G00XW008000G200143yR084GWF_D0y@20A00WRTD00G0004G0G01 -0000O014m0G084000000K2281004000G00200W022000002G01W00201810qpl16020wrF1G -G10n9_06G0WJjO0400H3j6Kxl1q000AYR32000000WS400S7l10W01_ttWglJe_n4U_FXzzD -W000000W00C00G000oYp000GWvYRm79R4iqRhxJIWNsyeR5YiN02WxgsqOe0jVYYAg35i9fW -toU8Xtk3PlYzo98dyNh0000Zb10WmoYwGWXg@d1mn10H4IrX03bKWM0001UIqZ6XzeBwA06n -0SsGKW0000e0GabEI000uMC1ZoOovuV96rN50K000004wkd1G01000OrpXt900m30KrNgTqW -svHAFM3Iit9W800xem3G60WcsP0000PgQOq3l17AxnWGhM69OW4T0wt7ZJzPuN@GEcdXgmh8 -9R62ft01080dndmi6R000WHx00GBvOyIU2fS@myIXaYV5RydmEn9SRk195420W6bwqnO8R6M -NxXsbaubMgs@F1Wr60zwknox6CSYArp@mNz9StE3vj@mXyFayi4000GO000ChE6Jm@mjBaC9 -T8vHhI_@900WpDaU6k6PfqrD8Rc7_hn6Wb40dnpG4zC4fG5dl8qDEFyp@3XUB1000Is200vO -WH112D0Zw2MB0su6Z@vCuqF6sphE000OvgZnwuC0100umNgohZdtpJOTm31nE0ymQ50W00od -pWecDuhPmYuo3Z200zpLnUx6Ckg1phCJF@B10mY9x@G0WK0KDfV0BA0weRiKX_HO00Gr@U00 -0C8x1@00OrcVk7rfQGhe_1EG1umvDG08000000r20Gu3_1900ew@A000YH00000C1KDh1208 -efsxD00u70200uCyekcJQ000yDxAKg@FKhT22002cDtWxiI0004G4u6010Ge803U7dXOnD01 -00002001002v@d000oXZqJG080mJm9a9C3VkR0W0000400zZ@mlw9Sik11hR040000800lad -010GWcmJ0018mpv60024uVi7EId180G0r@p0WK5WeqD8GW4MLN20W00fuRGl16yj_3TUQmcw -6adq6XotowvC000WNP00m2yFysz3niEJo@L0400ecKI80000080Oxy4800000u860010G0WW -l@J0081I7w64KF3TvRmI_ICXl1ZdpmD_6S0V2Bk@G5js0Uh085V3000WCrl1NxNnqPmqHV2v -i@mhfaaz@3000OK30W000001800004arxD0001ISUd4f069kM1G00Wkw9PVV3seF1200000s -Jxf@1040Gjo9H@@646MB5@R0040008000001EA8XtXd1iG0G9JWbrF3ppsIj@60002p700GR -KQ1100O6_42ND700SATk360W0Wjn_10q1mvyNj3g18G000200W000ejvS000m1U00O5nhG00 -0W4000008020004001T0Om9064IXA020000eKtOcb000000K0axi10LI0w1eYb0IeEZYYyd7 -000ma100Uc7ipij100Gmeg600ODANyA01404xnIF_@3OD1WkmNQ@qD2rkbXvD0a00Gry60C0 -0u@V90B0004m0fh0XW20000550Wl0000000gw10000m810iwFCbgrrUx6i4V2T0uGJlUW000 -u1y40044q40600O2kiXXumP_jx7cz@XJlbOVrG000mHH00unQ6UYJeIdP001001G0W9iP020 -00000Xdcbeuz4ESsW6ZP00GlLCr9qKq37TunR7ICXV2BUd010008000jHpmjz60010eDV9_5 -xXSlDeuR30rY0a_T8TW@GW0Cy@V24002c@l22400xHxnsoX0000o500Glx9SxI2pwpJ2yC00 -0044G00G000O0000000lEGYlqV008000008800040000W8Wy@l100SX0002ick1VrR0021Wp -rDuKU90000crD6vJlnCzOW0008bG3glF4mU30TuZ1200WqZ@H00000W0mZ_h0004mC@6G000 -wO_7wo@1000WE300Az@XK_Du6yMwkdXI_P000080020W000G000oOvXpxheVV3csm0084G@@ -R00WMYWXI8gV300G04@l1pzRGMyC0000ycabMvN508800002000HKvl14W8G000WiBJZ00W0 -C6W0y@V2400W0001P6008Fz6100g5hV20100G602Sx_aWTT4o@t000aWrpR900028W0300G0 -00Y0Ge800008441W04300Hvt800Z3G000XpQ0W003n0W001840YG0ivVZWDB0cBt0G100W00 -02fOI000SH5cmrw60400uPT@E@N200TYTMc0000810W002082P6I0lD0XrRGhC602K000eGm -QqD2000LrW000W0W6xJ0H00mhrG20eV@@V3000He000m1CG40cvV0gA0@Rt8WV6000000WG0 -00828802W8000C00WHcu9a2gS40000000WQ0000W90120000YH00cqe8OzIVoMt600GYkyx4 -Y@_XSztOlNF6Ec4400000W02@cX9@t0io2mp@F0W40001W0G20WHjVOo_7cskYcoV8@UC000 -CCSU2PQ72000Q91007@@W10001G00Xidm7w6iki49TNH2METnk100AroKEXadP0002GltR4@ -T8pWR0005WsrP004001000W0000G004220SIU55nd000200W0W@@R0GL300G00000100W0CH -l10K1080000008u2I3snn00G06nSBHOmI0450Ojw700044Pl1rrPG456iSl1ZrR002000080 -3z9Hi_6W0000000aA10aPqJexvA4800yyT5HyQGq_CaNn3000W50000W148JV30222000200 -W0myr6CSV2vAOm4@C0000W00840080020200000WVB0084OWQ30100Czj1byRm11600G4200 -G004WWSwP8vT3W001adV2JRZH819iIF30W00WK00azm6B_@04f5WJxJulV30u00Ksh49QV2W -60W6C81020GJ_FiH_6000WS70WS4xFHNcm@xF4Wl10Y00syOcv@DW4000000000gAF@Z1008 -XVXxwROL0bd0KAv6xuNHEvOiSyCHYdm6dLaQU25I4Io8C4yV2pSmmZeF0020u_U6IxmWM2aG -000Gzd6aDQ2peompz60410008000WOa61UevWAosdXWDIO7Q96qtW_KU8u09wztWRfVGG00G -OoO0C@0uXS6000WW000O5V34G004_H2xpBnS_9KKl1xiaW000mvDJ0XY0000080220v@d008 -WG00000W00Zyd140100000G8WWCYE3f0a0000Qk300f@p000WmUzP00409G000004W@@p02W -40000084G0I5GYfwPunV3A8mWR@V0GG0mt@C4_l1BtpGA0C000GV6OF04024DG5xn@Gq06qZ -rL0z906Xlnmmhm300GNojy@V809000005q1030e0GI1WYe0I0200000908000f0GX2000GFB -0rwBX009We129LW7I18XU2I89LdUm8X7gVejrwE@N2001gnUQm7wCG800u0W1cfCd1eJOFR3 -EqsWK0e8AWJ00Cu3000004YCW00000H4000000KHWGaaG88g02H2000W0WA20Y60cy@D0W00 -G306y@V2f0GHK0a00O@@MVCY6WdBOCO463I1WYe0Gv@V30wf000000009mRnI4DWD00m0W4K -0A04W0IGf09G0206W2a0m0I1WYe0EH0000WB0mIZO00060Da0G10O0G2B0502G09eq8Q0O40 -Sa0008X3E9700000uaST0OGA0K45m9S0000dP1Y0G82000000X800WJ08G2I5u7E09H088Ha -0000WW44000089IG08I2f0GHK0d81000Oa19Y088Wm9000WWm1000089Yd08Y4Wa80ad8I00 -00GGUcAmC@@dvD1Rscm@hHyVQ6@V6o@bfy@PY5z@F32100_xV6000Ks200Y@tfknn000GHW@ -s00@R9Od@@5Iwzyn00WKMw@obzDCWIR0YdEX8OV850AY2mZ@@z8WR9Iv_4mF00j@BNAf9aED -900084F00Sfw6@gMHK0O4fmCHZJ20WIzfUnOltAY28aB5KPpVL0zj0000000a0m@@Cq1W1f0 -GHK0XqpFL00C0QVCX48V850AY28aor_VSk@3tx@l1@lRHf100hoM00OwenErdXeTD850A24O -ZUUPe303I1WYe02PX@D481WW8W08GK30001Y0000G04OA0IyuV50008PGW404Y0HW000G8I4 -40002a0HG081c205H1i10000YG00@@lXSS20006dT0m0muCdK0ae8W4cz@1000H000Hw0mXK -0e8A0Ik7z@wL@VUs@Ztz@tX@@@@Yga1ZP@z000tPIzi1200uW@P0Tt0CJz@nS@@Bv@to_@hq -@VA@@@@@@@@@@@@@@@@@@@@VNy@lb@@@@xq0xIKpj7WND0kqFmmuy0000FL10WCj@@Iy@hK@ -@9v@FI@@@@@@@@@@@@@@@@@uq@@D_@Rp@@@@H5W00m@@j0u90u@@@pUu@@@@@@@@Nk@@a@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@l_@xx@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@Bk@@X@@Fz_@F@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +0m0020050ro600000W4088204210YW0a0080GA0KC9G2WW00Q4mck1K96J3kBOck3C00005U +10Ww38X000GK0e4I0Fz1uJ52y0W00O01OMHW1GXF03IG21G0We0G9aYM000010010000OZ5R +00WmCv2IE4OZS7634v2m47R0080e409cxW100I03Ky3G00YAGy000uhC10WkGs0800mL1LCC +I20K00kIeeoIs00eZNW4my8YD1aymU2UKZW1Jha0W23Wb021020Gg8HTTG50020BWH2000C2 +2006MXdFDu9b16kJuXk6O00G@zk5gynm65GapX8a0U20OPYAU7OfVGO0G00mM860K00uT0C0 +00GqU13000eSC0000W0yS49I1mWOFC0200GLCOaeX1LQGoCGCahZ4BdO0801WOEa00WBUKHd +8080OBaJM5A40G000800G800Sv16L4z0Wz2WDbg0090G85a0002PNf4wDYdIVCOsd7UEn000 +0Kq700AVY401G0D1jHBI6KOnIz95B8m7WodM20WGGCHUapJ80000v000av53000OvE00K7a4 +04000104SZH8dAPmoMgy8b4hCLnKJF00O_@xdJI5B4G200lWXnZNO4po90U70o0Y400aWf1H +200G8A200jh91921nOrCuae7G8I0000004H0mKR900184I000000gK0I0004B600W1py0G00 +00W824YC0Jd520002HG8IbXbpeS94Gd11pb000Ya@j42082mPNa4rI5pRGYrb7Wpdye4E3YZ +AaWw2PUD30W220000K0000030m9sU0000e0010XbFW8000000VoG00e8030001OT03ejF90O +080003eAC3o28XA0K850300W10G0G2K06GK0Ii2M5O00002H00000GW482209G1I088200A3 +C1082109GOG0004503X2QWXlCmi0U000000WW40008240902HYW0008B03000000G24000IA +06W0H80812NK06GEG8AAW40GE400S01d0000mX30000oX0E008SA7200EYa0000019800008 +HaW0Ga4W4H044Gu400000u0000000a2W1088Ha0000WWy40000892WahCm7GI4022W14V8BW +4I5m04n10H3WHK0ICYJ_p7aVv3@FUn@3OzSihC02000Kr3GKhXyugAPhgIVFOing4000Wq40 +0Cq867nAHac6i27Cv7r2400WJLP00G0000DpsSt8JN9kBwaKJT2eX1GMimC07@J@yVqH@@yq +@DNz@oN@dSs@7lzVnT@Fyt@17_VunLtwD3VuJ20004I1003lln9S@4D_6ZuRms_6Caj7002D +AXdaazPuty7Uj3cukD8Hl40280qtV8WMR0AAVZmNJubF6EJdXbm3PzuAsyj5000ua400A8yD +0801@md0000m83210WN_4vx5DO5V@B18G0Wkqbx0YJ2f81l100dkZNK@d00GeU5JvUnCXjBF +18Y0GRdoX0020000042400000m000Mxq3000qs300_xlkRkL10mmqG1V20000mN1mwpujxn9 +000GG700KJjSG800A2L5002NvP@@TutUgh108000G004hx90006YINE000@_F00000mxV00O +69I0U50iv_@Z7NHwlC0G04ugv4k66300oId4l1000mZYDOguJ_C_aWdJ0000uOpCyXC60_50 +s8QZa2Q9NQC2BBaKgz0000Eo00WVekQUx4kso00200JRp0000X3mV84SC00ivtcLB000400G +0y_D3XTpmTr60G004010wbrF040000W000WGWpmDeBy7_zs3W6C0Vfxqns6G080OPS901040 +a00uCS301008000OOz400G0S9E600003ANb8yCeYR3wEFXeqb00010G400W000000X_@F120 +80@@d3004rvqHQF136HWXNnVubU3QRV6Gc909vJ500018000Trd0000182W04X04AHF10m80 +@@V2008Kv100@@7500W8083Gzl@W000Wz7IeP_M00GH4_cM4040Qgt00a8WeC00000G02021 +80GGr_Xy_@3WI30seFA2800G0W0840S0010ObV3828ZG40KW8882060W3FC8xIL000GJD00e +CVd0000300810o00002ezDJ000Y01001aY80028048000000We00GL@d000FEr@e00W000W0 +00H0001080080420004000W40W2A1000100e00@@3JkdUy@@C0G1WG000X0000e0010800o0 +0600020G4AWGW001A0GYYjaxP2k000_@t94m0E0G000G0W0O001G02081W00040044000G4G +00GOkEO000000e@iwXe020GH000081000G092bO100G02cD1H400G400AXJb@@D0q_2m@@E1 +0W0000W0HG0040G200H0070100051j10000iXZPD000GOOJa4rA3s700_@t9s10e4T10ylV0 +uVgg2p0ul51FS9oy@0GLj4m@_306000100p00W@@R10GQGSmH100m005m40BW90J4J0c800C +H0eWo0006300m080W0e41005200y@VBW4N0kcCA0050bJ_0020UF00000W100ym30pEBgKO2 +aQfkKl20maz@@H90408DOLo@Y4mv90@@7OtrR000G0000fT10W@@T2044GWpgy@F900Q_@@F +A2I8004202_s008W00G000WW00000000A0W00WUlPO7t4E@s3W720nUxnOup0240008080HG +G8202hWdGzuI4WiAM3002Ms9008f0020100GLiU2000O_BtWcrPeBT34001y@V800qq_tOcY +lzW008000G001W00Y808W020010OMT38004SnE6dXx1e63Weq9v@VL0WG2800000GG0008YK +cP0WG000G4Wv8F9jt7O200y@VEG008kf430400YW00m2HW0W108H1300P04cYD08ysEy7c8@ +JG008mJvL020W00GG080880010e0080250K@l10018k8n6WPB0Jh0300012O008000ofl200 +0GW0800000m20020000B000100J72R0840W9wd1000hw00WtJ5w@V64Im40O08011Y004X08 +1e608W00W00GW0m0G820200WbAIuDVL00ijDMpCbyOGs3O0WG900W04I4MPOWA1O18IG1908 +g8000000W24L00W4d_F3ez3W6@RvoV30m08G000G0800I00WBtb000GqTm600W0OPz4Mn970 +00eXFU2000G00040G100W20000GylV3e00848J50O00UVt040000200Me4cs@V000nL9_gqd +j108B00W10GH00u@V30502y@l400W10G40020000W0000W0GW0G0G00sHn60000WKM0_@@40 +2280801180W0W80aW28000WeolD0002uUlI0HWe0a8G000008Ia80W080WG00400819R000G +YG00u7VL_dm00W0141H07LdagUC88LU00000WONgPvMa00004004000G7T6a2W1t1p0008R1 +W@@200W0qy@308HbV_5010000uB0OW10000_6wlD0s10_@V60W7Unmv10m0G0G5W20305400 +c3k1m00001W0a7sC000mCD00y@lA000P000S14HW0Oc10G41WcoJ0000YH00W@@DGL1eW@3e +A004GWtC@b91000Rz50Pc70ytV0KG3y400We20iwFCPXD300WAh20W_30CBWbgn@h0aI0mps +Bb_j4V@RmFqF4Vz3lkw1000AT200jOJ2408WEt89PP90040isQ8PTx100Zzb1V88QCccdag9 +F9TFO0x80KWNEF_N1W40Wl@D000XGy@600001A0000A00W000000GEpya0vD00010000Oo30 +0dlhoR@90108eKT3E0OWOrD0000804140010044200W0I10G00n00G4a001020H008WW0K_V +2TrR0800WZpJ0W10mVzR00OcSuQU00W8CZl100206zn000A1001000840G00I0000000GGG0 +008000003Shl1LwRmb6CalG2tfY10o1WxyFPEX4gztW85IW800mlH60O0000000002G00080 +800800q0003H00000W88050APcR0000aPzEPcT300G0000W7c00mQhyKIF30W4O004W20080 +X0I20WG02100oG000000bpj1000CUjt080002W00UpN500R@Jw@pz@C00H00000082000002 +80000140030002GW080H018e0c010002822000W00qxzCSoE300001W0000408aV64XV043W +1bupGsJ6K_43000GEqFXtBO000W0001WH@P08C40W020004000maW0008e00410G44W02W00 +00001000I0002OzECoz63k100TwFJcVO0004480010000K010rsRmdO60080G0001Wm00100 +Yd@RGQlIKXV200G000020000i1V300eT7303z0OGM0Fiyk1Vqp00W0WKNO0084100040W000 +00G00051G4404HDW00K0JW020801W120WuW400WK0H000A8G0WW80G20400KGeWzA000W001 +00820000GWRsJ0KH1GC060400fiz48000a2G2L@R0000aFtD000020100e0WW00041800S9W +14821sgt08200W1018Ao0G0W1L0e2225P62109aI0400GCJ30Ymeb2804940W8000HIgsNYE +aV0100GJ@6000WF0T6_5XXK0a0600md@6K_k13B@0W082000002W0EdtWzwJuPV3040G0050 +2000000GfB7C8IQ9wttWBqJOQc400uL53G5P1K10G000e40A10000G000020040400e4000G +02WI8G20G9Y08mS300K08A20OnU30001200400041002YRQI0400000aWSXm004Gm0iCW000 +0CI0GC0La5W4t7P0241mbgD00O2mDT600280002221W00eW1m000G01007mP0200800807G0 +0000308W00W88000G480160W000O020100Cef4vxd0W00WLVC0002mG868s00emx4Awt0400 +Gf0m00GG00009G00000400501eaE30m00006000200O0G00002Y1000008m30A08g0W011G0 +023WIe08480A0I00G4210G0044H1402Y8W000GG02411m@@CSMP20400400Kyqj10000X0G1 +000G400000WszO0C010G1000G40G0P1m00e000082dqRGF1602W00400mk@60810y0V3_Bq0 +8000810400a0100GOp53g_F100H00000b000qIG200GJETL288240W000e882020G00W80W0 +0100W41000MMG43W4P1K1AbWO00810002W400aLk18K4uI5W10GF02000P2000001W@_J428 +WV1S4soE0Ca0070z0Kbh1G00G400urNuNL0005O0W@1u@@4000g200000_l0LpSHm3@YKLLC +fgg0mVc1W@B2kmNaP000GwCp5Z1m0m3F0yNLCbvY0m@5LDB03eAcCZP0ydgW7k51mVBgA004 +W@1000000_7000FggQ1OcvYWFy5nCpB2W@17pCJbg_7SXl8LgQH0YXp0K180m0eYW1W10003 +000K0000300WA02051O880e200G40m@@C00c00000C20e040G1O2W2m4m5W9W90J0J000c00 +K0000S4Y1GYi0gG1Z002y60000eAW9000N0Z800MH0K0Y0eWK1C0J0J4c0tC00CH0e0000W@ +B0uh7G3iA_@NL0400igg00G40OW@3W_@Z2PW0Nx2W_6000czl5WwD0@@F3u000000GFRdm6z +6WCt18i@SYoT3000mToJIa@9KzC6dvJINl9K8E6PgX100jwJcj1210mRwdKA@9d3l1u27Wlt +GgelJ2kMbCkJm900mYhgydT20012UJt30100F4PGx@C001000W0mvK6KlkA00c8@@F42W80d +cnGutFq5i14000oAt0000G06001800004GWG0W2G050081W0500VRs000We0WX2W8020W0Ae +uU9gs73mR10@R33G1200008010G1008Crg1048G900080G01eW00004YIwDW040C080A0102 +G1407pF10W10GGWW0G00G0108tS6s74ZScCWF00GWva4fN2ZuR00W0WfTC00028200000100 +0W10140120000010G02WVyD04001802000400200cqt0W041GW00oybXgz310Wa@@@X00C08 +TR6U4rW5rDutT30080Y440uBI60W000220v8C3040080010000024000000H1PGNG6yx@9Wc +L06xG80W804400opt0008000G0X0a810220W000G08ekNC00002001000GG0G4000Y0qEq3J +@l10088J000@@V240040O48@@@W0W0004040GG0MwF1040420G00W0000W1a02m808G22W40 +080G_rt6000000EeU_N5H000W0080008Vq9675Q0002000a000200W0200088dg4YQE7mt30 +@@V20X82000008300020csS202Y0M@pW1dD00e400W00002mmG04WI0H0802Yo4K50GW4000 +0W00G000H000200L1020000mC0z0fYL00WkQE14H020424A2004040gL81040000W8WW0821 +G08YR30Y0eI0180K2B8O0HGQ08020WO0GW1201200248W41WbzDOKqJ_@t000ftF@V200W02 +100Y00G000e_@V200m011182H00480002Ga80181G0G0G200CfV2jhM10G3WP@R1m_3GnZjy +2y34004400A0004100e0008Wljb0021Gi_IKif1x_V2000Av200tyJ200GaNRh0010Ka8C00 +40G2020G00010002200140W00020800IYW6G00WOcT3c5g500QzFPsInxFS_d180000W4800 +W089438041O0620W0229WW40051a400W8K20W000010O4Y9SnUB0zQ0_ogbAuP000W0G0004 +0G000008G0Y0G00eGV6QbIeRtJ0M00mD@a00084401mK_F0021O8F6G8000008G_100e0OSW +WF4000A004t90pFN04T60U_P0000yF0000000081uR@M00427IXAcNL08GL2yvF6_50000W0 +0W@38CS3080O0m0m86E3URt0001W0030618XvzL1aY3GdNg03000202mL_900021008OOl60 +W0G08Y0mY_6mT@10@@h1zt70000TgA0080020000001Gl@1uVF30K0m@lZDl5000e8200wvd +400092Ga000004l030800u@@00I81WxEJ00000W700VH@Ju@L00Oe8d@P2U@a4k3vt@J0jx0 +qq@FbqRG818bvkPP@pm7s6a8@F00UTReVcyrgOu@7UPFXNvV0W00moc6axlAW270oKt6000K +LjlntzOqFl1br@GUyU000W8o9LwndXpzJ0201mZz6iml1voRGoy6yRl1fuNX0O0W1xL10GjR +6tv0O00000G0W10mM5R1C00G@tm0W708TRLY_FXFxbOu_AgLtWexVG404HJdmC2r37@l1008 +0010XpsBnlz9Kmj1HtomD@60WW0OETU0GO8kMlALuR0020WKdPu7V34000qHl1JvBHT_L4lU +BWSF0EC5cm_D8CT90100W001uOJX2DJY0fEvKXAEKD1W000xAdGigC4Qi10AG0Mod700G7hy +J20W0WovJ0000HH_6yxU2000IcYt00G44B@dmBz6KSc40G808200aiVB1xvnkiC010GW0Y0G +QY6aFH2FnR040010000O100000G4g635jzmuhCCEw6h@@0000Cy200Bk62000A0e0000e04W +IA000I009emn_6G10000W105K008W80GK9000G0820KOF@D_bdXROL10m3u8@R000820000n +00WOuC04O2020000G803aOWm000Y000a0000001m00C01Y00000qV@V0002ou@L00608gGaY +ut000200400800W040G0220200O840W0f0O0G0100001004000W400101012GCz9yzl1FrBH +ePm000WB37I6zs00280biQG05600408fU308080G40020W04100900apv@00G0WhxbuhF3ka +_400ekhJ7200840410IWu08G08u510W010W80004600Y0W6GO02800Q0008W0082000j20W8 +0O30qOj70WV0000Wxy0uVxQL0Xp0CTDC001EIy@10u@@z2B1044Wneh0200000YeycR9fT6Y +yk2o00000aH4f0Z8I1aKa2e3G4GIWCcC0Pa8J18128384mQGCYCWQi81n8HAm8o98A0000qt +@wQ210eoOOSF000@30000LL500yF8ggQGW7U0mCpOAp@1Nu1Hbg220X74pOE80YNF11HLL@1 +zJAQlTGK2rnz300087000Njto7Tm4vjG00sEJAugOmFfWFI0lM0yMtLfoRW000WEub8PU6Ak +UZmUI8ePXMGV3800000a02Cz1000G0004W400CMl1001WAot00400vsN10Wthq4GwTV90005 +0W000W20GK@9qql100G00014c@V280G0wnt02000nnNHgvgKFHBXWpGEuIi5E3BD52000Ea2 +00JD6OYupiBPTDOl1Gw6WmBzRtyG000GS@H8dTURreNDO86HA4uv_60H008a2Epcu@hDi782 +0Gu@L008m9Va@lN9vKxD8HyD000@yGHWA5000000ET_6WnL0gPZmoazmv00mYsKjpk1JoNnY +uL4PR2vEB10Wie02iP@yScE@XsYP8aQ32eM20@F095GLa1gCwD3fQN1000e0fYQlv76iN800 +vTF5sruv6Kjd4rsPGqzdCgQB5rZKTxF46k7000mZXJe_NhuCU3QWtWp7nOoGI000000uQDBi +@lIx@gu_VAl@Z2y@d4@l9o@Noy@XhNTu@40020ipw6JNV20001000q_200E_Mh_bE9TVF00m +yT6UNDnA42000mA10BAuNPtX000Wd200G8Ocjrb4HSJ2000000ZNjazputFqNj1004040000 +00GG000GQu60084uXG60H08y3F39nRmUt6a_l4WIU0Qus90220RBR0080WOuP0010GVv9000 +01041mUyC0020OCW7k@F1000q8400kxF740W0pf@mZw9K3l17WR0100WpjVOEU3oUu400Agn +Zi7004mY@91me1GosWjBA600Y06ic400GWfc78000nd2z00WOGIvTX2000100m@xL8000000 +a08o0Wb@31yC0m@@B100GfV@70000_vu60H0080008Y00OSOFS300Cv8L00W0B@t00W00llN +10G008Y8WG4G4JLC400ImnUg500GXN8z000e0b00Wb@31yP3m@@B1I008VYA6pnc4NIG500G +RdQ1310ONKC0W0m80001e8YGj66KzFOdd_m@@900021010GleO000WeMtJ00C0y@FI010GY3 +MYDUIu@@7000K00002WD0G4fX0000DoLaYEs0WW48@@V20W7W70EOv@z0WW0aiz60003000A +20041O00muwOaDR2e700_@l80m40000WpBE1000C4100000Iy@F60eQ00u@0GBje200ult10 +W@@t00meTqscz@FF06S0M3cA0820JWnm@sCinT2@WdmwwU000WJV00m@@a01028GwM4100ie +dAt7x10Wt_LmE9BFLoet00004lBZHMz6C489@@R0WM5WlrTART3000020X0W000GU_9SqT2W +200cmHb@@DG300GzujS0m0vFx18W0000147md00W000480000G000Wyzl1241008204@l120 +040080SCk1rBQW000WLxP00W000WhbFEu12000000mTyJ0020Gfv608G00W40K7S9CiM20W0 +0cjnWWrDuZD3wrdXwrD8_U608000mF089RL0W00CCN5P_bW00000G0GrqdGrx64Jl1W0006g +q00Ga0v@n0100Y0xV8CS9yB00aEvFLW9X000018300O8G0K40000002O0mG@CW008ex@4000 +10002wvVF00SRrNVK0008014020c0flU30400K@V2I8000004X80000080000nA_FH4D3mo_ +E10Y0G0000408WUzD0004G2fI0W04yq_4AAD4000eI700Qst94GG00a40sHr000aW8044gIz +100G0JAcmFya00m8Cyge0G920KGKMDWGWf0G0aI20OY00eA00yf73G401010200009M33YiF +40d80@@x408E00WS0m000m8G00GW200101G20W@@D000e140000I00O0n04GW0002086B3EG +p3000mm700Qs7C0240lnb000G90G80dnbmHRa00Gez@@e0400CGM2XPQmZl94_f100G0UqCX +Ou81OT0m@@E10G0wLu4WW00y@F3000H00000m100000a006WKXE1000ZH00W@@T2a23Hem90 +4W2G900W200WSaP0410A0GWWuZK10WYsbTNzYQ2G0008200y@F3e80000WD0200ukkJ09d0y +@lJ0W_0S@f10RifW@@3hOT08P704q502_@d10W8e0000000920GY1000G4OX0000qQ00mYPH +130006020C0C041O0LzoG5S60C3008Y0m9D6q08900UF_@t9000e00NS5WuY0H0m6Sn100WB +W@@P0G5100c7GA20HuE0W@@N5G_60NBQMJujqfy3S000gE6cY1Qv@@40808q173RcRmfvC4S +E600UC_@@@@rP8I1600024fG514N1000EM300Nl3pvxUG00H00A2WWG922G0eG1018W08Cqj +10082wBaXCXmeyU900G2UK_C4000G010aiF6W0H4010W0100W0100W502m414G0044882000 +1100Wuur6000K100404W000002bvO000048400G080_@d100I000000Gh000G09AyS000800 +G0OoS3Ezt00m0CG0WG1Ge5030O05e0g00X0W02000GY082WG401eGn400m0yFZ10G02Ecs00 +0814002gl_XkVDWz0008W0WemdP_RC0002H01000W00100400W040g1001045V28100ANt05 +0000G0WMmd400LcVqRJs3946R2hwd02W00041000W0803000HWG40OG4y6010GG40W80G000 +0GaZmRGAo6CtR8W090ox@Xdn9vMVF00G0820402400G0X8280000GC0044blk1LDR008W808 +28ndV20086J300vQGo2z9W800uU_4_@l200038200400000G040000G00uOuJeCU3ksFXTAF +1005tY9FS5lG0102000GY80W0Y0010100Gm00@@dW800000GW8280Mnf5G1E0LRsomUa05L0 +Wg8n8HAa8080g8eegW801y@l100G4WG400YQG4W86104180009@EAH8kL0Q00u4G6Q1D4020 +0G4002yV381W00400880020CA5o2W580W4rG5004H000Y080H1SuS3G4018G108Y@4Uit300 +KaDodmYeCyvAFdZo00080000ezXp0002G0200NYxnx@F0cT08oz4c3ebMyzeXz400s0SBj1d +Md02W02G0008600gS_4000qm500YDKbwrLX0002001481I0840G010K0E00000500800000G +0e8000H0aVkA00Eq3eYd6mh0mA002008080080WGGG04H449G000mXz602008jI3IQtWV@F1 +uR3GOxp4plAW00400W0q6E3dxR00J0WT@F1000RoqFWG00OfWVO40Tx@0m500XD0eWUF3X60 +00ApBB1005Hnmbmoq6Ga@100006w00Wg@t00WcNCSN1G0e2W1W1WA0006000G000WW000001 +0K1004185008NhdmkK64zl70eH0I8d10420pQlneoa0WW20sO70uh72WY8yYuVYLS00vi0mN +00GE00000Wiw_700006S90Y300Y3mk@d000WAYh40Am0000y0u10m@@L000IM0W2A0000830 +0jzVoSD810WHEGUj010G0880OdR6Azd1W0000008Q5FXSSt00r3m@@O0G80eA5XQ5i8Q200l +QZnsC21401vrJ6Un9XsrJ0800H1FX00uElqcGoF660KGWGK0002W02801X0044W00000e000 +0208020100W0008K0100A50800a0W20e00W0418GZt9aaG5Wd70MS7ZWvDuPU3kcF10e0W0G +0eG040y1@3jrR0400008GW00X00aA403D6064H1L0H0YG8AGG60W18G000GX000000801480 +0822WO00K5E3Y000kOt0G0000088000G04G008000000kWKIejVCsydXiyPG0X0GU_600020 +00WGRU988XO0Y0200GW0SW4105e0XAA029210g00211600CH0000000J2G88WXG284c0W00C +0404G4sBt0008W0040ovtW2vC0W0080000000MVcx100WWl_J0008000W102000I001002W2 +00O7V30010i@l1XHR000G038n402OW80m8e010008000eG00800PwR0100200400G004000a +ll100020080Cj@30100MrD10s10TyZnKsCyXF30G086DNYVwI00H008100820000028HW800 +0WW8000010006000000G404010G020W000OG00M40mG0W800ytV200G2IsK2000Sg6002Uc1 +0W0WDTsIOuL000010048092100W00Y80G00W08A100880A0000G6A00801000W20OG2008G0 +GaHwP8GyA0GqTF3@6TU@mJz6iLV20011c4j2X0G400004P0002G0288010WW0H000000010Y +000044008200SWINCW0400900WlSPuP_4_Zd1GuA0@@@myz9if@3bDT208048G4400600X50 +CnV24W00809Wzzl102000000z5l12008weJY1_DOw@40000wB00eDpMcot00200RzpmF_CG7 +g45p0K1eKC0G4X2W03C0G0001P4044HAH02LO020W00K0GWWA0H1GKC0010O8ieK49@p0480 +WeFb00WoKCSFG180OYtAwtmWr@DO_V30002CdU50010088004H719GL4W40KWoA1G0c0W101 +I32414YYYgeGL4X012WG00g2uWB004W4100YGfO_D00001G08m7ID8DU60Iy0CKw320W02gf +2204000042yF12101HQpGCv9KBA30000ZusWtoJ080005000100000804G800G0KgCk4oYFX +ouU0000cL10WrYJ00A0GsTF08008LA30W20020G00Ag0JW40G10W000CW040GH000200000a +W9wPu0S6004080000u00W80008100XrR0008E0004XgOGOuI0A00ez@700Wd@pZ4ne810840 +0e008g80G000H400G848200e80201BvR0202W7@U8mQ30081yzl1Pja0O100W22W00A0W000 +0000XW000Y0000001znRmGg68001yz@70QA0STq6Zfd0Y2144000001u5W880O0v0GH4002Y +00040n6bmbxC8008I40G21W10040WNsb00400m8000208053H1000WG120010WtuDOmU3ICr +WAsb0000g300W@@J002WuEjOW00240K0000082G10400Y0G0W0Y01uJT66MqZbrD8B832it0 +cG300080dYt000008G0000040W00000aGx@F0WuG96kGQPn09GS94804y220W00A40820u8f +G00u8W10200W0KJE300W@50_8A0M10yG00goyV0O60WFY005_1GS020Cw26Wd7Vz30e050a5 +00Oxp0O0@0G4e0400W0gH@x1843W@@L10BeAL10UmZPcK5pCj80_RHLzNYggQOuR80C0K000 +e000W4nJWY060g0L400K1000Z0006300m0O0010300WC00Dtp0000aisJ0000Dc10WsXJu60 +6_@F1o00000aH4f0Z0I1a405u7GAW9WVcV0c800_H0e0Y0G1C10000uD@Pmg10ig30Gm2e@_ +dgCXF4nB0WoM086X0qtV00G0W7ud1W7wWoA30WV605K404GL0aNU0100OB800u@@40G0YO60 +000KKL_@900Oex@V3000_pV0000q7UapF000K500000yF0gcPGW7U0000OMgu9tBb0808Wae +P0050Gk_94Qy90t10_WBgXCIu@@48000220JeK@7000GiOb1W840UFdX3qJOC63000G0P00e +ZV6EGRZHgIuvV3W40000W0uzO68100CuM8PKpmUzXaVl100ip_lcXfbP8f0CMpcX@nCObf4c +HD1W00880000e0000000G0800G00002W60G2URz1W0000400008K4f06@@R0uy0We@XvUS36 +87300gW00G002040G001W80800088080000Ge000UF5320300200SN73FoY1000G9200vcoG +FpF0001i8V3whrW4RD001000100G1809Yp010WWQwDW0G8214G5JHp44T00011A060mo4ZA2 +P2241EWAm9208800800Y1080W01011mW04000000IjRk1f3Q0801010002800W1010W00420 +0000_cSsbOnM6ARF10e04ZLQ0211404220000WH01yql1J@R0G0820000004eG30H80arX0Y +YgX0458I0K0e4KGCW0ZG65o0S08X08WHkDm01mA00G044029HmG@L6a7l1400G000000G800 +000mU5WNbJ8@z40010K0U200W1Eet04020W000000i0G8G00G0000MWCsDOE03gCo0000004 +104100G00GUZU3W0001GAG0210200020G243mPG0y6W802O_Q3YC@10G10HlR010WWqUDGK0 +0mVyL49l1Xdd000800GW05qR000G2400000O1Yid12000e100Mat0821W040OG0610090G20 +G40040240W002aW808020000n002G000020O2101008CYU20050c@L2080000UQYWNbf_P8L +PC000200c100Y000GW0000G4208860G0WG0400238GG0Y0i001OYH000008a0001000XH086 +0x@BHb26CCk1020042u0C5S800W0wYm040102004Acq00W800G400040W000OqV6otoWwsC0 +C400Y0G000G400GW1000008GK0W8000001W40nNgIGpCyf794200_cs000G00002xTFX1xP0 +50000WW00Y8002204004000020001000XnyD01200400eTGC0400G3CF4IV500e5kOr9000H +2E0G11HLX9Y41e2m1c418041G00g8G3901e0Y08WWCEm8280K1200840K1010L50H000W1Zl +tVexR3cDm002000fD0U9CaUqJ00n0Gzf9G0000G00m176a9F300H2GC3Y0H00G4860O01G1K +ne412HL0G10H0H4fYCX15080H2na062013020Y10G0Wn0W9iYf400G010480000wovDE_t00 +480PIO0000120020G0000Y0G400aG088H004G0GW7Z@00Y0Wp0COAQ3UUmWq9D00G0000GXW +yD0G00H5q9iUD6V@R00WMs40LH200GqA6Cnl1001W00Y000GK0G00mg86iyl1XrdmPf94QN2 +0200kDt00061xkcGRrX0au0uLVL0004W0008QQ304G0Sx934080oMF1O000G010com0W000A +400o@F100084G000J0K8140008000G02000G0WG0or_1a000R@p0y10WdoF10800200uztDG +000000100004A000QCtW1lJG40X008201W00010u4000yNg10I20800m009W200020200W00 +8000W0000D6O27dl10WyoBrF9LY4G10GqYl15uOGpa9Kpy3RzRGW_9KbE3824W004c01G0OO +@4Inn0a2005FN1Wg3WjxF1C0020O742100000G800000001IW01I010a40GHZRGg@600y302 +e60s@73WEBH870WSM04B004tw103w2mT_3agU5mL9EeuA08kJ0Ydf0UTN00009o42000uSFV +ev@G002B000001S0GOuX43760L000KH04Bk1002010J08000Exz70100CqR200sk2rEamvD0 +04100O200m5m001WL0J020006100W2000S100a1O0sdB1000w2mTc50HE1H4m2SnL0W_A0St +V00m80mA01mVL1Yo0G1E9WI100s_F02SU00Hi00m00200m_@@@V00VtTx7C08N0u@@DyFC00 +00yb@10m@@9WP0000WYu@@90WlKubMFcwyXD@V8VV3cWs3000yu200ocBXp7Q9BPC_zt0100 +Ghl@00H0Y5uD000W0G00WA@DuBy4QRaXs@V00m2ul@siEF3G000sdsW3gPeS832xdXiUD000 +0GCm6SvF6p2B18c0W6qD8@VUcf5ZUpP000YGErIC8U2nVl1000C9100@@F3W0GYrdJ0080mI +s6qwl1000010W0014G022YGpM60H80W000mgv600800H024001000OGxi@0G00WpvIeA_401 +0000OK8hQ36E9XKtJeYS3_6t00800dvRmDoCKJT23_Qm8Q600141e8mZ1OE4WY3004GH5e23 +I0M342YG84440W4We8MG280G0G312H9ZAaAGG0G4442W080W48040004002924000eW00G8w +km022002O0058000YYX8Za400200Y00001000G01000GlrQGtvF0440uvm46tn0G4G0G0086 +3tWw@D04C9117030WW4G114GW201dfW06i0040204WCmG10C00HX051G6K8Y1aG042240004 +044000000100Z2X000WW2093O0000900W0O0A1000WANH0vDz4IQt02020@@RGgQ90008ufV +3g6BXkbC8Hx400800020w@V3W1m20G4214WmA0010WI2202120W000010X0G00020080040W +20011500I800000040bJoPO1F30000G00ae1@400asy@l1TlR000IWU2I0W00HA1CaCl1vUR +mcv900040G090A40008011nR00408000G08W100G8202I0808W400008200W48412C000W40 +0823008W1G0G0W8U9o0H810W0G000Y00140Oy@A0Eg0yzF6G0H0M633010H@zZ10C210C020 +200m00W000I130010014808000WWW0GW02000200440000G400Y0W0010G810Ox13EvcXu@P +0004lo10WeBnuSV62ycXphP0200mRw600G00040uI@6049100G00WG480308010C400G0G1G +8aV30Wa00200m02G18028000020G8oRo04120@TbGa_F00O@kMV900082014PtV3gYAXpsge +b@72Tr01G100000b040Kol104100400G6G000W0204000012040800H0020W08803300WSuD +0820mvxO0Qp1eBqDkbtWxvDeV@702000400Cb@40200SgW10040G2e23G4v02p0ZA24G100W +c00GmAeY050a1Je20Y052e80B2W0W92he0K0820CfC4HH4WC0HGH4GG010iYB3t@pW810Wy@ +bGC0W2410WACC00002010WqsJ0000400G008Y0DQPGec6i0c100OG0W00e252a21K2H0XK0m +0G8g4P4HHWW8D0018A8GG0G203Y6842XA440h1240420b1a202098404080I008Y0GC0yT_L +00mvCD3FG010Kh@3ZLQ00020Y01000H0UntWQzDm00GmBq6W05KASE308010008Y20WmAuCW +G00000eKC3IqxF60u80gXj22100KI90W00b00b00W0WuQvCqTN24G181eI000WK2021W00G9 +0082I000e442100igxU3000GG100eiU30010a_V2G400a210W400ee@4EUCXScgWn00mb@IG +60m000G020e800808G480W10Sil4FuR020004G00m00C1010G608400GW011C4004W820W00 +8H4H4KG0Ka0001e8280082003W040110060G00WnzDuNV302012G00ePOC00mK7YO5002001 +0890G0G1408080G0G08nVymG@600019e230G180K4C0B0G08281090089Ia00W00042X08G4 +01W00W48080000082Ye40200a84H0W80W20800W0C0240ukV3000Gsi760A60Erl2G000448 +m00000854JW000W00oxzbOy33G008GC8W208A00024Y0800a200000g100uV@4A0tW8mD02A +0Gy@60WL00008GTW9K1u60008j100C@l4e30200Xl0H086010041WEI4000q402Zd100G984 +00a0TIWO08a982GK06WERA400_YC004n0W@_7h_zF2SON8YN0GyX0qDG1W8m208b018a1hiW +2Sn50u2304TU00Xi0G010Y10Wt@HHl_304e20GneN100jlKxb000Kb50uVBoCpeYPc1W@C30 +@N4LLbOQt94Pd1000Y060Ym@5KbgBoCc1GLg2UuW7ymj80_RHu3000OC00G502060L0L0O00 +0K108HS3I1m02O6W9Y@0C0C080O0PDl18i5Wvzb0061W2u205u6uAmRmP4JWx8006H0KmO@C +G70000WE200u80KWt0e0c0U1U3OYy3u710mF205yH04m1082CG0n792O0Gj@302Q00vEB0Tj +B0WO4WUtg096OWBE106w0GaL503@B0GP0WGnD0@400kQ0voZ1000EX200FvB1p00004WYK40 +000dTcrzC@vRGFb60008G010m5_68000OEF30000D4f13vRGIvFCCU23TR00WeqHeV8rS32o +CXlzPudR9AWM200040028W00001004W00020W0100GrBQW0m0000W0nzR00Y0WAwg8wy4gZB +10000W6D0cucX1lb8UJCMJd100204000VMt0W000020G00010800y7B6IEt00W00LdR0W00a +wrh0002Go@94LO2e70060DaHDz8BT600002400081000000G0W0pTR0A8000008GG04_zrWv +NCuEE6_7LYorD8CQ300K7jZE3f0RmE4Lihx3TjpGTx60G028p@445001X00o45W1404006e0 +00055C1021004104001004W00GW001W20WW0P00030280H4A0000G0UIt0020001G4Mkt001 +0002000kG200000020C00Y0080W1kdGMn60000010GGpC64kZ14C0100W00014G10000G020 +22000G2000a000044002G0000g0h4HK08I8W0LIW0m0081BHGOGA940m00a2080C0b01C8GG +a08AA8041002G002O0W0011HW00m0I00499000m820X080401388000G88W10824000Wrb02 +e820000G000a02Vt00600W0G048410G41OiU3G08G1WW00W0G0802GG00100G804W0840306 +02X00508010G000114H80a02W0014801nWAY060k08E81WW0018D0c080reaWA800GaW1G16 +1412C0i2K842G10G0aeA0000IO40022010K0400008000G020Y2202280041280G400000iw +W4W8Y0080W00022000100008010002GGG0W408G1000W00W00408G0WW4040O240ASG00101 +204Y464000G2W0000204010104GW00W80m0140WX0n0800002410XG09Y00n4180628200W0 +08001GStg12080U_s000100860100uG090010G8W040GG2eW000_XrW8@D0A000mV7Ws@DW0 +010240YEnJ0GW0mP@600040010n3@94vl102000040HW004mY0204G000W0000W9804ioX1W +C810048200C3W028008G00WCZXO00I40000J0G480G22W04o4080000C2H000W0000G4G0I4 +00000Obe90W800Y04X0286000eE20200G00102OQI6MOt00080NiRG@A608088zV30002011 +0000010WW040010W000001W00Get@40X0400041100040W00IGGa000808802611WPW000Y0 +00000082W80G0000021G40000002H8200W810iul10G2Yhnd10Ct3NyR018c000W0xVp0010 +eUiC8cH3owa100100W0K0009W8000800Gs_6G0G0OQ93000008G0G0002082WnZJW0W00040 +0G0WHdqR0A0040001Y0000008200GujE600400802ysV3ozt0mzQ00820GG4GS19340002zp +08G0W@dR004Gm@rD0G0004002002W8050EvF10G00WGI0okn085108000820Wqsl1m400XG0 +001800890GVQ6G00H8GV340449400000AYC0200000002GG0000700yTV3004CH02fGW0200 +00Q908082086jqW2rD00001W00eExP0041oz_6G00u00o00020WHlD0m004G820Y0C0BAQ00 +808W04G88850215B0I0GXLD48GOOXG004GW0H8NG9G00W00WAH4b5nG10AH018Y80W6L1280 +mCW4101AC041uG51IW0088101H006KH424061W9W4gSW0W000GX0Y4KL40yC0QG40WY40W01 +00G000Y0mfqDG088K6i601G20YWY000M000008210W1WK104020200K500eIW8240I0092G0 +400H120098A00AZY10O4n8000091D000KBEG8J000G02CAMHXP1YG0G80W000e6G4Y0n00G0 +CIL0G40J9W0G6H00WG08G000W0WWG00GW42510GC22140eWG8000Wq00000410W0W0800248 +6bF1020L0428080800W24GO012040A020O040KC012G04121481q000N30mW800004H04000 +X20I18G4148m2WW000WG100ikL3098000W02X21Gj@94aj1trRmFS90000SpU3000Gj_V208 +90Q4n0X2000005ExM2002GtvR0W0001200l@R020GX@@D00W0o1x9005W4100000W8421K00 +8b002000J0esU3G04A03000240GXt680008H0300080080200KLhv90W0000G2mSuL00Oxzk +V3kFN20I01HtRmr_6000GflT3YEmWNDC00O0GKr6ydU20021003O8o00W8W1C00W00C0WzOP +W02000040WGG00A04e0W00040WIG040G54802800440080ufV3EOpWzUC0082m5j604D1i1_ +4000GW040eiJ3000C800G0000801000G10DnR0000G0K00dSb070WY@CD0042880000E0000 +0704K01IW40408008000400G40018000AW040400G00200040GWmG400W0022W0001WK82W0 +0408Hg1rWT@P00W008400GG00000OaE400006eyV30084ijh1XZR00080Y804tjRmI@6KIk1 +000e2et000W8O000000K820400880002WL_D020aH3@6axl10K60_HdXliC04S0m7H64w@3H +n@0200000@20000ctqWLoP02000a5H80020004W27t00GW00480cmn00800020002IYW090U +A@4W000XaE102hGd0KO7104u03AYG4tp3CY00YW20WXC00XS0K5U011LOgg@XJ0006w2GTA2 +08610oHEWa30O3mi000003E10u@2ugx0000mtT@700mE_pF0_UJ005000vN100n1G0k_Fu00 +0u_F0f5M100GL_70400000G0uxV0O500580eCWHBDG041W0S7S02Ey00y@X1500ymN81k400 +LWI0C0I100a200W4nJ0L060C0g000O000030006300m0O0WHiuF0000iSS3o6t0000a8B000 +060004040G080W0WSwDG1000C000S1C0u2u2W0m50001000C000020006010C0O0S1m000m5 +1006000e90003G1060A0@0K000kZ0e0L70mK701u0WAJPGG508WA0miA18E50W0740k003Ou +7cX300IC00zL0K6L0G0L002PG03i24nud2K60OmF0OqV05000000y3ae000E0Az2Wu00m300 +0ey5k105k10000AGc300yCcXB00000F7a0YQU0yyp0gAg00000ow3en@4G5H0eE00bvF1gm3 +0G02mJ00000G0W0L0Utx01500Kqj@DG080mLRFqoD3204001W000100801000200200NwQmo +RLCal10n60QztW9sD00W0q8sO00W0OlQ3sBZXFzD8Ei7_1t00500@qP00800G000W0I0G1W0 +a8k1040GIwtWnYJezy700W00020weJ3000WwQ00010190100900W0020H000qZd100G8Uvd1 +01K0pXPGoO60000GW004020000WWLXOmWv60000vOO3Yuc1W0089zR001000010fhQmGVX04 +00O5T300SG20000103O1p6KbU200G0MJd1000Wj8RGawCClY19QQmUs9ijU2W02100404Md1 +dlQGNy6Sab10400wZt0e000@UQGM@I0200ebJ30lD00W0WOXL3000W0040OQ13Qb@XetD008 +00400Y9fD0040000WaleIu1_408C0g42H00G900808aW0008G0gKn04806WeW0W0010m1G00 +000480100128091G0000040400085e8G8C2KWG14920088004W418030mX3C0m55818G00C0 +G0000m01W01100m0880800K0000a00041W0000Wm44020G00GW084090040040041001800A +21O040044080Mhk1G020mW08H10110m802WIGKe2028KXW0CAW686G9L91I0W10Y8WA0441H +8eGK125980051W2W01e0L411y0p006W0X041G600001864GGmO40000hGWHC2G21000vj00H +2H34a2GK01WX088G06G0O020W903Y0WG404e000G30XQ8C0YG00000G8Ae604020820A4200 +300041GGG00602041O8C8a1H20W00G102mGXW06100CG2HPGO0G168GA2PW8WY0Y4CW1Y50X +0G000KW04011W3YA0054W8OW4I1HW84Y034088YEGGa0m3W5010P2G8m0PWG40XZI4P00W00 +00_mA2M8f21YW1428G888I00WW00O4005GCH48400Z8jaP00960084H010000D08AMW00802 +00021212WH4H0G000X104WW000G021e00WO0g4G90050G00uGW0G00400X0W080000210OK0 +20010I80HG4a14A01C0W01000W20402WLlCW80G840028GA0000WH2000AG0000082002G0X +W000GOD04G08018014000220W8GH0GG00228000W0800040011G80000W010008002G004H0 +002002098a00002000060X0100120404f80141201000041001820000041H8H4@l10G4EH0 +0Y0GW0200000482W0008200Ehq0800820100W00A50YG400090G00480GG0K0GCG00000701 +0a00000uI00W041W0aIe10010G020Slk10080C000W040080Wm4H60W0820GWW41020G4O5s +d0083Yc7DW00W90000G8Y0W00H0000GG18000001005004WNwR040G0G0000202001000004 +08G0080WlGI044H00G0880040010W80Y0000G001GUo686v4fFA3G010SiX14G00MvqWZ@D0 +08001010000W810010003010W0I0G5260mG0GW410040010K8000C002800148F634000020 +40WG010000890Gb@P04a00400G0800smtW5rC00002108eW7D0G000G02004108200424411 +028wS3C700cHY10010005G00000A080010000GW002000I00010uWV30X10G00GSpS3WG000 +003CWV3000Wb6f100010W0000GG40W00C9200000Y00WMnt01400000404008I000W00004W +4H00008800414W00mC5230W0G0000G004nhA602000GG00101000wc00WW0G01019WX8G008 +G22H0800280W0CHKg730042040802000020001000GX0000cH808q3V20004Rqn0000E0b80 +04141HYGYG0W40G484W80cfA6C4G0I4C8402Wg08Y500GH4045XG9A00G0642000W0Hi41m0 +bvY0041WW0O60LKa0q124J00a1GGCAG208000Oc30WPc85459KK40Wg00G006K880eWYW04X +uG24G0008101G240000I00G801J8002eg4Y08g0W8a400Y8G0G21Ge200i009040G40W001P +01G190W024OL20045H4048Y1K408080A40000G0HG00He48W4060080202GW08G02024334W +G78G510W0P000004W8H10W088W0a40K40W9K4K4Z00ZWK0000Yv5H0000C400W4eg004MZXo +W80A00200OW002X0G91G0I0W008aG010112e82G0K2K08e8400YW8Ce1029Ym200f0002090 +064OH2Ya21A21428m80030CSB34000Q4rW6lD000e02004G1WG020X0008rcb10G9H0G0801 +00ApU3U@s00G40JQQ000V70W100G952fF131000G10040085b00H000004000G8000000a4i +6E3HxQ00G6WioJ0GYG8010WUSCOy_76hB10000000ngnt00a000002cTD11KK08W08020002 +28O0T3000YSxl1W9802@tW8qJuyV30000X0049J@400200W10O0u7UjtWjpD8GE3_otWedIe +d93kSd103000401020K00000d0100W10X0B00W0W400G102100088G010u11440W06ws0800 +0000eD1000081fwV30A20Dhl10080JhtW7dC0G4020004GW000004cBqW7_JW0000W80WPAD +0043ma@6800X0082W2840008aV5a02H0000108141W00WKOa1K0102nt002441GR040HG0G2 +0GX002yp00G1040000044CXg100u0_Jq0WG1001G0K400qwl1jbR020GWZrD001G04000W40 +0f7P0001m@ZIG240m3I6isz3dWp00WGWX7C00GO20m0000aC@yR000W2e0000q4800020GH0 +eCV3wsB1W8B0e1000G2000002b00Ge_68100000WaL40WyxDu8S30020qIl1Hap0I0000a00 +0040u90010800G0GA0200r@V0mlVOHr@mR@wXm7100000Kd5W@O601u0GO3RCmmhm6h00wB1 +0A700NS1000W0in600mC8sD0WdP0WFv0GEv0GP0Gc2AJ0o_73O50YG4Wz100WvZm3m@J108a +1J0B2G4RVmLL0W0L0sdH0e250000GKtw600iB00000oG0wsj0y@V00Cpu7Muf00p0ix202e2 +WOoC0dSI000Ug000cnVW000000300e8mP8yD6000O0G0K4W1G4G5000H00XXd00C6G5G5OC0 +0Ww5q0K100e280W1G543N2000Y27t0w080m0q1e2W14EU2000t080O0S3G8SS3I8dXivUWE0 +20k0T0O0S100m0000XB0eqN0WbFg0O6m6B0yFp0WGl10cr30Kw30WY280558G50Yl1t0z7W0 +400o10_aZSY3mxWIl08@V1yUc2WPL5m9@3y@r7o9@FS@F00bT0w7000F1tBWL@70000wXvV0 +00KmEe00ed0W8m000C70inc803CYu6000U000000G00WW@3uPF3_N_typz3o1000030p4Gpb +60P4Q000C2XG804160T_dmTI6KA@3NJd0000Y0kC8Kd4Q0mWLgV00100000KN104xWmmWr9y +Ns3PnLHQuCK2P2Jrcmm@6000G404200020G002W0080W00200G0C008010G000Wm02000000 +00X8AS3QkZXDxJ00GVJU_C000G0002GMG6008000020C10abqIOBj46_F1W010zNNn6zCyeI +2vhP04W000408JzR0002WNzPuS03wAN20Q20jUAn1v90G00A@_7gm@XvsCOCz4UzbX3rJ0W0 +4u4l6KqN200G000WW02040W81Gcy6iVm3B8PGf@9GQ00ebz400028000iH260060KPN28000 +oW@Xy_DuMX708W00G0G0A80005400G0200300G1qe84008AI040240W800Ka8Wu0G88C2000 +1W000G040W20GW00X0qxe100e088W0G0018zy400y42W020Ie001K51284200G1X08000C00 +W00GWM6800111W000104520W04001H0KH0QmI8180GGGAW009400G02W0B0G104G00X000e0 +0W004D8X02eGG01C3H00XWG02YWYOaI1Z0W00LA14000a8HK0A3W49G01KX0118018Y080KS +0nC8mae4O8873Z000b4u83W200I8288G2H88GGWHf4G9000uVN180300GLY10W204a0H4K0Y +10WG06XW0GG0904g20000W0880c02A200A020X1W0261000000e8S081000a4102000m12c0 +WG019404e80G5200WCn8W0L0W12C5mW8WW2GG4e8W122WOE0mWAX410WO855M000XeSK007K +H0202g82008fWeY80a94eSH5XG00mW4YW221G1A04G4W0Y010W62o1480006@Y00W240eOXX +016aHW085Y3000WK80A4000801890008030O1080ZA0W150CK40OeG214G4C00GC4W6e00Gm +n0G00W0q8QX50n8GeGI0O1110A00800000WW0G20G00100G004092GAaH0G8008840100W00 +WO05Y0006500K020000W005080I0C08200006G40000G104100Y0G0401W20yuV3008KIW22 +G0e02G4440001W0040OH000090404202028100000G00GaC@W10040004130012I114X008W +008000GELpWU@D08G1000280GG40600W40804082002W08200WO0G000010cDJh1040YW00m +00mu440WW86000241o400800001GW00e0000800800W0200W8WWO24G4000GJ3J414Y01200 +00G04228WV3WO0G800002002W0a3G00JOW200W0O008C0140000122404WW80800WmWWoiIS +38G000000004OW0X01000GY000000GW144120W222001m44000Cwpq0a10Yz@RWG80WKzD87 +M34012W930000040Oc004e80102chr0490000WerD001040m0000008441eW00W984080010 +00G0Iqv600804G0G11W00400X00XC00008Y800GW00904000008022dut002m000001009qo +k1@fR00100WW800W8068t00410C34ZgXtWviC0000XW0G4G004Y0GWEDcXwyDuPT3004K204 +00060e8W0YlTD8G93800YG000G402Ggz6G480u9F3000G80G000000020exrDW4000400200 +G002200001Krk10W81000W1001OES3000H004141C00Y00000H0840YE1t0900We0080W0G0 +0X00000815800W80WW00G0002110u8d40YG2yWf16000008G0018480W9020208200I80408 +008M00G00000H000040800020H0000074m002G00440022001GG0080100008040100G008W +9W8G00X4Y8050141A205041000WYe00WO2eChA44X8YW3000H00WYX00MH201WaA81021164 +422C05ZWW002LeW85382284I4H600G0L98HK1000ZB42024CWO086909IGe01Y081Y0eIH50 +8H82mX48XXGeW10I57WG00HWe14m04GGW0A32c4Ye012jW801e41ObOW10J82W2242001Y4G +20GK98012801n00GG4016069688A0o2WP4enCJ18A8o00M0000K821Y80B0GaAX041f20420 +W544HWH46XaGC2YWA80Y0m0609000YA2gGa24W00C220e0Y0200WS5Hm3o4O0G98G010KYW8 +4W32uGK100GK9W84042050n01mG10aXGu8Y60Y4WCe218G0G1W86W8640i8WeW030400822G +W0m0GCWG00W42HY02W01Y00300uiR300a0jyl100W80001bKF30G8000204sM2NcQ02000K0 +001wR000G1W000r8P0GR6WLzD0800000G141GIlRO00008A00avHpWG80WnLP01000G00oZZ +O00009000GA000XGp00420006000C0EszXyxDWW00GJ19qxl10003hcpW2wDG0e10000220W +0vnPm5@900H00001mm@6i5R22000G6000O00u6E6wuFXoUPeeV3041W0W80520000100G050 +0208YdDXEtC0WH0020000008G0000800H010uGM30000G0W0100Ku7m900O4DIS3084X8000 +010G01aW280I2mG08M_F10G8000088000Fwk11snGTu60004eGJ3A2E14r088GG2Ycq00Wg0 +Y00G008000G060008a0XWj_C0400nb26000GGE001W06gfGCm00029300020840IG1084000 +00ew1mz@6W00100040000K222WXWR0000G00309@R00600004400000290qCl10200Ic810W +008000000a00a0edU3a000000O00000801WYYV8XU6wTpWXbI000WoV_60010wQK30004000 +WKU0000WW100000G01gWt00G010030oYpWlwD0CG0myz9000a8Jv4004004085001mbz600I +8400aWm30Kl2002WAn10800K8i00K7e0O7L0G0L2WPGX0v00pWy7o1000y00@JV00Xi0W@@W +2qNn0NpJbk20Gd70m2A0L1V00J18K5pWN5LniA10Hy20U000eYh_0Sd@1Gb@vlU@J@z2W@k5 +Wt10W7C20o0Z0GiR0180GEPK1OW1m3B0Wg10Wg_20pC742004W0x8W300Is10Ql@60L0Wo@4 +m1dv@V1O000GX0o1F1WE06vWRGORRG5018GkA0O0O0K108CS30Jr143W4nad00m0K2W1W1qz +G2v9000A000m5000303060C0T0O000q1000070GG50000Gm6R00048Yx0mN@101K1W0K10mU +61GnE6O028YN0GeB0W800GE02GS0Wyg@3ep_7@v70GnD0ih20_@R0CtS_1nT11PoW8k308Y1 +04000mySr10qP30G518H41HSpCH820FT00mh_0wN@105zvtV@ZSo_dp@50v@B0yzI0CZC006 +06DoCK0000N00G1t0W@q700B404JCeW7M2G00YHz00en@4000G0W8080J3AytWC@Duc29000 +GSMF3vUpGfj6qOf1DScGkuFyQF3000W0WW00000000220000G420@Z@mQuC808100WG1G010 +0800piPGsRC0G00K000000040010F1PG_uCSG_301000G9WSuX1Dbd0004miqD8qQ3_6@XYr +O8nS60080KdU2000105000000_dj7gG@XZwUuUT6IlC10000000O5DW0qc76BU@mgtC00O48 +Qz7YA9XzlD0000iG80YqMC8rS3gYtW3uDO4O3080WKZd1vjpGJyF000100G2Soy9qzk10008 +scF1C000ro@m9T9Sh_33td0000K0002m0GH84800G40000W8H06004000A0GW24GO0040040 +0401000X024WW8GW0G0C04Wm00A22G0010G0G01200H40111224004G022W00G804000MxG0 +I020D000Q08800100L08GG0G0W420001G15C04W8400810W00088014048GW0e06168aG08K +Oe10W204mWG0A58G0G0W00W084000W00GG000YWem004188ae04b0Y1MAYn002800G010042 +0GG0104018064K0neW4a28822Y0HHa1W28110KG0G1XGWK84W04GGH4X0AG524P4C0OW8IG1 +8mW2000WHae202K5040AW0GXQWW8A01WW060f0A054240G2000104029W00003YG584IG0W2 +405W8W20W20W02814G0GG0104000XW0W2G002W2g500408204863YWWWmWGSGW8GG0H24OHG +0M20058000Ya0G1K80090X0852eK98am0C1A06G0YC0i8GEGWK322552X2G423a2168Y1aWH +0G4120a88W12107a000mt5YG13A2020g48C0EC8Y24G4K120v8K00GGW00G101000Ga1Y0W0 +01461A1EG18H0LX2Wa1802075iGH040I0W1mA0G0I8WG100G024A44W00H00A00200880011 +41WGG204X09d8R0WG00018040400004CZj1200X0XK00q00ejV3000GS0e14102C068X0A01 +W81qB960CTm00002610G020GTkQ00880080KW0024L1004X29pV30K1080000W100W221014 +1200100m080G500012W00000480A0G0400084000Y000a101001vhPG@W600WG41O0000820 +8200000W00822H0ecQ30K002H00040000GG0C008480000140e88GW82040G440uW000mzL4 +0080X04GIWG0060200G102000W00880000eW010000AY000XGE8840001P20808040G000J2 +00010m0W0004008O0041O00280022000001G0nW79000G02100Y00480Wa000000YYG80040 +000C008800G00800G4000W820000o8W2G00H000002081WG0UPR30YO0G008W000002EJ4C0 +0I1820000YC4002K00WW820007OY800G000008aH000Y0G00000Y88000W00009G04G00810 +402000e8m4008GW0000002400YWq8W0200010m040W40001W00e0102100QSp00060G01002 +000JY007G8C000001040010H4104nj1040000G400W0000Wuoa60000AuD307UW0210W0040 +04800W00W8028G4002000048000021404HHO084000X0000W000a00020008G0000c7kD001 +0000240890W00084008000448012H00G00000G0X00e0W0OuKw4G00882G00008004110000 +W8W00010050W000404X000010000aa0000W800W800H0040I00000u1E00G000uVE302X0a9 +T22081143G00004G0G08100W882000204W410800C0010W0W7dC00000WW00M00000200022 +0280GK2m2WWI3aG8G4WA280340O28H1a4100GA8828O4GMW8151OW21GG0CYX000e846KL51 +00W0P001W041802AW216808C0AW4GrO82G8CY0gG08G20008yH4A0OGWA0NK01Y6020X074X +G20GK804L52G0G18eG8AAC8G01c146IG9Y0GKg02G8OK08540A805111I1XGW6202W42411A +1O18044541G0A6eC80a0i23040G2GWW0801A0O0M0014914H008me00K40W0L0LW24MeI001 +C10m001000GS0ZA00YHW0C490OmW00H8KDXGCeaO0W0GC1P0W805bW24000Dg8YGk0HWW00a +K13Gfa2W1W050E0001L408Ceg2Y51W8G2eGOG30L0aGWG4A8001K0n0GW2Gf9GO0a040W1WG +0Y80WHO81X00P09me42b08GL000G18800G0Xlb0Gm02G0YIW400000ATqj1000OX200G0W0W +0000W008W000G00b8B00010G1GW0100000f080000X0G0aah10008uE00EgT2008p0048K0k +1FUbGOu6y9k1xWR00G00W0G000W00001000GOSV3ECF10460tyc000G0008W0a00G0000G20 +G0000082008010000G00020000044000800G0000m000W4G010008G0020000H08000m8000 +2000002E0A4WY8D8NS30G045bk12100QutWcoJ8Kz48001KvK208606Cd1220HW8044H0G0C +4GGK02000400WW2000088W000G000GY8G000Z0008020klt0040C00004y04019023m1GPx6 +a0b12008k0t00dB0o07080004tX10203UTF10X80021000000401009000GCWjXVuWM32M@1 +04000K4200G20W00G200C8010G0002040HW8120We004aqK@6e01008200GZ04G80000000H +eWWW40OiT30002AHb00040100408I00000WqB00iii14060W00082Y0003mG@@6qBd1jmR00 +004W0H2n@RmlZ6000000W8mBv64Ml10089E2tWSlDW000W00004G00mO7000000WL0eYN3c4 +t0Y010bnPGkw6W200080R22W00OZW92000snnWV_C00maD0W000GW8Had0S0010c000108W0 +00aZl1LeO008010840e000UZs00W02FApmMq90002I00Ag0O@VGW8CWF2z1Ri10yT3W2v70t +T70G51_4HS0W00W1k00aY0eiY30Aw703udOiXDbL204vM000000821W@@20mg1l0o0gzZ2WA +200550010002fl50x@304M0tCmFY3e0KzV00qS00Gt1OaP2Gz@3C14WUtpE04100180WA00y +t@1ui@1000WV@Y10000Ot90OsL0Gtj0gYAwwFy0kRynLt102830Y1000m000W2W003090N06 +nc19YR0p040O0g0e2m0o1F10006020Z1O0O0C300W50002000e900WB010L0A0C0AtR3000G +f@0000O000e2G0G5GAG70JW3300W00005100O0K0W0W1W1020WKwmGr1ul@3mTk3ukMWxk10 +154vj100R04WgC3swh3uP_Fq@F08700Uut00200WS0y5GHXi0w71e20We40_LV0AGP0_N@K2 +AsfW2w3Hy200A5W2000OGI@1e002GT@Z@7_1@_vET@B0axM04X8082A1yF@0bx@nztkZ@y30 +tV7Wt3F0mhQ00GPge_@0WIcfN@1W0K10P000m0EW2S00100G3NL82A0W0I105WHQd0180W0g +t8pQ600000C08e@C9008090000i40GEt9iB93XTRmaZ645U5HaaGiuF0W0W440210W020080 +002800002W000G02m7r64Bk1ZWaGpY60G0040G040H0G00XW00G8004Gr6W1208012W0000W +pa0400000G01WTfdG7w90G8WePy40802000G0W060020000GW7RR04000020WxIQG8s94qD3 +Pg9HMsIqMN22000042002G0ukz400402G200006Hqw60401u9N6EaZX1tD0010002020I00d +UQmKC6000WO8T600W00G042W01100040104000GcRoWMADG001miAF0001wmR60800K8l106 +0001020020CQU303a0q4u39pdmmxCSsU2FURGF16W040efW7ohF10G8400004020C3Z1W000 +0W000002OiU3wAmWExDG480mwC600040280040020W8200nOEgt08000000ez908aFl1Ga10 +403080200180mBg6S6h10G04W0G00844011W0018G0C0820008080WW208DV3G00W0G00008 +0mPz6G014W60801KW00e000G00XWW80050W0080G410A00380501WW00044m0YG010G02800 +WG5H9048G0O440450440020W000m0W00YG8440A200240000rI8005W012G45G080080K002 +8eW0i01G2000008a00e005a000G04GG20W040W0W00108800G28004G700WW4G0G4W0Y8082 +G008m40040C0GIGK1H020W200G08Y00400440828810010W00GW002m02G3400G00a00011K +08G8084G0G0W0K8n108000881061G0100000W05W010B8Y00081420180000r64WW00010Y0 +13E80GI144m0201KeG08010000X4W2408eWW00WW04G00W06G0000080W401022W0WC00808 +4002140010001102G40080C0GG20G00W080014810004Qzq000K0W000gVt00005bBOGQk98 +GW002G00120G0000O010WG00A00010I0040W0100GL_R0n100180W2004Eas000084100004 +04ul12000000880W01G1W000W1G1G10110W2000040512W00G0G0002K04240000X0008000 +405XqMn860C230cW10Bm0W3Ou@@9_lVZ@t7v@yLWlqu4c28aebP8xU3ES_1G000WV70kT6Z0 +1OObSCglv@uV_@ze@RVw@rh_Fzh@FFx@otK1000oWECC5h100K0IZ360C0000000Zp0qk3L0 +0U0m300CwV2B6R0W10WZ@P0080S60CC@f400WF0D100000rP00l2O3WLyiCf03005KcK0LTT +Q0010WZaD0000Ktu647U20800100W42G2t4@000100G00Jfc00E4WAZJuC06AUsW5cDuJq40 +0G200000210mlc908048WY7001WG204200220W400000e0W00Y80G0G000001000G208W000 +000a0W041000W0018mGIVW0GG1014G00008100QTs0000Ko200Ufs0W00W0000C400smy302 +00IGD1GW0000180200STQ2b7O00G0agfJ8SQ3YBD10G00W0W0Qjt0008295O001WW6im0001 +urh6abL200SEZRF1WG805fQmPZOqTj1dq_GhjCCsk10C00W3001G0018000022WTWD00200G +0WW6nJ0084200005010a00GYoc104082008QGDXKlD08h1mlt6ytj1NXRm_s9aWw3laQ0H04 +Wt7COJR3ohqWnhDeW2388Ae0GGG0W080040204040100080G0G80We82X20408004mWe0402 +5004W2080002420BYmW0G200W8104m0Y0m82G41G08I0G088WY0001100004hGG020022000 +W0m020034202CH080W0000G70eG002220WW4000K0H0WW0GH0208020W04a002WG01504000 +0400e0200G01A0G00010W0410G00GJWI0000q0Hn010G6WG0Wm80IGp4200000W0200G0684 +8WW03012880B0B062G88WO206818W0611e0G0KW0W04WQ004H45284158WWG02150XI00001 +48050011K8eCeG02YW080001002Y13efGY0W0000064G4G00LG002W600OW881IG02b084I8 +5444410XW0H18Ie220XL41202H4b4YCg820W00i88H0OG2G040I10020g4m042806nW90004 +02AWe0G4WAW824W8KCI0Y18nGCGW0G940f0eeAO6meYmGO0Wm80W086e4480W0bGK803486W +m2I1m5W000GYG14W00HW2e8e9W1W00W130200G86GWWf201802G004G108a02409WWf000CC +X0020J80108P00W020A00000G04800200402018W1242AX00102H21H10W0G521L400G0000 +0A02400GW0000000W40W4W00W00020W200O000G1O0000090000G0140000108G011G0860a +5600481W00014000080000yy30G10010G00408W0I240004K820G440003H1G000XG101102 +208W0000q80020g000G2OK80H805c002AY80K44A28gI205G18f00q0X004S6Y1080G0100G +800q08W00000600000e800H0XHW00P40161G08W80000m024008A00808YC040920W0211O4 +000000WG00008208I2060G0000000mEW9020G80100GGG882G090W00Ge_z4m00WW0I82124 +00O200I0008000W1100484800W4W0020G0W000410000G0usU30010W082002G0W00048808 +20082010000000W1Y000G000G80200H081C012W00418WncJW8100W00900400000b00088Z +410Y18020G0ICW00004F50W02C102H0080cliJ010A802G0000W020W000m00041G00000HO +081m04242tt04040810000H4ybi1G10W8200aml1G001Qmt00108202H08GGstl100200200 +0000400011004000B0001O900W00008040100000W00Y000010080000101G0GWIYCmN0008 +00W0uD81U3410080041002080010W44000200800080e0V3016020aW0000W0W0WxvD000Wm +__6010020WG800320000W0880008020000WW80CGag5C00088001XAzD0J00010002010tyc +0240000K4Y0W000W08202004000GG0000000gt1W0O000010401182Wd@D01820Y00I0W04W +042001G008Y0004W00201021ZFR04c0P0200@wR00C0WryD00G001008400006G204020029 +40W0A00K80KaGKK4Ymg8001Ig11YG418W0IG00008Xe24K080a0KQ4X0400ZW008424X04L1 +4Iu11e428KaP4LCmO00I01G010000eqE0K2X80K4NWW018AG402G4084X0O2MOa08X8049e1 +21Y105MW5M4YHA4H0K0aIH0040WWK29XAG08G4420JA021GYKW800050W80088GW05W0g8T4 +0KG0Qe2GPKG1f8AAe6i4GK0C2G00W000086004b0n0887HGmH9L50YG044O408D0G00O8h3W +WGL040HK800GKW0W129O801000W1OC565XH1G1000XKXXG4611040K4QC8WY84H408040O04 +40Y22041O8W02I0LH0G0WW8000e00G6400DQWL6H08O08Y6218DI00050W020000G4W8202G +W8G418rQO00G4WMuD0004YM00W5SC0WA1mA09KsU20G10Jwn00400004508L000m0gKU300H +08G00G0A10000B041000G0QAo00090E0000000m80086d4000G100Ge1_7001000I000G000 +0If0TC00W00I1008040W100Yhd100W2rrRGdz9iNk1K000sBt0000080250000040W040008 +90WtxD00A02000A4000W2O00000W100u3V3e00000085000qKvFq9K5W400W000022000420 +00001002820HG0028W08H04m0000GG4009IP000GM1w00X8Pmvz6W00X00W0000502Gc1A00 +49WWG00IG4W40000W14X000Y00H01W0GEY01104000KA1000G4004I4asl10W0W04000C00I +G00121020005G0G000Go0002G000W00G0W00I210800G0200200825u80Bm1y4401G444008 +00000Ga806IY8422G000018G40W00a010040W0G0lwR01G0az_D0090Ojx6S6l1G000040G2 +G0e000W0H0m2YGKWWIe40000Y00000mAqWI60420a9K00006020840004G00W0045W480000 +8040000022000Y80H400WG84210002YTlRW4800G100G00A4WWe050W0G0300G0WVxD00084 +100WfvD000m20000h000bBO000G00G00O000wso0G010020801000q40080000a1Wl@D8x23 +i20008P0Om96YwtWJxD8s_400A8Kkl1G0080000G200000000m000001G1000mF000002W08 +00020002I4n3000000UcX08iGf0O7Cu704YF0GbT00JT00IK0H4001LpZV@2Ww05Wyc308WG +00Z80100G48000000uv10tu30mg30HW12_@FH0p10e000HC080000hUtD0WGM000R8yl@02I +t1Kr10ar3W8u6W2_FWNy0e8O1C@70K5k00C000Fg0GcP0m@r3400202mFm2F0GuV0e@k0qTt +0W8Yi31400L102M000NeR0C000O080m0m0W1W100038503000s1G0m0O7WHA06001000A200 +m0K0W1W2G50L00WI0008F03G5W003WAWP06000p0000000sTJ5GY01O8QW7Y2e2s180m083m +5W100WB200aj00bT10F002GH1H4@3WA20zz70svA0AuC0000Cm0@0000G0g0Gf00WLY10v08 +0gyFGO30Cu20K@l001L0WB_20uv50H0030W2@T30@K10m60087000s4d90s@70rzFWc@V4G0 +s00p000o10Rm003A404XX8Cu0LoyV0W80Sw@0Q60000W2GT@xXu00z@1lg_B00hM0_@l0W70 +10000d10mTt0Wg@301S0Wl100000W04W0101000CG042G002200000fcbGcR9asR200W0_1W +XTvJ00e100G00000aO000ocp00800ptRG@R6K4t3RzAHJS6yLF3TtR0AW001000GH08000W0 +0900G0002000G0G4080CK000S9S200W00GWO20004602e050020088001WGG08G0W0W0a010 +0WaoC050000WIV880000G800D000W0OrE30400000WOoG30008iyM2t4P0020W_xCG01WmOn +6008000W00G80WSuI08040400000W8Rjn02GYX0_VW0014004802011jamAV600208QF3wsp +0m7F020000100Toe1ZDomvn6aKW1x_NHgV6ilN2Z@P00G080G0001GI04000G0K28G0mQZ6G +420Qpj7G0002H000010080400W010E00W02K00100G02W020000000G200m000000oj80000 +04W0G00008MZoWPdJO6X4I7q00G02HxaW040008010800800000002000uXW9005G0022114 +0000e08I1G0WW8Wa10000W4G02839040004WQ180800c240WH0410WW00104W00Y8K04W02G +0004Og08GA820W000I0GG4o0Ge000000NJ82120oW40101480W81O000W0040014eW0g00A0 +A00e00C208G0v4Qmj16SIj1084010G0qZe1d7QGzX600041Cme201000C5L044202GeWG041 +811e00Q8W80801YIf50100G1H020310448W87240Hm281H00G0AI822W944008Y00G00E880 +4a0B140004520000SEW428e0002nWG40L24800Y2WGH09Y01G210n000018404Wu000W900W +0G080G0080010082X082GC0208000G002800480O0WW815KXG08G018W00o4aWW80G00O610 +1WI1068A20J9811G0Xm40GgG20we480160C080CKH0040400010GW42W10W0091LG804J04W +9200q08Y254euB3GS00000W2u0n098K108WMWYW1D3X80G22224X8268O0e1160W0W2280W0 +0020WGW86000a200800G000128W00W00018W008K130040G002G000W0W0GA08W0W00038I0 +01101GW00G000104W0O004W000K1GK0W0e0080Y0G8c0ce4I40a0m0W80YA000I800W01084 +D00G00100G14GM3r000e01000eUJG800G0I0C000W0000G004002100G0W2410200810W002 +T8010W500008020800100000aW0GW0GW80W000mHO6G0I008OG1I4204000201W10X00WGWG +G0000P402010WWW80W8W000CG001WW08H2Y00G050004HI40Gy_33Qoq008200G60Upq000I +4e0100e8Wilf10A200G4Y1G02G40O4H200010109I00KW8000300O00001143W04080040Gk +Zk12041MsC1G00Yjiy080801009001W000410000802030G01000400W80000W920OW000Y0 +H202G0004090WX04100WW00C200049G03W000KO4Y0014HW0088WXOY52O0008UV91W82008 +0W00084080Omgx9W00G004401420G02202P00W10280G00G082W004H4Y0810Y5r0W000I0W +G0800W00G0480GGb900040n00W00000W84G0G08W4Y0G4004W80008000204101Erq006000 +06101ZG45l10X001020KQK2GII0800000GA3GW0004000W0Y0001G08000X0W04000082008 +00X89_@t00G040W0080c0CWQ20000030YqUQ20W8000000H04W0080008040G40020000G08 +000000Kky6yOg1G420w5r0080000100W8O0001080G2000000mW5hQ0X10e_JDu1E30DW0qE +d10010024410201000e8000O0GW2030010020H00W0002000K004VycmX_9W080000I08000 +G004jlO00KG80CGWWOAG00e0Y40G4h01X94WGH4898HW28W00I800K90e211G001m4640W10 +01H4282eJWC00200m100W81GiG1Gh80WA06005102WpKGC08000Who10bg41810a8282YWWH +SW001X80G400GYY5AKgIa848WY1G5404H028KX8800P0001GC280X080W08u5F30G401G4YW +81404008GWW0008I032J0G14103Y810824B200G5G4J0a004Z0Y02iOO92Ln4WG4G4C3o1WP +W01Z5m0Yb0He6O00X0HK8IA348G5616W80004LC48YuX4HLH10041G8000W7M0J00YeWWHKW +833XO20405I442XG4f20G0W0100n0ZXC0eAGY22GKW02W804e082YW0000XWO850K000W104 +5n4X200O20W0008500I008444K000G500eU13000A000e000GmLg6y@l10G90G8000000w39 +3AfrWmJP0GA0K0S60002w@V30xE0I100000eKUHCqhB3VnQ002GXsbDeYD6srr08040VxcG7 +064hA30820_qrW@aI01A0002109H00G000m200CwS200010W20000WCAs400G00Wo00000Xw +XG0000qn0m000000450dWPmUH6G208wuN3_YDX_RJu5D3_@F100110G40000W0100G002I3i +604010G00000404WYW0102Lm200G5av@L30G0W0W004010203W804004a08e0618W90G00HY +0004010W040000Gc3980p0O051D0ZeFC040Wm8L9qBj1B6R00402040W01W4wZqWV9D002W4 +W1101aGC8800kzr000040AX2801100852n0020GXG0Wm0dnP000H000010G00W8fA012a400 +50040G0Ge06X00401GG811W00W0Ya4800G000G00GG1000412H008000GrB28C0W0G44GG40 +W8f2000W000W00091W0002100WY000e00nyZD000c80000001W00G0aW4mW02000001W0013 +W8005W00E021e4022100600WjHIekF3cgoWZZDW000000W20800Wq40sVAXuTJ00G00040mx +LCuNv4000m07A00020uLm900448cO902080W4W00000G10e@UP040000G28Y00000102en0W +Wa8030W1S0m000W2KK@lw9kO10qP2WUF10K000S10WYuEA3O14nT00KK0Wmd1WLM3Wyp3eVw +6KuMD5eF0uzV003K0OW21uE@H4Kr36Tm7Kw504nB04100mFf00GP04W_y7BW45YepTi@N00j +k08Y20GW32WTGX1Hq3_8U0eu60EyF0800000W0W@@00000IsG100003O000kB0WK40000xGm +20000mAWOuO0W0eOORI00Z1K0K16300850001o9100e0000L000L040O0K1m8LE300000uPD +000OC0003W1069mLX0q1m01I8bE301W103028BE608080m2u2W000WB0000U0G000eC00G07 +eK0WIbC000O60mMD0YGV00WL090LKbf@1KH0G1d2Wgr504K10S10GP_FY3WTSF20W8_00w@1 +Gz@101EGjz@71SW8WgE0mbP0W2000tLW20YWA0283YWHNCLbC4Xy0GMo10Xu204a50087E4W +5GhZSmmN0KMl08@V10oC00H0ur@C3v0W6HS70Cm000YV0W7S04H4e20001g30000mVh2m040 +00QRsWgdV8Cy4Y3F1GW00HhQ0008WJoI0005mKrF00Oo_Qx4_@tZ1vtucS600021G0000GW1 +0084G0060000100884400400HDu6800000W000040O0800GIG01W2000000I2m@@9021W000 +1W0200040W0A00X40006010080GtE6000220800G00000m05OOmQY98000020000m0WrqD02 +040014WCND8JV3ELFXZfCusn400W00040SOz78100CsM2riR0c00WknJ03W0mxw600010002 +1000Kv200G10WcQoWlzJOxJ3grZXPtD8tV363FXa2C0040GYuC020100002K8000020G100_ +Rt00040010G8000i5l100001080281Y1WW0u4u6iGE3000800040008000A0020000hx37ym +Ay64RE3G2000W00aUN2NZQ0204mT0h000082G4G1002490200W0P2400G0GC0G004WQ04001 +000A0e024000Z0008YW8ZG0W01H1828HW00GH8eW2fxeC00000Gg238202AW01WL80Y4000q +PY1005O0Y00W094WG050fGH4WG4000018X04G432cTm000080W10ZUt0G12000480G000100 +100I00G410002Y2G00A0808002WX0000KK0288405XWCG23GI04C402080LeI2f28K05WeH8 +4G702GW02G80XE0G00WW48YH0q0L181004001040Z261QW008QKS0201W0520GY2500WGW00 +0WOL0mI0800C8G1XWG4G1a040022ZG41W140Gm008020001902WAW2Le0C28029W448G0000 +2WW2e2K00WW3082040G08140000122G4G4WK00000G0G528W0304O0C2G4W2IC604020LG0I +GKdAW804WKH4GH3W0O00128WK42W05G00P01P58q8801W00GCK6Y5M9W0400246W0Di19800 +Cmm841001K000GS2Y43001W580W62W96CuGS13C8s4O002082421Xe000G0G120401L89g82 +048013G08W00041212000421001W0G02000W0mWG08WG0008W0000400e03G0024WKW40e00 +1G050G00GWIG00084X40G00021G480400m20048A04IG106G0mX00I000i4n_R0S0000509W +000W11C08001mX441000GpB00G6H0100G3G48yV3020000GG0J0024G000000G00a8G08004 +00010W00004200G0050Ae0010G1GG800054840020G0WK0G09a00W1100010W000G020080e +m4a00Y00000W01200080WH0080aO08gS3014498000010001WG0280W000Gq000010002020 +20HW0m0Y000000000nW0000bx1G0n080GA0GW0000600W40488W88KY0024W081G00W00G40 +4406m0400H0000W008G40008G000W000410PAQ0G20000000082k3o000S004280W0CSxK20 +WG200090W08K00W020020O608901O40011400045081001001fMP00G0GG0W8W1G042O000H +8102G8400004054I20W08I00000PY104YG02400000202400G000200uRH60401130000e02 +404000G080W0C0k1rOR0022400410000O100020G000HW0080G0G080Y00102HG850000000 +1ufqCW4880000m_jD0W2X0000008G401441WWGSDj1nAR00400W0G0BTR00550G000XJP008 +08K0e00GG2020WO0W00018W0H4O8100JyPGAdCS4T2G40G000GKaY1NoO000410G00G8220G +4020Wo0W20W0W0WsbC04W0Hrv6080GG00410W0G81800q00W00C211D000004100410W0W00 +8W400080eKE3000m2GG0000G0002GW0000820EHD1000802W00G08WeX00000080A20410Fq +P0200W1vJ04Y4Kbp9ylb10am8e2X592092h130fc8A20060C009012002GIKgI2H0WP0Ii0W +W10m0pZI4001Na0g291HY0A3801P80WSfA0OKWWG42018Cg844XYG00XHX100000EFYq0001 +q8086H8GH0In9412530G8kA11WG28eY4CYig62802810D8A8eeA0G4G00G0000XG4A000YG0 +00000Y0m0GC20g805WGGW0G0000300WW0b04802009XAO001c11WG0A66a08L900m055M420 +0m82Z2W228GL0pK030004F52W8A04OGI4A0ge8G0e000001W00808OLG880g801Y02041W18 +0008C520Li4g0GfGG0n02X0181I00KM0000WKC2G8844G0000IeIA90088GeW0000406OW24 +100080G000000H0002W0W10G4W02W000WW0Y8q04H4G6nsWbiP000e00I2WaiV0f00000000 +20G8200Q@E100GGPQ@00840000Oy20G2VJ20200002027tWTTPeex7gwn0004aDqb000I108 +200022MDF1W100pzRmfX9G10m000W0000B000YBYdGwu9iIE30300W00000GV60K0mKE9Scl +1200880004Ek1LszGPuF0G0000W10241W2gPW080000000G8W04021440G0130n00800GmLf +O0W02W0044051000400005S1h100G848000020eFz4wnt0m2Qfm02001G00010G01400W0WN +@D08000820004W0020000Y00GG0G401GOa60040v_z4EzmWJ7D00410W000003He0W12Xr00 +000Y009W0HIiua1010000mG064Y4G001GI80008I480XG0000WWA208000WO000X0W000WG1 +a6_h10H000X000000ty10W800WLmDG00008020048YG2020008204WG00amhk6004Y0800ml +n6K4832800000Y00G0Ga00GqK90804PvO60G604Ql13KbG@T60040400000m0Y_lPuSB900O +a028004020000Wa6C0000040W000028W00IhF100010020020akIL2BwR080048GA00200I4 +t0aO8008X24Ww@B01a11z@FGnb501L0mAh00oT1GSn100301LPc8Sn8WY8080P00IH0m5008 +E0048HHS0_74K104V80y3008i000_PmX8CC@0KH1z10HG1080000k2K005H2mT@XOsx3xW00 +c960x_B0O@V08W00yx@m328WJ0mJj@3GKg20q7rR0c10000uvW1000Wg8_li100KG1G3F08W +864Q00yZA0C700fVRG4u68000D2y4IWJ2000602053@s3000Oql00o2mWmmz000K000WB000 +606000O08ivl1f0a00G0G1e3W000GD000e@0Ot@10Asf7HF2KsY2YF408e20W010_@l0y@VW +i@@W8_k1Or1Ww030tG105S60283KWH4WO4sGuZ0mI00Wjr30Aw7Ww_78eeFs1f00000OMb0_ +70008KgF0zjF00IH_n6s0007eAS10X60W0G1W0E00140YwgACqb0WWA0GW30GG00GP02mztJ +90000m100byR0y304n0W8W1C000G6zzj45cO0028WSlPevR9AmyXypU000008_CW0xVuMy7G +008qzX1Nfymcu9iiv3000G00400044000000ZW8028W40G003010000G081Hv_6W0018V330 +100040444000G00000G40G410G0Wiel10a091000008W0000dL0000G0000001420i2j1ZW_ +GRw6CRk1PWR000G02400ZtHIiw6aj93rXo00010000400HWg6F1H400Z@R0W00Y5wC000000 +09xqHJOQl7kSF14800Nk@Gtw9KFk194y001080002G00002Wa01eW04C010W1040000W04p2 +t0000WzmRGgE6KPE30002G100arl1PwQ0G00001A1loR00X0Xq3g00I00000eXuJ00001G00 +00W01t9iX100908K8G0P1WWY0e000a8m02H0008K000G0W5Y00Y004001m800040YK0008K0 +8I0030K23K014001a00m21105000GaY0KW024W80aFoDmJe0040c00000010G08G41800G0Z +009013YWYW084H81W000C0008C0001010G0000W008W00000004A0021000200H0000YCPl1 +15RW0e1AW6a8418KWW8W02cW20C0010I006880bq1020X04D4GfY08GXeKa0W0G0O400I884 +K00eW081H4G87i006040I1W0KL010C088G05K0W485O000eq25j0Y2Y800K14WA008H4X480 +00450W0100G4G819W06KK04802250hm020GXz6G004W2000100G80WC00G1400Y0G04W0028 +000G8IW802010KmWYZ8YH00W1224O4YC0a08O0C90G4C60K4G10962LL0Bq0W0GWMO0e0H2W +00aX50O4488OS4HHA020K002GZW8EG401IC0846WG4e408M26W000eyg8578Ma01088m0208 +mIGHG402GP2G1G6K804HW1BWA21E0G000Y10XW00010W0000800180e00080830H00O0W023 +2000WG4W0W010002H00G0W0WOG0002020365230m0240W0802080W000G5W00088G0000G00 +2Cu0000004W220000o04002W002005GPmG021000000310O84W040GWG000002000Mb1100G +W0000800GG100GuAF60040008B008W80G1000L04043WaWG00000120WZBD00000W00X@ZD0 +YW0m7a6000OG0020Y40W6rCO@2300002G0O4W0W20860O6004000_nt01Y000040G10G0002 +01002WI000Y0808m00G20W00Y0030e8091IW800W8000WY103Y010004040G0O0020H01G0M +rl10202__FXkzD000WGpb6820820KG0008Y3WJedf40000010WiyV302010001a0408K0001 +W0O08000G40020aG4GG0101G000aG4WWG0G00e08004O4W20HIA20000000J0282W8sV30eO +00000G4T860020100000484GGOy@l1O45H8380O004yDS304W000BP3OG000080W00018@mh +v900W0iHK30418004X00060YWaeINC040G40G00GG0200mC4W0000AW00008010031042000 +00C1yYk10G400160000X0W00W90080000GG200000vV00020IW82c0C80000W9dKF148000G +0G82W000P0G001H3S680028s66000OMdx30040400000W1ytS30004800GW001mvx600W000 +00C0Gq0G40G004Ga00000C80O10008IWevD0W001006008002400G10GCik1004y50G00080 +OgN30008082GC1T380000W0GSq13QCt0H82WvGd0080aQLb0G0G2000G484G0G0I0010IW88 +20uW01GGK255WeXBG0G0284WGG1GK0H48Aq0IWW144G2LG00He2A140I4W2Oe0HG000Ge8G8 +uX0b4He16e01AGI0W0n000000AQG0hO44492HA20XG8g2H81G8e00W8WG4c0G8Y00XOa4O0G +D0GGX0G4Y800180CN24A03H0G0000H00Ba000810W2007sd0000H0C020G540WY838451W51 +2H2a800C8e100H00800CAcm8J8C45KA15YYG040XG3WGPmG0CLAC00GaG3YGD420JWoOKb10 +YeG0860541482HKW58egG4GA6000WWa20Y8e0mG80KCIKH0GG1oW01YX8G2084890492I00n +2400gY40414HWg8W0G0082W00000441m@oCq2l10000088008800W01008GXqkJ0H000010I +00000K150900000KudU3e00800001G0GKcx6C7l1fhzmvu6008KCs13grFXIwD0800000Gfm +nD00G6qFu946U20080Edp010W2KW02000ILEk1W0880000G1088Wf7YNCXe2D00W10001WQU +J8yI3W400W4000C00Gq@6000e0000C00000O4000000C00y@l14300000W2004000a908GXx +NJ00A0GDw64B_3jPO0W020002100040900W01eGHK00Y8GWNQC000W00WK081HOe051G80W0 +1GS2Y0e0u004m18GG0840000iiW1Fap000018001021W2_t0000as340kwt004GW0G000W00 +0201GG00800082600080b64c102200082gAsW2sDGG400W20aTsDW60400a008I0402080W8 +000II4G0W400800012840W02a20O01W20004GY0a001W4Y0G100W2040W4000WHWrtPG1000 +080200G00Y2000W0000T502000600000W00H0040G000W008a00049a801W01X05200H0040 +G2Gt060G40uxN364c108W000004028W4GG0010100010c00XeRm4e6022000G0W600000X4N +pR000e10010GW0HUio00000W0A0YVF100W0@YdW40000v70G10CEsqWEuD0G0000e00000Ye +000AYFXgrD86E3sOt0aG800812T3m00W6000W3021090Gb4200MPI000aH20Y030n573GmEY +0500G10W2u00Wu1Wl@1gV@J1d00mg50cXB0b@N028304G6O3ICWDKH06H00yC30C830@vF0_ +BFGWHNC5EeWXk00IW10C000KUo104nT0C@z40Av03Hi2ep300m600200n1G02G0iadyStlZ1 +SL10Ri2uU00000800m60ryF0W50K600nd@00_700000yV10U9r0g080fVdGYR6000K1e0e8G +5G5WA00PnbGWS6a0U5K1m023t000SXvYN100mO0002020C080O43t300105WC02000n000WH +000I200a140uD8ZWBmF000N40A0000000GP000p00h0O00000u@F0AO108m10Wm2G0aH0aeH +18H1W0S00G_F00CG0_ZPgtn@GyX5G010W1500Xm0003801S0SkxF0A208YU00wi0GE000YXH +40W4Z08m1WoTtW@Vy16T103k20Yu205O000006O6U0003WBp0m0N1ugT300w6mks5K000G1j +tj400WT100000g2mk02mMu6C5E3LENHB@600002100W000WmjDeyR66_t0000Ga100_7FXV@ +J85yA0000Egf15Y7IOa600100401GG@60004igV300002042elS30800000G8pS3000G0400 +ALE3_p@100IpXwpmHw6ipM20000400myXk1080000050W08OIz4W0014vV200040041_i_3z +_QmI@60041ekV3wnk20G000080VNtWOba0Kf2GxwRyxT2NjR0040e9sbe1_4_5F10003nxbm +OtI000G0102mtv60400G000Gyx6Cch1V1omju60000Ik00mLy9qlk1dycGQy64fW10002000 +W8004G000Iqy6yYY1Drd0400eloDW0500W8014C240000X0G5H000WY8GQqe900GWG08W802 +20G00I00040WGOGWWGW0G44061000480X0001GH01W11Y4G24G10002084G000iMJG0G100W +840012008W0q2W0100080000I40802S1e0W00K00000K05400m000010W400004W40W8WY80 +80W0G0200W0Z00G80001_YW1000W0WGeW8Ga080800G828000GI080Y0024G2210241GX4Z8 +1m010b1WGCA4aeXeWA80G401XYG00X10Ye028L0WW1084W0340IW4W4eWWII0141W4000umL +0K00e05m0nO0220WKW00X00KT02e0W0G4C8AW0G0IHmeW8050k002G1800G106Y2421egs40 +0W2yFl18G00G0600008020002XO08281GWaG0O0002181048W0GAGA1400GG00478000Z26e +000A280G3XW1HWW084820AL4520YGWLm04m864I80421bXY2mJ50C0M84Y004IGa1000Wt0G +2G014KOG91m40062S4u00eO5040W01Y408G504OXGY53250K10258402W10i034000040I00 +84008W00401000G0A0040801G600udV38000W8C0K042000W0e00B00G0G10G000X1010000 +G21G08043C012H800O08H0Z00Y420W000m0G008qzj1V3Q004G48W020X40000000eb45040 +0142W0W00000C0020010001m0008GWC80e908U_t0n0104004000080aGPyV300BA0000220 +10400G0082rVR0480004494a0000148200Ka0G00o04K0G80820801000K0K811W00010010 +8201G0X0W000200000G60O4008201001C0W0100040W200100Y0G00002008000a73Go@600 +280a004H0040180RzRWW0001000G4W0YmsWUWV0100W004WsEbOS8300WG0H000000200801 +4G0YW00G40G0H0240200GW820m00N_R0800003G80004_Hn000040020010010GW0000202J +0010000WvaF1400100WX00GY00041000040W841000048000010880Y00m890X200Q0004nC +00008I00OG40000WW8028DqA0400G040002020002G008JkR000080G10e00011o4W220040 +8KMs60X000010080424000820008G81W84000010aW01H00tXR00Wx8WG000G00004Yqsk10 +GW8kKs020W0000G0008040G100P002001002NpO0010nAbDOvc7G008W00800H00m0020G08 +8010G00422418R93G004qOd1ZlP0G20200000X00000800WW1081X0W0G900002HY10030W8 +000G20G04I2000Wf04110130YWG400040010038080W2zq00002e0000WG0Cvh104600W002 +0009M03URLYtpD001686O09W02nGG8K01G830GKL02Y00m04n0aW45GQ0GCQ0L0Y00e41W4H +05X20000X080A0K020mYG103DAaI14WY0IKaYe2e22I4K0YW064u0WG000O5BLI0eA8W84WC +402A02W022g9m0C412081W0G0L400X000O0L0WW010e120m40014I04Z802b90020082082W +04umhF8000WG00A8WW12YWeGK2IKX0ZA208620oC12a00W22A90H9084e6X05X4X0412G0A0 +4G60a00e8W4I906WG214008n2324G80a040K15HG20e24G02300008I40210e8YGm2G3E800 +CZ8X008O80Y1800003W01GAL24mCK40Lg42H30Og00YgY00G4001W0040410n00042eee7G0 +00G809ikL90000200GO5S3sYr00G4G0020c2FXmvDG20G000002e00BZR0001Xd_D0qG00G0 +00a300xZR000KWNtC8K96004000400020mLvF01b0uiS3Yim0000000H48400000WwOL600f +0qVF380050000GG200G00GQJC000W000IHWaCG000W2100000Ua10K9fp0801000100080kp +BXl@DW200mX66iAU2pFPGgY9KQl1C006kyt00G020000002W00100W0G40001OKa100A000Y +WW028004G10WW210G0JuR00011004G410WO804X0000424000e81q0000G282G0008s50200 +004010W8000GGGKK0a88I412mxj900480048KxI600100088Gus6OG0YOfz4000WZW20010G +W00W80W000A00G840G00009W000YK802009HP08020GW55G0A1081g2G2444200080000839 +wcGHt6CBl1e400080Wque1WKA80000W042eWD30G0Z00080W10000GGGi400004aK00Czl10 +081a4008001G0000000200K0hlRmvJ900094000201000840PJmmbz6000I8wR3Yct00A208 +1000G6000002X000000bANC00A0W400003400000Ge4000480000f610WZwP0180400eX4oV +ODn4gpt001W0000IG888P52a0m000200024000G00GO4086aUH@1uE@3W1@pj2q7YFWFGhB0 +0hM086h0WN40Wm2W5ee0X5Y0yY00YW20O00082S0OuV0eioKWJ78Mn1Wl_3W0G60GGE0WzC4 +lhP0om@Gu100G10y4000MLaS02G60Utx8Z808W0FG1600s00W3nA00600@3Fa1P40uXkm_@0 +G100uVB002800HS72m304i000Yd6ZSmh8CS30WA020g0b0K1e00085000nYR0T040O0w0H1y +001GJWA060T4023t0maL0XZR001GH06WAnoPm_w64DG5naR0@0C000_100W1000I20000828 +900mFWCIa0@a89G500W200e0J9HLb84f08G500v90AB_0Ut@00aeWmV@32gq5ei50WVAWVZG +0i@F0000mhiontP0S@F0wsT0MzV0eC0y300eK0@30000GY2ml000WWe10G010002001a1180 +0GC202G60i2000001G0g006@3uv47mL501S00n300W200UTNKXG30KK0W070qCF3OcP000p0 +m@00W@1nC00000C00xNOG9SC4hj1vqp00W0mpmJubI3I0dX8@J00WgMab6qgD9@RJI2s6W00 +01AW0n7@F0000yU93WG00A02000000002800X07iQ004GW@sIehJ3MGn080000sP0kLZXkmV +OO@4004880000108m2@68400etE9c@FXikD8gS36Jd1W000LhRmr@90O01Pz@4m020y@F3PU +c0000OS200@aJIKlCigk1fPi10800GW3Wx781W1G0000048020011Kqk1000WWG00ykk1xdc +mLy90800041000Wyjruhe3U62ct01900tCdmy3L4@d1000H04000G02G00000G0A2050W0G1 +52020004080A2000G008100000018241048000Wm2Ke08000G90CA20104100e001100Y200 +WWYK0I8000AG1000GI00o0200040X0e4300000025046040A0000W000031W0vhB30280G20 +20001040408202G1H0sBsWjzD02W00Gq108000001000G101Y4a00541000000mK044H8100 +H034m08000I4008J40W01Z802W800982011111e0G0019C0X1009mO9K38aKQ00100XW004G +00Q10W0000j7X20048aAG08000480e88G0bG0CY800G0eXC8AK0G28200K0200200104W008 +10e0al@D00Y2010002001W0HGsLy1GGa0G4c0W178000000YMC084O32Y88H8W10GG040000 +S08142A1041c186H3880GWW1h10112X3iIC0GX80G420e08m1A04W00W532GG600LC08000e +K7A5121GAO000m82G00000AO4H2G5G508082340KA4aW50110W001WG000010W4G0AG01GW0 +800G000W08041000882G00K005Vc180W0ElsWg_D0080C0002WW0020000004800e0Y00502 +0WfyJ00010260WSYD00e80400XP_DWE01000083G0000G0m0000SC004000040A81040G0W4 +O00G01X0W00000405W2WjzRmOh6W302em@4W2W1lj@3FpPmwQ9GC000420IZ1600800G84mD +@60010IG002A82H0804000102440002W0W0mlm6G0000280e188200220010G03I00W00000 +g61800X010240G00000002008ok@683010010W800430GYvMRmkG9W000eh46sItW7aI0002 +020201W000G40W8240C06009G000008006081006H010G800080a18b3bD0H024200200220 +00HW9G0I0000G00401000W0Gd@R00Yf220G000W882000G0004482W1044000RdO0W4820G0 +W00010WP20002H00G42000W001hBR000W880O4o000_3@10G11800AW900002004q0W00800 +G004WH8UOt0000A0001G40001G00W0000WW8W00G4080004O200GOaS30W4000A04c01W006 +492000uNHoPp00200022000010800eo930u40000410001008eXQUOz56O000a8E30OG0G40 +02080m486GRx60G0010G0100G408CGY000000200W00G0810G100W44Y000W20IW100AF530 +1000a01200WOGV64uk1e240008W1020Ga00080K0G0004020822G0W1804a00044W6wD0G20 +mnu60W8Y0400GVD600W0ecQ9C0021901005C502K2O4HG0UWO808A806G50Y0YXvfA1Y1Y2G +658g008YP24HA10GG82Y0a2mOA1G8WWW00A244J40XW4Zmag02H00804WW8W0000000q5LH0 +W0IO0WK4HWa840G331eG4082WC2G4P4XY20600m00020OC02G0X4001n008I1004Y8g80GCG +04200004X1000410W0G0mZr9000W298I1XKjKm0GH818H8YW00G10nWW0809O80m80000G84 +9q01G20o4A2081H02874ba8G1mW00S02G4WA00W504e200H8010OG4P6Xg070HG1AA3000iQ +000AC2We840410G0bG08Y00X80W5240H4810eg02c1A3o090HKG450000K04021uft600024 +400020I0W4X6G8meM6c10002000YktE1X0000W00G8000080e3y48000000Wimr40000roj1 +@ROmN@90IW083S3EUrWPlO00024083000a2hYdW8100000002W004GA1000400e0000G0a00 +K00100W0I1500000G_89C1h4xiRWK00WCoD00A4500040004000q6xtWiuC00800880WWVV0 +400000dIGG000800gkB120000c00MmpW@VP000800G0G4G000G300000G1300XG005400QG6 +0fyQmPlC00W0vDk4cCp0800000G208002q00OHd4o9p0220WW8110K01802We@y4sCrWPtC0 +edZ4021004LWm0G000O00G01007000008G0G080440K008G008fV3C00000402004a000000 +4H010A000L8cG0uiH3ArB1000WbrQ00AXW7@D000YGxR6022W0840X000200C004Y00I0900 +06100G0AW05GW8GW801W820000000XWu5x680004A2000006mW0n000HWW02Y2GGG25808A0 +00M052084001G80A2O8S30401q@l10H0200400eG08o43sdp0020WjhPGu_902C0ugu7YuqW +RlPe307oYpWctJ0080q0z9000W6L4W0002040000G0aMztWc0D000aGVy60008W400008WI0 +0A0Os410W0100G0004610Y00DGO04800QapWlzI0d1uFc301BGn3_X__zelO20CuI08_f0Ob +L10o804OrHV@C2ei30G07009F0wxV00aHY0Z84hud13H0G1E3mPX60G0F0OyF0_JLCuIH0Tc +0m030040002q1008Qt0eNz083zXu3EuX@20GH1W0ZB05qN0uYBxVzLkdkC82C0WS000Yc100 +00000G60mC0@9@0z1000mO5nm5IYROWA03060LGK0O000008WA000GH0006050C0O0K5B730 +G501Wc0A0C0r000e0000d000u200m4O2GJW9WCWEWi1P0O9m0oI091b0w04000e30Gz@600m +00CY40onc84HFnA60mGN0Kx@0OGu1e0z3G1@J5__7mg1AOq90uZG0i7e005O1WFY02W7Wz@0 +3Cy300W70GfB0oFV00M1m8WCKgoQ1YH00al2uN000uyGK00KK1W070q6@ZbiOm9_7000006m +L0qhU082KO000WBCC3000W2C0Wg@B0G610000kVW700Wn0@m0mOZ1nu332p374ZD6S000JQ2 +00s1F10010x1QG3z60C00ufT6syE100WG3uRGefCqMj10280MNt0090000E480004oZ1PIdG +5uRCBM5NfA14020001W004GW20008G28SV308044vY1000GAt8XAjJO_y405YGStl1pad0GE +0WTnJ0080m5sC0W00u1D30001W000eYP3o8@XNph000Wqsw60GW00000mWP6SmE3JipGrw9i +mk1000GtssWOOJ0000d000W7qCudT3QDwXp4gOUT3AV7300C00000H20010000W12GCy6W10 +000G0W002WiqDu9z4EUlYCvDuqs400eQD6l4Xcpmcv6WW00uJU3Q@oWjuJu7U900011W00GH +X00008080080YG4002L0WY02W4W020W000W00C440O100W120e000W01002100KGG022GGG0 +420022021400AY0K04002W2100HG000g000G_72040800208GK0W00600Y202WO00Wa00008 +0P00100e0G1050W0I04O40020e04G0282Kn_F4P@3080i4C0W00aH12W0880052W01050012 +240G0010WK204L0W0210W1212e200082G8W009124I40G1G1W00a2H6c000000WG0Y62H011 +G0A00G0100000Zg122W2W0Y140128WG1G2604804008010800H0WC8020GGW80e0800WM_DG +000X040000004024UNFXdsP0G00010KK008GWWG10100002nG104C010G2XXGGWG00W200OC +2628C06GH01300003W90402H0O1K0K0WnG00XAL40X00000900BX4021GW0O010220O000eS +1GW11W0eA8GEA0IH0Y0O8WW22000462G0W1G2O0240AG2012111900I00400O0104W0G000w +wo08W00PxRmWz90000400W2IG00C50900W0W2801820020m0WO1W7qD040KG0Q600C00E000 +G0002020W1Y002W0G00400044I028001mG0G4402I01000A0001000GOR000G0g@t0081040 +500G480200000040904000409W040000201W00000801W488RFQGIpIytV20200_Kp000MG0 +W0GW008WG00GW00000W8041020010m0e0000010W8800200200K0WG408cEW100040808100 +W0WGWuKG6000WaH40W020418290014Bzt0040Y2A200009A00GfuN30200KQ93NzBHs@CG40 +G080400iXHC100200H0000020010C3Y0W100309000Gm1G10G0800100I000000HGa00W800 +G002m0W08X08GX10A001JUgpW5@D00nKzY96010G0W0202H000W00004000W020020200mOY +902080000pmdaC7W13edW80GWJhC00080080GK00002000100SLc180040W40000X1042HI@ +64eU2JRQW8000GyZ80G00O0G0020001820W0G00P10FwR000K200WXZW@GLfa4dd1W00B000 +40003W800SNx6WO1W8RT30008G0a120OW800W800W0G0W9wzE1I80OTaQGue9000Waq0028Y +0001G000800W4453X1000AG050KJQ20400k9sWpFzesU3820IGW00W84f2XOL8Y8A00eu200 +KY2m881Go0e80GKG40200d0GqWA00a0G10040GLKCL0CaP2TGWCH0200KD0B2c41K088n0W2 +H0G000OH0010000WPQI0IHO6004XAn09imn0e60M001043G080G00CW2n000Ce00410AG0GG +000LouoWowPew23Ii@106280OK0W1W8200m02YG400A21W004124W0J4fO4A2DaM30001W0m +CaG0WLb00IW0048540W00K18m8029000YG0362KG802WG44Y2W0W000fA000q331502W9Oc8 +G80X8W0G90G0G4I401AG4G34H5mW8W0W2G800aPR2XKS200GmskP0002481000GC0tTRmIiC +e000ePv40004000ae8G3MZp0G210W405000W180G00080500aX@D00Z0Gi39iGk1blR04000 +G0000G9WUXNYjeVuN13GW20aLU27dR0X0WWMpD00040000C00GCvoB104000460v1O004101 +000060000mSJ1W00081mg@9yGh14000_Zs0000100036@F14G00hU4201108010007G00908 +0200W080O531G00L00G8W009G80G0202mvu6Sql100WGW0000000400500WG000080G0W000 +4iKe182000aa614GG08W12G0G001880040_NA10G04Nhd00044000000Y800G00W06ezH9M@ +D10a88400009100G000GW0201HO8000G0080WWGI16W00GW000402100pIQ0XI008000a400 +_lF1GY4008000G904ik10008000GZ6000W00000G82040I8000WG4000000W4X200G0800bt +O0W00000O0A0002xsWdbVe4f4AUxXFcJ00oGnZK6SPi1bD@G2u6Swk1W0002CqW6wDGB4300 +o0000DIG000013P4WC3000200001020O_N30040080850GG2G0WTh8400002FYFXmmDOKV60 +006101a10G83WGe109MK0Qz0G0700e000v040s@7Pm82OeZ5mG400vh00Hu10gw10R0Gry_7 +v0ylw@B08YN0xN100g818YxmK4OG4tA0CY0eXV30LEO0Wzd70F@DAO@VWG6z0Ax0G1z1m@Y3 +0Ko50LPWO000W_400wZ_aiEI00K100G0eptC87S3O000mO0006W1GMSX00g08RS34WE0A000 +r8000000Ev700XZR00m0K2W1W9ad05100O080u2m000m54ul1000c000_1O000u70Gad946k +1V_p0o3WUr3000W8wLF00G1Y8N00zb0K0C0e03202PGq@m03yHaVK10u@F0uJV00vb0mWhKG +V@n0NyJ1E308V503_C0WC70m3M0970cH60m0@TkD00m4eg3OM@302Q0GOmF2S0A@m008m10W +YS08W32GrN1wklYw010u@7Gc2gK4U2pi9ntz6S1z3xMdmiuCi5k10001U3t0WC900300Q@k2 +000WxIBnSuX0W00SKQ3IPp00104000058W0800GvQb4IiqWsoD01880400XbpC8c930020kt +e1hYR00006n6000000EZoWlhVG202Gkr9q8E3ZaRm_u9yrR2Pzp0044W7qJ0002mdZ9SJU2z +WdmVs90G00OcF32K@100of9cBnDx6qi76xo_mzeF0004A5P6W0W00400uu6340G0EpU20000 +NMt024G0RhR0W10WcsD8t538000iAk100000ug2SuU2@h@0040K0001JZRmGyCa7G2R0YX00 +200M84W204000S0eY050H10i4440100802000002m0400820400G40018900wcp044G90000 +02A1908WH08WWW10002200004XG0O000Wm11W0GG04800eDuRW02080W200214WW000KG0uA +23W008UJl10010W0010040I0801002X1vJeIU60yC0B0Y444GG040a1Q0W2001IX0G0W2100 +92100040WG02WH8G4001GX900Y4I0K84G0WWe0GK0480W0004OCG30040O04W00C0022000W +0000o_10W00KG00W4000010000801010WW800000X000K00WTxD0002oe@9axl1DKP000W0Q +000zIn0000OG480W0nW14m08000204n42H5O06y002045e002000442Wb80O20m01AW0IW20 +A2440W0G00e4G03110008W00I80e0GG80L00W183000001088000ae00805Gg_6000W0W860 +W208W042280200mK0W00G000WW000018G@@d04011040004100G80004O94V3QvE1000B0I4 +4021G0110o05m140080m0000c00200S1f1lFQ002060001O1W002000542u313402e008040 +00041G00000080E0028000Wrw8W00056800ozyR0004004G0HLQmY@9azG25sRmih600G2ud +@A010YG080001000G400980TYR0124GG00084W4402204080W800Y82Ww_D000m00X0120G0 +W02000040008u5S3Ga8Y00200002000lW@iD00000GYGaZzPe0S3st@XZ7huwV6000418400 +G0m006200410RLRWC20mthCuoD34000000X000410004YW00m4800420qwl1T@R0000YZoD0 +08244000HwE0z0aG@@60WW0ulpAoCtWieJu_pD0080820G44020Y800000H00400G0200H60 +4G02008810114G880008YW0G00400WI4000400E2G0W80W200OKT30W000G0WeMB3000mx50 +G8HM3EotWS@DO6y4MLxXEzDOnC9Ea_1WGG0004080800800WW41Gso90020200090W1WkBD0 +001W800W2LC0G04200W8H0000090MTFXicD00Gbsi@6yZG5PW@0800XVKVuBL9000nG2X028 +18YOOG8863804G1W800008024014LH02WE21e004G30W20G0K42eA4G82000h8UG4H1MGG01 +0008Yu@S6001O20GG44H40182081000EJ0ySY1O40040Y0200100H0000G80004vLB100Am5 +NVuqL900100H43a81A0W1K828n8008HG44Lf0EK07H00GLH1GW4IO6K4mG1g00Y4H0008G4I +621000I4WGGHG0hP0290002G0O400100G1000W000820G08000AO1000400GK4W0W88vD@40 +GW0KWi1@Jm002404000W000oUmZz7V0100010000200nod0084eE@De6N6YXr042XI020b04 +000G20W200uIu6008LCtjA0800045K8839I@JYOua0G00060WWxzJ0004100Wa86P0001002 +W000400u00Ysz10G010W02000Q08008tt40rg00A04PWfJsKa4000W210092G0inV200G1cM +t0004237b0108WfrI00010201000W0000U420e04G01100WH9824154e14H100G1500H00W0 +00e000m1e00000G2a_l1084000000W84u_V9_AyXB@OOwT300G004L100YW800020G100220 +0aW4004015K4000G4001G0AX1AUt0802b2404410A20Y0uPt40b0Ge00G0G0000400a08000 +uK108GK6e142WG0000CpJ2BeRG3o94Yi7Tk@GCS6qQS20008_KsWMbJ8yR3_a@1000S2100W +yA2001c00n000OC00414Q0000DU42112eyq7001s0O00G300000Wm@yP00892100WiyJ000G +0104WkKV00Y20n100u@FW04Q0OW_08q00ow1e041GNw6W2q7Ku924xo1YuM08d804881u@@2 +0uv1e@@3Cy304n7mmY90004m_x300Ub800WwM00G100GPju2WpV30000kC@PGo1eCb1000WC +0hm1JCS903W08CyA2@sW4nn0H0004mI0_1a0q4ype3eZ8RG71M2000WXJZ0j0q40001e0000 +204000008000m0000D100o0K000830GMv9008000C10000uyiJm0000800WOuO0004e0WNL0 +0ICW06T12Pa3ep200000oxF0C0G0utVy9WC0gQvfIu1WjW2G_H7D0v41A4XON10KW200p_@t +00myC0W7UmCpcXMQ12pC34p000m7Aj02_74m3@ZM00W@XJ40000KzV0ZvdGtyC8008m@00Gk +2X0000xgRF6gb100G4LncGzz641E3DTR0000SG00GDkPG9v9CMc4zXF320I0G0810Gm0MArW +2oD0W00na_6imc1WGY00882sdy3nwRW00408400V1O00We30WG0W0G0xGFX71C05G1H5k9ak +z3XzdGS@6G241O1z480W8igU2W000YztW9LDG000mUl6S1f1Xtc0f20Wc_sOwS30WT00100A +S16000G00002801OI1a000G2Z00GDt90m00OYS3002001G0G00WuymIipU2004480W00GW0w +vz404001004iBU30022iqk1000W4900qpk1VjR0002a9@IerZP000006880406W0Z0040046 +000W0D00000W21020O0001I0dYR0A004Km00018cG08W8441000488Y2G0Y0200HG0000I04 +00Y80000200WGW001000G9aSi10G0010W8qNF3HMdmm@60060O3V30001aQZ1rvdmtzF00GG +0400084W00000K00GG090yyk100aH00GWG0G4500112G1000L00142G00000G10282Gv06Si +l1mG4C0028800A10080400WLoC0W800H200H0800140ZvFXqxDOyU3sFUZ4PIuwV300802G1 +1500240G000A0100H0X800006H000000X08820000O0W1e0G40300008K1080XIWW000GC00 +100GG00W8W0000I0G0eO4000010230W0W0000Q700020108004I000_Cw4grDX@HD8rg7400 +1qR@6W001000101C20100042000C40ZvR00K00i0000C00500WGW0WW0405X0X0A400000W0 +W080GC04W080100080000W1C0002G020020WW040000x3bxR0020G080InRRmIv6azm30e00 +M2F1W0G0VCoGgGF4aP28000G1A6CJa180022_F1000080902Vr0490GRORmZoFyc63WQE02s +6ZVzDusQI_yN2800G2820sOF108000440WY800G041Y0WIM@60J001002009200200020WMz +t0W0105_dmo@6000YIv00Ol@6iCg4JrjHdvLiHQ50181000010W04000000aG0820HAR0000 +022W02G0IMwt00G0G0810Qbo000400010000W0040W000000OI00280W102zW18001vldmte +Rq7_6W0806ctW91C0G80G8K6aSk1W08000000104WH00mI@9iWV24010M1s0010G3_R0uE2Y +eVVeH536MdarSm0100800G0e0W0000A0W2u00G0WI1G4I8200B2W020HC00u000g0A0W00g2 +H0W80mG400G00O6020Y0CaX0004100800Gs_p00GG00GA0000Gh400DPV3UaE11000jdRm3L +LSmU295W1000G000500G151000WG24W0400K5G420W0a420004Ia8060201011G0GiC0Wa88 +ag49208240000aG4000420000890000102410000Y8PD00Ww2090088A0DwR08040H000O50 +0EpdXJAW1G00m8xFG10940004WK2WhsJ00a000C0WokJWI020W000800X00e4WWIA0G2W400 +g42000009AK2000CZX21XG4AAf40982GYeI00001O4WiFU23rToERRqRc1W010YyEX34P0n0 +0050000001WG12000G30500142020020A2aqW0We004I40800005MX00OO008W0804eYm020 +008G0G1WWVrFvS@AO0000040008000GGaWxD08WC00GGKCW2100W02Wn0G00W01G40410W00 +0206A0Z000YW50420WG40C0000023W2O6m204GY010AW0S000mg7Ou020006W0CA001B0m0K +004CAqR3womZSpnuT@70GK0000000822A10K0200440CaO0Y00W80000W4200Y004I000100 +0W04G408W00080W0100WI002W01408089GIZy60akI8IG380W8G40G0810A0004K000DCJIr +dL008GORP60000100I05I0C00q400080G20040O00W00f00000004a00jzR00e00200W8Wu8 +8G08v510W810WO420S700YXzN00008JwJW81mlN42WO0C0r10WOO@dkl810WWK0TLiCl100M +G1C00000@K000000GH00KH0G1608000HGt50000AuC0w600wlx0GEvmH0PWLi0W0K1000000 +mCW7K50000L18pV6008rVxF60uVu1000W2C08CSOklt00106000H0O000410007000i600G5 +O0WBWK060h000CCy4e2W0mOG500WPmm@68I1qKa2u7G5mRWVcV0@a81_PHI00004lTc85mRH +AWV0N0lZ1m0WV6aFFdmShOq373hxB1Wd700000U@V000HG8_082t1GKuR6mP0K500Oc@1000 +W1_2m5m00pm304QWVa30W430870000000GL10Uu3YggI5uX7JPoCLgA8gKLGC3000b1L540_ +F8CpCLW7UgK5y0m@W0W@11@2Nvypl100G0c0tW2dn8_GCMQnWVyV00GBJ1vCiAm6XAgIwR6a +3k100X0EwtWUWCuWS30GG04@l1rd@mPt6y2c1RGRmc@60wfH83S6_RpWXMy8@HFcYdXUaae4 +TFUph20008k200sxiY3Xh8aS32gq3000800400YW04WU2teRmmy9W00e00000040ezlV8QA3 +0002zMl1TVb00Wn610000002IVtWvuDO1mMAil2X0GHG004obsWNsJ02001020G8000G0G0Y +htW96D020400O000W80040W002G0G0G00008K04WupD0G000eL5WgxDuvY4UOdXBJD0200mR +zL4pE6004W0000Dvj1Y000010004G029W0mL@90000100G0GP000000G100opn00W00W0010 +00CG40a1020m@_9000Wnp04W004Wt_J00W00900WxbCOf@4000GahF3004000002010OGU38 +000SBV2pZQ00Y1000e0TPOW0004000404G401044Yl10010104000005300000GGW4000006 +0W01000110100048G0W80Y0980W000202000100WFP0GW00900_ps0000004WW0000084000 +02020W00W00JQmmgvC0X0G00000200X0zJ0000oYY6000K12G0000020G2000302hFXQEDud +V34000ykl1d4Q00WW0000800184108if@3WWL0QOwa6_V8cfDQwQZLCPurV60W8000H800G0 +00800040000W8QiE1000uY110MCrW15vvcV604G00800G0G0Gh@609008mx4Y_tWdZDuTx46 +Gc10G00080Ggx@10Cuy000YG40410G08gkPG401KKN25oy040000W004100gPcXMgDWG01mJ +sLG002uYT3UYmWu_J0eQ2mnx9SBxC@@dGPZ6isU2PpaGKqCqFl10W08YkoWdnbe5lA000WH1 +W00008nY99qzWG0420Aat0041WXsRmF@9004Y000000Z04100000Y0Ukc182000W00000W08 +048vS3IzF100ys0086XeW20101utOLorNYq7O0012000CGO0800010W80I0004eim4081000 +0GWG000G08WXCCerV30100W00GKG08Y00W010Y00100GW80KuV20ym880000041a8000L14W +9XR1004Ho3CqnF3KG102xFX@@IuyI60WI0a0k40YG0IyN2000uy7084200G1b0O5rS29WXC@ +DW202GXtLaCe1@qRGiA6SvF30W02QKqWW@V000GTiC64Ia7bK23G00WJUIOnR68G00002Y00 +C0000W80WG004000G1mquV2S0G0wxl2WG100004sEsWzeyO@@AW78046k1p_om0m6GW00uKu +4gLBXa_D080500W04W0W0R_d000XWjln00003F1042200VrPGI1mi7D3pYd0210W8lD8dDC0 +0G10000mA481W10WLxD8njD000000uh7D00000iXZUj1100G819SU_90O60000Shx00OgV90 +00TB0@@N0_hFk100y@F0b@d005500KVz0000e100SaIH08400WW000H000G0W0O20100mVxp +08000c1G000Cp0003000a40001G000040N00000S10Czk10010JXc1000iSM8000L000H0Da +YP0W00008000Y02000aexJ000mC20uTh2wx_t@F00OWLzF300W700041K410H41mci6W8Y00 +00000Q60000c_F0chzl200mdeA020G01O0Y000m7UqwmGL00001eA41000mTcNqqoQ@CSi93 +vXdmEhC0mu1eTab6vFXqEC8wU3oyEXvxD08G0OZv98400epw4IylYmmD0U00Gnh64AU2v6dm +kvIqvl1z7RGgAgChU2vxP00W0mnkD0008nvy64Zd700KCxJdXBcP8sbG_7TZsjCOztAQ1tWI +J3P5V32Lt0mi20XQ@000GWrWnO2_4A2O30000G8W0YttWgpIOkD300W009400W030108000W +00400QcsWtlJ0Y040010080400GW0W08Wycc1000u1C08qGV2009W00W0MIF30070Y_tW7kV +0101GehOW021eMy4_gt0W8003pR0848000W4fcP0002010a800400O00000nfLL3EQp0W800 +80W0G080CGX100IyYvtW4dD0008W80G000002I0G818001G012800G2GacsDG8040008aUwC +G000mLQ601000030mz@900G20A140008001000W0000801000i7H32vt00W303@R02X00060 +0201G000088Q4000G00Y0018100400X00C8W021C0X010020000WRO040204pd108WG0K000 +04G000GW00840201000a9000I0C00000020G00800NtO00eGeQzDuYT3m400CmF3rjdm7wC8 +40000030M20008AGfyR00800G00WtqRmnxCy2d1zfd00002x100F@p00e1Yb6I00001090WI +wIuuV6IaU3188G000WAp4ZMdh00H00001WyqD00100W22WZgO000NT@Y6SuvCVTZnQdL8Y00 +0000m_r6CID6Rk8Hr@6OU51OUm4oJ@10020dX@001GWNUOuSS3c3l20004t8lnowFSGU200W +02LM2000efTRGW_9axN8D_G210018000xodmqz98200G40404Z00Y0G0FwAnDECakk1xzR00 +WkgKLP0000uM79qpk14000W001yHL2xqRGwwU80288SUC800H00C41000W841WhVbW0000Y4 +0WJBDelV60fc0W0000G00000X00000004XcEC10020LiOmUv90404eVI680008200Ou_70W0 +I08000948200WayxJ020W840000W800400000820Y0OfV6000a00085045804800025NjR02 +000000H000X000G3S00QIV6Mr@X0jDW201mr_C0020000CK__U4ns3PnP000W8000G010000 +094LD30GfGolq00050t18100xoLnD000K20100010W081W0WI00WI0000140a2Ao00G820CW +8G0G900WK000W0Y008L40G1Y0020G80K8lV3e010Sbx9K00000410000000mG5_LiMg1T@@0 +uI0WlyD0002100W0083084202Yr04G00010W0010G40C040Gmif6W0W008W2000Ga6cD0200 +20000Y1009vdG2D64nc1Ptp00G00080A0I050W020G00ye06kzt0GG1WZud00004410WPpd0 +x100mWW80100051000a00104122003G04000SUwn0KaXWO0G000S1qvd108C20091020WW00 +2Gyo6042AO3U6008GaVj4jTRGSy600001080oiyC00400008GktC4HY1z@R00WklylD00001 +000101KW000WG0W0W080080200e00004G001080Y00W00050021e2W4ND0002W400Yy1JuRv +400044_M2viL10400m40001vLASs0000u0000040KG3000h400I0000a00zoPGn@90yn0090 +00aMH00G00844410SbeG08qAm10200GYW8080W000Y00kIA400kIA060H006010000WGtG80 +0e1239G200101mR000mV@200Vzp000GVw300ns81000U0000y0yXy0u3hqI3MfbwXCpqCO2W +Cc9O0Ypq0x200l@R00_700mCLgQ1gKrYChg51m@B2FufILgYPcK5ymj8LLPHLpqYm3l4LLLO +2000mV0MuV33000q400W0e0yoU200010002200W080G4G4mD0H400t40UyF10uD0001mT000 +2G020W0404080w080G0q1tXC1004pf@R0@0e0C1_1y3OY00ud1W28205m40AW90N0J0c8@I1 +u1089m8I6GC20WO400m8000910Wja310V500U50000L000YGKN0000mN00mGMwg0Oo0OTjeI +jp0000GhXRmDuI00W0O0x4IvtWR_D88530006aFo3q60GMfdX7@POaS6_9@XLjhekU341400 +00GG00080W0000GW00202Et00Y00fyR00X0mJpJuJRC00400400vwV300SDbYl1ZPR0044WH +qJOlh4IWt020000800w0mWWpt0000Kdv600800G0G00W00W000Hx2p7Q6CzV20wH0s6dXIzP +8FS3czt000G0hsRGtx9SUk4PDnW040a0oJe8U300W1iXl100GWANdXXyPO4T60040i4l1000 +eu610CQ_3HAmGTwCy5c1Hn7oB@60WG000000005WYuJOCT3s9rWuqn8r_4Iid1001bftdmy@ +94WF3JidmcLO4TN50G022ys02G024402YSd100110W01xgp0000G00010W400080W2I001W0 +WawDeeV3W000iAb10_G0W008SQe1DuR0140020250004wdtWGgDeYU6WG00qli1d_pmh@F01 +4G1001000948GGGnRRGC5984K002810240W4YD00800K000W0m0G104oIEXdPI00800000Qy +0W0xwRW0W8WkhD020WGL360W000004maz6ygF6Fwxnf_9KMy9vzp0080WEzD000yUN@F0200 +0004Ht_9ikV2@xd004WWB_De606IX8aJyv1WI0mw@I47U5LKdG1@Uy@RENWpGN_CaFU2PQ8H +JqI0800GW84mm_9aHSBT03pZ@C00G8Rs@7s1XXi@VOzy7MTGb2Dn8YpJ0UhG4UWGf94I9UaS +lS52000000GRD00ekp7UidX_sPG440G86ayHT5jpJoazCKfF300Ax1808aUl1jcdGilF4aV2 +001kcyF10G0008G0YXhbhuaeRLCYtd1A000WzV00008205K00Y4nqu6000WW20000G0W0wU0 +0095f0G9A1f20G81040GkqEFFB4oDyC00005n00GOJ600G10040000Gagxh00082001amzC0 +68G0H00Wvkn8B@4Med1G400JVx1000XjHDO1T60004100000W8C000400H0e082000CXW840 +50G4g1bYbjJeLp40008020O1O10100O04980BJiHny9y6p3rgeInwF06A20W000204804920 +00WW0040008ezU3_@@XXGD0K20GZV64GA60W090C0GSJw3Xl9Hqx900G0000040W01000fDM +cmPs9820I0000dX9C12400Q0008I0082W00j90W88j142W0TMbm@@6000et4WZ0cVH000200 +04W00C00008zsPgIgN800WPRyxH4u6ixS50G60000WadB3@@R0c00WLnPeB060o8HI0000O5 +DY85GIHAWC0H0l1o8o0ypY48Z49161I2890BI@Wp000U300u2C0m4uc10W9AH1m0Wg00000W +@1eA000KNwVGOcvWmCp0WPc1W@g2km320_7aggA80yF0000g0u@@AQZuX3Nbuwx40103S8V2 +0012QbM200040200040000a0OuVC0086ACX0OaN3Qvt0010Gh6RmW_Cyll42000ohoW5va02 +08000000W0G77O0W001000G0020000O0400008WGkf6CpF3G0200002yOU23s_0Wf0XNmP0W +00GCt900C0OyB3_@F100W4f6@GtsI0G00OEJ300W0SRk1zgd0002Ytih8qS96Ed1000Ssc00 +QnoZysDudU30GG0Sbl1FYPG1P6K5k41SR00Y1WNzDujS30W008000100WW0W0W4zJ8dT3YQt +WeGDuwV90005B001OVX4_tt028000000IupWMh8PhP6Iwq020002004wydXCiD0e08GE@6yB +W1200000W410G002880G00WBxD00G040000000MZ@d00R1WAmDO4F3YaFXpsCu9S32TS3100 +0z7B1000G80IW08G0W1W000014W0A0004G000104G1W0W020150W000000G008GfZQ00W000 +0a04400880Y0300g5O3Qyr0WW0W000mPA00yQg12W1K8200I040001C00GG08e0040008G00 +CN830020W00W00GGumV300284oX1P7cG_v600H40041GLN608G00010G9m60eW80900WG208 +4000004W0IW1000051004W000464000WW00Y40001QDr4kRt000mX0002004W0010Y202800 +804W008G008022O80G010W0100WbrPOXV3MxF10W008000oaFXZ_D00001000G80090W4GsL +qWVADG0W0miq9iVV2L_R04G000W201JO000440000000aZns0W000WZH0QhF14W00dWmmAuI +a@W1W008YYt000018008o@7ZSaDGc00mr_64kl100104100z8X1pu@GnuIaKb1000WH458A0 +04uhE3Eksc3DVG400e8000W41020m0G2000200uO930320Y80000HG0Y02WtDPOyV3A5kYby +D00Zh7000020W8Dkd0400WwbC8RKLU3@Xa_J8VV3000810WG1W0004H4080C000G00022K6E +32000000GS1g1HNM1uW2WetJ8J13IA0cnuV00Y00Y0084OW8000W8440KGU28G001020W080 +000001W0uitL10007g00WdtDO3zAUZFatkC81V6G4GW010m0B4104H6HwG4p02W053G08O0H +0G000100P0WG4001G00m8aBH2PWR0Y00W1tb899300KxX408YG00004100821JwRm6UaK@V2 +82000400iFV200W80uW0221WG4000082WexDW0p0W00a2gYQKm0W098H82K62CVE6Uht0Y20 +81pB100O20000WuC0W10003Y8AyV3000C000AQT@JIztWO8V000090008014W000000G0208 +0000342W1002WIbkP0b4XG2000DnLnouC40d1PXP0Q00000080I10GY8000b0000Y8H00ea4 +@1002HGJ6qqa1a02b420Q808W000arxt6KpV5f8AH0_600OulBF6G00001308mMO2ax1O81G +006Ga0408a201220XG8W1GeW2A0GK0KmD840029HK00mCA80IG30@mBLFCbl1bqO0Ot60G30 +0ZzR08e0010034a0gBYrW87p140100X24H050B1Q0010I80244049000000024G0WA0080a0 +10LFw104000004GW00000WYx0002000000820b0019800828G20Cm5Rw@t0G031HUR0W2008 +20609000f8KA2300alW80490141G0Wo00G4ag100OGP600B4M5k19Yp09000aI0000AvnW60 +320C9NS3840qJ3001@cWmZev000mtYW_g5XXVO0Cm0ySW1OWj2m0R5m1sAW16L03CW000C50 +00000yW700qb@CWH00008ozqaCCzF306T@5W0@B0g0W00000O1Wrhd1041qHQ9CNl1AWB0K0 +N0Z0c0M1S1C200O5001EX62uCD6mFm5WtWV2T0@4q0w8WH00__F100WE00000w00a8E3000m +T000a3l19aR0082K0uDW0W9mT600V_6CV4dm@@68i082v1eAW10000G6D0OW0KrU08kB0IPT +0fukWowU3nT14iEA8W100bXV20WIZmmZ2G20GCga800GuxR6W040igV2zwdmhu60kB188y7o +bAXJ_JOWS3otMYxnJOFS600600280gnV32ZEXKJD0000800C000065@dmD@900200W20G4v9 +0800CPV6a020CGg100101G01MWj1ZbRmRv9KHl1Hcd0010We@J000W080a00800000010002 +1000G00K0S9KVk47cpmE@CqTV202000W0GSTE300WtoRt002001vQGuxRyuk1Nf@00G0ersC +00G0000CWksJW000KTx9ySf1BhR01000eG00TaR000WW_uD00040101Xxpn0Ct5mg@9WG08W +400GHV9SdV2JmBnRyFi1830G00G00080220800Ga_6ivi1V4QG6y6CBl19sRGjj60W10yRy4 +000222W0eV_4000WeF000G800WG0WXODW0000I0012008pupmmE90Y00gH@4kN@X9Ra02000 +04KG08W00020IPF1008W0G000W020G0WuCV38042WG10042440L0GO014dyR0G040YYO040G +010W000GH70042480400000HG00W00aVd100010020G08000208100WPqCe7S6000Wiyl1G0 +0aEq_10G000050W0G00W00G8X0W0G000100vzd0W100800W001GM1q004GW001KW00i03002 +2010G000G00084200408WG1Gu@V30Gw000Y200060000284002W00W0008G20008401080W0 +0020a000000G04eN@4YusW8KJ00220200WceVuBE60010y_W10204cltWKyDuvV3_qF142W0 +0W40wUo000A000KW0000080010008U100GGG00200cNF1Y000jR@Gtz90m00AxX7AZN21000 +n@RGlrF4_D60880_vt02W0080004W00aCf1Bsd00Wd8q008W0G000000200fZSL8Y00KdE68 +0008000sw@3n@RGAtI00800HG0000000444hzZX9u30000400P0838H08001080008202000 +LydGqJCieUHZxd040400W00G0G00004004140H0GIWC0Y80O0U3000m3L000040Giv6W30W0 +0000800eybvva@7gDNY3rD8wU600400401uiV6Izd100nbNsR0Q0000820@LQmrT6iyU8j1M +1W0040008rxRmbyLSOJ2b@R0024GS10009mG02YC014820082K004000G0G0Y2QE1WpA40W8 +W00WCWAWG50GG420200W8G82106JzXX2aesmA00080012004GGYw6yqE3G00010204NY1bvR +0430WA0D040G8004G00A0DwRG@@6STW1x@RWVA10GK8e41O00014Z50011002G00WXcJOQhA +kNjYXzz0000904G0000400I1kyp02008@@N10G0enuV00moD00224YWGv@@00W020840Z_to +@xU00H0028000230YW000000O008yQ@34000WC000081ut@7G00004E00G0100m0Wv@V0W01 +060GankD8cyPMr@12028G000G00W001041080G40mSpnOu@429q00004000uP80I06Ga0C88 +Imp600W00O00020G08m00bcfIK@9CaZ1BsB100400080G0000G20q0W1R8M1011G0K00XrQG +wu900m@420880AGG0880WH04840004IWW0048G040000G@lZn34Fyg@3G008YZ@10GO4XVR0 +1200H0008C00410D4PF60I40sRF10004410002I090000Gh0000GWLtD0400100AXK0COwT3 +gg@XHyJ8cy70040a8k100W00FY00000G600moza43d10b_0w@t0u@F0000g0W@00000W70uE +000E7200WFW0K10GWTG92vg2e03mN@6mg@vBK4RC0002Ha20X8502080408480G8H2WGWa01 +0mtN900C0ur83MhF100CHG00000G40000000wd0000O000C3G0W0mb13018BW4000g00000m +b1mqyOiiF3naNn@@90Wm30pC740_7SCpCLgwVm5UGKfgW0Gc104m10000EYm700WC4G004vU +dg4_z@1GI9008425W3F00000tz300000K500K5000001G6H0000Wf@10Wn@bmk00000miKzI +8P@7QstWXzP8UV300024ml1lXpG6_6CT43Tz@00002_110v0bJj@L88000001nML6K1K200I +00000010GGG00mIL600000W01oy@6000e8xkD00ea34008qS3kzdXGbDOct7QJ@XRoIOaR90 +00G00209WcGwYsW0rDucrD0Ug0yM93jlxHjxI0000fzo700X010W0CMl4cXt00048biRWW84 +0000120446KtW5vJ8AD3YFzX8wIWZm0mzxIKd13vZpGCnCqM96VoO0001aVfDueC30000bFV +20400008002000210Gxy60W00K080GRQ90002eGG3kjt000pwPncW00080440JwmW000WZ2b +GK20mez9yBc1xsdGZY6SM834WGWH0G0IG0000W801000a0W02WO0988XG8014800w__6G000 +4800108W00A0C02G000040P000W22001IWa@D0GN200a004G000400G82WqKi1FtR0000H00 +0X4002ddKYkxJ0122008100028008GG8000000100G00020400G0100820401GG000XC0000 +0003LYP0S050G80000A00G800100001W00022GWK0000WW4K02e00000GC02081100G49000 +00000WLG20G3P600002H0Y40000840000200G00005000CWGxJ6a@U20012EyF100028100M +Mr0G00I1q@008000W0GW048sytWUDD02W000G10GW3GvFQGs_9SDB3d2QmNn900Wx1004200 +800040XqQGA@CSgb1h@dm@@6y@S2000G0081KxX10400Y9p0G000H1cGD@94kQ88G042EpWx +Ph0ad3u@_LS3T2v1ZHhmRaWl1081Gkft0f000p@d0400mu_huuV3QDF10280X@R0000400mu +E100000000O0uJG365d100W0Nddma_IKwl1BTOGhw94o@3hiRW008WypJ0010H4_6qyV2GS4 +0000004W0OoVC00K37zl100C06kcX_ZvfaT6_vAa@_D01G0020G44000RN@GBX60eSHeGz7c +0qZEII0100mqzCqlU200WGEyt0080G08800840W1000H00mS_60408Qn23A7d100W8G48O0m +8G8000upV30280qwV2000uKc0000010WW01100mmyJ0005nU_F4Bz3p@R0240WAGD8dV32jq +0080GDpd00104000CPpRmfT9ySw3G4H000200H60OxL3YhF10020vCP000lnvuD0WW0mv@6q +u830G00W800Ss@35_R002GWEpaeXi40W2000810000400800009tVdmMRO08021Y00GYu9Ca +U2hbQ0OW0G0850008WG020GA00QPV3008GqEU2xXBHiu6a@l10W4000G01040WG00KYO6iZf +1hnR008GXUB21000HV_6ykF3VxR0H0020004000OKW60040044G0a000400X8D_RGk@60002 +vF390W20ajU20G404400W020GH0W108G4Y0060002W0200001000W2000G2048G4408010yD +V2DeN10W000003K0H0sz@10009000000a@O0G000G00G00400810000G900iES2HudG646a4 +E3ZuRW1C0A0G0E010W00070002W01S06m0g3rJ008040204Aa001Eb000Gox1I8w49czE10G +10l@Rmsm60o9H4080004K40400408000040041eoU3E_NYjnJunT30008W088090200eW000 +42081001WW0I081000W4000000X0080070000GN9vmDsoq000H1800G33FXguD000400GG40 +00yS620I8t040G0TKQ0004We1O0000pmwCSjk10WM10000Y0u0010GOU0600410S04000K40 +0GL9@d0_10000Wg00U0EBE10Oce0000egg0axE300O0000K000Y6000W700000G88400eA00 +000yt2g200WmPLj8yWVHu1FLgILCp400ul410W@@V0600m@@F000mKd1000000Lj00_VHu1F +LCZPCpagKLb51mVBgA00004000o0G0G0838IYRCasj13A91C000g0S1XXRmI09000O2W000W +92050000IfYI8t00N0J0k80s2t0040000200WC00040n000qWuF030000W5GM@60W2O205m4 +WBW90J0J0c000C10e000uu@40mp00000tw10SQ@R00cX700O6C3F0WAA0C3002Qk2J0002XG +0a0a0a@F3tmP00e2WUzoPs_7kFEXVlPuBS30140qaU5H@d00W1wabneGMOox_XHSP001010H +0YIVJG020m2rCW0808h@400G0200WORx70eqWy7Q83TZ10W0WTrPuVD6QN@Xo@P89R680000 +0GG91S6UmsWAnP0000RGmLCVw9lz@0O00WpcJuGy4QLt00102p@R020000G02nkpmdJIaM_3 +00Y3YTFXAoCudtMQXFX4uDeO0308040000280GW002400892001YbtWIqD0404KlGCSzx3vZ +R041WWvUJ0G000u8300411G0W02VtW@RCW020Gql6G00GiAV6Upp0G100ztRm2u9K9z30100 +1800G20H00208000H00W0G024W842020W0WCI812004240@@R0G0GWC_D0140GZz6qal102G +0_i91000yA10000W04XZ100G0URt04400WG00G82080000W0W3W01m1jC02W48Om10002120 +0I0038G000GC10GTq9eW00uEF3G0G014G21HA04W0224f0080W0020004W010W040G50300G +N4@0000X6SD020GW0001000W81W0Mln0000000U2N2q001008000UZm00m02408OW008r1a1 +7xR0080e@@D0m03082G00410K0000002FWG20005gyFXc@P0800W08002G202000000Z0W00 +2080pCy60080ujuAQZ9X1pC0O51000K08002RtdGH@90004000W10010q004zwR0882Ga0A1 +B_dGzI60010eqV6002020C00G0000082P00G0W80000KK7@3m000kwWaGpImb00GJuRCei11 +upG@cLSPV2Y0000X001009wcV30W0Wq2L2fUd30c3eO@D0031mvpCiFk4Ni@GZFLakF30W22 +820400004040OwwFqXt6hgN10e2WG@D8fpAI_d10080R@@0A000C100p7@00G4G000500mC7 +vFXpvD86w7MEH5000CG700O100C0z30O006XhYn@Je6mD4G0098eY00HG212G6480GmG4080 +90CmF3000IFMTZVwb00mU100W400G40e200G80avV2K100od@100GCt58HTy9K_l1000H000 +KXA41m0054Y000KX000Y0I0WG12002yX@7k1g50o0032OGm_FSbj13oRGX@6iBX1j@d05100 +Y000lqbmEyC004287U30WI0WG008q@4e000GA00WI400000n4uIeRUI0B00KuU5LoPmUc6SA +l108X40W2A108108He00000GY000Y4000Z0G150euV600I0G0G0020aG1q6SXl13IQ00K040 +42102004Y10StlA002pt84ZUrP8nC300X0002000X4GqN6G008GG00GhVF00040005GBx900 +2W20010002A0G020108G4X00W80GYGW1Rm8g7@L1ix1GIzI0000hpV3021Wi_h100X004K01 +0K025140000H0Km0G0020G0010Y80050u6@Ca@d1vndG506010040020048220080Ia4GW00 +iHkA000GKF004fl4TvcGe@60G00180W000W0W81GWW20002G10G0100220G00G008WG012@d +14A308002YAr0W00Da000mA1CI2W1004000070000G0WsRG80q66U5X_N100iee@h8WE300m +0WG00004A100004GxD070Ir0G00O0840200Yb00WWa98y6W100D4Ld1f@R000mN0eAC00m7v +h2Ap@pc10X50HC0WRl@104zl0A0000000700Q2ddowCW@h2W@7100W10003G1Wc0A040tH00 +utA6GI0000W00l100o0_1DqRGzyguq0000O0mA_F43N2a4a1Ujt000m1000l100o0S0a483m +4G6000J00v3O00a0400082010Yzt0160H8700C3G000T0enqY00ix1W0uFfF3A@F100mg0uh +l0000mV00u9LXo_NYqqEf5i40_y008000004mQwCi_ZA03002zNY8JDuoV308W0000XeCV32 +gtWG_J0W008810aX_DuGr40040Exl10040xbt0000Su60000002G01u@S6kdtW9oDOcM6YBC +X0nJ0G00GKz6qNj1vzRG3@9KEi1V5jHsuIKB73D_R00WruGtD8cZ400000808eaV3oL@XtZP +uHF6wVFXStVO8X4_LtW9zCOrx4004002O08qS3_sFXXuJOAU60yr0C7F3DtpmDkO050082T6 +UYN24044400H0000G00Aewd4W0K00W210000000A000200182sxd11GG00001M9F10450G00 +000H0azW1s600gzt00240r_Rmm@6014G00000080XGeJ8lD30W04SqU20W00MbtWr_COwT34 +0m008054001YG01K028W25K0H8W42q8040028050GW2AWnYQ0104GW00800G05000YG01ecV +304000G01ydV30801apl100qjoosWW2I0002qd@980GH200024H08022e000200GW20401X0 +0800018YOWGH4100040G01GW8280040020406004802041020W00I0W00I0G804210080a00 +6010000YG000GW080W0000040A@V30284000G8I138000NPl180W00G00CQd100000d8Gy@l +140GWMst00112DxRW00H0G101G001000002G0014G00000000B8000000W0e1WOPS3020Y00 +G5uV_4000G0001s00018040W2GG008e0484080e1803402A0W018008000801844fN_402GW +0000gVU3YFsWj2C00010W000000i410GIzFXYzPuOS388000GW4040108000800WW202UXs0 +2G010YW84000O000000820004002000A20090G000W08000G4400W000a90080C6O24014X0 +00G0200A0GGxgFSi@3Bpp000Zc1_D0800mSuLKmT500009008iLV2b0p00002Ga100000021 +Z000W000WY00001000d@R0004XU2COjR308W00W008m@4UxKYrUDGuO2mfbIW8008aV3IOd1 +001W08110100U8k1ZbQG7yC0800Oz33kzq000W00K0000W008000W0001e00009800041680 +20001GWO00G01X00GbX62000aifauk56QhF1000Z9xd0000a_wD020Wmhz94qj100100W100 +00u010820040W404000a02o0O0C00090440G003023DQW0000G80028302JNYSwPW00000W3 +mQ2P0G10mQxICN23PqR0Q0010004bMOmImF000Ga0W48WW110G5W021c1WE0BW0110GW0228 +810OWWW7c50GGeW0m031WXW101iGK020200GWYEfE3rOaGqd90q30uxq40GW001000010g80 +0WVyD8353001000001210203G0100E040C0e28208GaG800a10W9uP000C30082J002O0mO4 +m810G0G60208I0WP8GGm0K0I409800004780A800O430100G0110a21008jh4I_d10W00008 +00G50000WtT0WuWs60G00uOk7IvF1010G00011108W20W000W000A0020e20W00802W00811 +00W80000002NYd0GG0eZmP0W010GW5400aI82G00400100040003W000G421KI10w@FXVnD0 +0m00W0WWLvJ08A1000@uXjDW2000800WLnD00A0mNG60W884000400422110K2I0W88W08b0 +G40e4402I0GY1083W00Y402aKY240fO00HA18e000W0YI008C5044GGDN600020008024181 +80C8W00O20G0020008a004W0080WWK02kod10G007kO0045e3zD000022000Wj3000WI9000 +0002RsV3E@t04000800H0A041020WC0W101W0AWW14002G00W202401A000050WG0000em00 +100G00206G08Y000G0080040WWm0eW0020006G0m2@Dm2Y40000f3aD008808HH4800GF_Qm +@@6S3b1000AIvF10G000G248800100Wox215200WXzD000210W20020W000O0W4m0000XY00 +08cm0C208K0d600K0pGq044G00040P880000070WIG864H0W082014004W0CW18G00014000 +0481W000082W0Ge00Y40G0cG09G0WY001Y41K0AH082Y08000X08KIYJ900001W50000000G +G0tqdmeS6000000GoB400YCmhG120000400W0I00G08M2W0m09044G20CG0G002@@R040WA8 +G0W40000a02KYl10H0W005q34000mc0GlD64xl14XUG0008p41008000G020m1000WMHGS00 +080UPZV3800011001IY030010a20G0000000210uI1WWWkL0000b9Xs0O0oTp000000Wm000 +00e1W0000W0HG0W8mD0SbK800S5qB3nG0GJY5t0220S000GWi84x7W10Gl00001042YG0Ww2 +G08ixbp0Wbf10000JyCC008DCT60iOQ0iqq0Ofq0000m200000a60000Mc60M20000Wh0C0B +J3m2@R000W4T00GJ@L0W50m@0mCcfgA@3W@Maggi80PQH0_tY0yFC1uVOc900Fy41000idT5 +C000OSW003m0060N0g0C012O024W00006BG0u2W0m5WBWA0NGWf0O004400O60000050J000 +00EG33m40000W102000@0c800k10000008b6Bd141408882G4G4uBq4k0t002WV0c000S10e +W30G1U7e2u2S5m5mAWBWLGW0hW01m0000D100w0A0S6y1m5u600mB000CD0uPO14aWO98191 +82IG22Y4W4aWc60QLQ0I0IM4aWI04252219442A4J3WMA50Bb6B04fm6ebM000000YA00000 +00W@10myC0@3jW7U00yF0WM00mTzL0W021X04415a0GA422IG24K20yxl100_700000yF08Y +sAs6jb0IhOTq4s27600W0800mQFFaNgP00008W00mjuCebA300a008802000KQa60G80Osi4 +AvF100Z2n0O0010mInD0a020000G0084NlaG6td00800502m@vayMh41lx10B6WJRP0W00O1 +w9C3FC020G6XdXNkIewS6cWtWuuD0000201060088W00008W0rcZ1JxbmRxCmN01A_R36pB1 +000004080200yrV2vN5oxyC0280G40410W80G40000000401800W0482300205W18084KYBt +00010rrdW000000a0bmdGWzF00mruiz70W01KFl1LtR010000010xud0G0G0G10G2002IZdX +JdC00G4GYz6001142028000G0145050204002G28G440C244008YW000010XaqdT2HcPmdz9 +qhl1dZRGx@90wP0O8V3000WsHV2nqPm@@6qSk10002dqtW80D0002000WG004004G000W020 +016000g014Aa080000004220PW042W080H080W0WG01040000900000W001091100L6o0800 +YUiCOHP6404004W0OL@4aF004wM2BnpmVs9iyl1fAR0002023C00010840000a00m00qoR60 +1010000000C0008004G4oer00Y000120040008W04Yy08W319308I2200040831002002qL2 +90000000HGCzO00OWyd@4020004000044G9vCW00005000200W__J80Q92dp0WISG012WErt +WgvJudV30a00iCU2dw@mm_Cqml1LeRGOrC0Mv08bj76btWbmJO6z4C4000G00W0001100024 +900X2000G000009aM3oyd11000D3Q0000180600000000900000804G7_CSPh100m0g9F182 +00@emmer9mI008pV3U0u000107Sc00WW0G0000080000X000G00W000G202W400010W0WG00 +000GI0Gm@6qgg100W0okm000110000R7FXLqJ0002HDcFC_U2Zf@Gc2C0KP1O@n4Yym00003 +7DnGSwI0000wqG3oxt0C000VdR0YG00800008006it0G0020100wasWLxJ0008mDN6qcU204 +20w_t000W0bb@GXc9WD80uRT9sWt0Y400bsd0900W0sJ88V300W0O0008HO3wed1100W000G +801022200G04GKb6001100002080aaICOAV30080G00WHW000000800W8WG0HYV@10400800 +000eHy8i1XmpW00080000200HMlE1000800980W0000W0005101G1340WW0WW100500YW002 +0480m00001208W0008G0W0801004G20000Y08I4O000008808yV34040X000Pn@401000W00 +fUa40400_@W1D0OGFF600000m22uB46Kfl1G80008043W00000280001060W40H4000000E0 +01A00O000S0W8W00GG89W0OW81001100012610rtR0H00eyxJ0G01W400WxzD0000400W400 +0G020410Y0080000H0000200410008W004Gqml1008084000041W02000Y0Wh6DWG9840002 +X00000H08a008X80022108100A1WG000GW802H800G00W0X00000020G9G08001050000KA0 +0GX5_DW200W0421Af400m000WI41KO0000e0020J0001nmPGQ9601200011008W00O00C002 +0W200K0001000G1004G0002G3Ybm0G0030000O00801H84e0K440G400060W100049100Wa1 +0mGC06W10K000G00OG104f10W168IMm1WYOW8000020048020024_1c10G00410CX104W00I +0e00020GYFqD00a0mr@6081W4042020000O8W09000088G813110G00W0040XG2O9W88421O +021i4G00820o4mW0004W20020W80G00A0eW00n0AHnP0uy30o00W00O214H020A000EX080G +00x4680810C0008WW00400101G021GJ5Z13WIG0G0220008WH0000X8tO3040047l1RyR00A +0800YW000AW022om0GK1Y080081GG11000200W08001G0HK0GG000400WG0000000I10eam4 +000Wnd40W42000000IO0000940082010000041W0008X048I040020001G8EoAQLr0GW00W4 +08W000000412040u0W000e8viP0410E04000K4u0010WGHGC5W7Y2mWWIC0GX0WOGQ546W02 +0W60002800YW00Gi00868z20008VHS0280aUX008Y0086210z20WOm_BqB0H20fl04049004 +XG0W_0008ix502102WW40002400Y000GO00828Q0z98Y0O@521W020WO000qA4010WDiab7U +OB@0yKQuXfqI3JfbMcX7ciXzV1ggwYW7U00Fy0mVL1W@B20@NKLPk8yWFHLfAcMAD0y70Cpy +v_R0Ap0OaVCm3F0iKs30OWW8801HG901a2IW15a03c00N1810A427m54EWBWT0N0hGk0MXG0 +i2Wu050n1m0Y3m5m74sc1f0OGK060O1m0m5u70302WVIa4Oa89WaHI0P0X0I2YHy34Z49uE9 +I10000RYHYa0I691y342uDuEnFmTIaWxa85m9HA0lZK0s1k0y6iJu6ud0ImDevV3az0w0W1q +4e3e3G78RWEGs6u0i8WtPH0@0d0M3k3a1C70D8400040G@30WcnC_@F0CpCLvV00oCp0KL1a +2W1y@X7I181Lv1GUu1WKLLUuV0CZP@xl00YAL500gA80yVGCZPg0m@W7EcfgA11Uu2YP000S +M63W1OcALfAuXJLm3NGOcfWKDS1fgg2ILL1E0@3pCv1uX74Qf50y00000W0KQf0eqIDqXbQe +33I9B5Kfc9eCbPGPAJPU0yAj40KbA1XvPTUpmzfO4eE3PgdGUSR0eN18IyAEJleDtJ0000qi +P9SeD3PVQmP1Ii0V28000000GeS00Okh4G0W0yrD3PnPGH_jigU596GI4@RyKk112aJ0nUy0 +u3vzQG509y@l10480Z_AX85aO0U30ja04fG20800lYuXX1Xvhe4cwtWbvPG00000220000G2 +80W_@d110G0l1w1000UP0009cxHJ_Ci_U2rvdmY_6KUF30W000000Ucl100107It3008W@w@ +0000mnzV00Wx_7@Uyht6b_pmRO6qbT20040@@t0A040TFmGLqIiNj1b08Hv@Cmjn08CWDUzd +XUdbukR6gkcXo7OudQ6Ijs0040GdwpGs3IaI@3000qGD00qQfAjKBnn@C0200Q3QCYPWaQ9n +000BTz9@yfIHzIw1eH0Wm4Wvz0FoiuaIIL1000Cg00WI4IBuWMYgF100X49hII8255U36np4 +2em2WxA292G3QUuaPPV8UG9soOZrJh0000hT00WkQ99el72fugmVg00WlQLGaiTo3nz0pbI@ +0Qw0eH4d_4ufU2yCF1mMDDXVczOkv72@03W4F0nxAHg0@aubJ000m7nqWSR4AhWh040000un +CZvPQj_alyD8wvGY2eYhzD04HG8a000WYF00000G8I0000004H0G@HgaAm6@CXnSNUiWw3f2 +nGTz9i16Cf2WnxqCiFT8H1KnPrFaK0Cf2Go2o60000W22000000A80W2000ovDXY0O8AWDo3 +mWw@D0GE0G_uOaAWD0001000WW100e3060W0000G24000004X000004G420IW04506v1mG6t +6uD04uUkAI587vO000GEEZwtWE0CWSScJA0K45m65nRWSC01206d000uHPE600803d000000 +XNmJ8L0Uorv@RX_lMf@N_Ke1rPekT6c_@Xt@D0089mOuL000Wpw00GUR2zx96LzRGO@9asD3 +H1inKeF008jv7FU675c0Mj1uc1GflR0480OpJIA12ZarP000GGS_94lE3PiBHGxF0000m000 +GfPW100400080100WduDW020G2z6KS36Nw_0003g0Sv1004GliF0000H0W2G9fF008008000 +001WFKP00002001WVDVe2V3UJz1Wg90n0mmO@60G018TKC6PtWK0euw@480C0SZh4W00000W +0a2m300G0Y2GYNTbWRn3GC0LqD96000Wg3d104W0f08HRtIW800W040Gu@60018OmU3oUu40 +004Q600kfIYU7NQ_NX00eK1410u1mF1VH0y7gA3WToSgE1000d400KaoFan99VQdm_RdidDF +00u7M3SZB8zBMw40Rt02800gkv7kzsWn@J8Hq4sUBXAVi9b8R000mPN0G8xXAk@cau2g0004 +WG0000002bKpGA0K45G8xZR00008nG00f2810880X40000W0Yoc10aG20000I1W100014H40 +Y28XcqDuBR3slnW3jV850AY2OZ3uD00005502WK1m000WGxmIa205H1ymVqFKJF6DqRGpz6S +cG2nXB10006X20092H5X00000004200000mC6V5zap000GW0CO8my700Cc7vgYTFZ18f0K00 +040a00_@t000A00000H0e00000C50AY2840I080000001GA1000000f40100000f0GHK0d00 +2000A4qgsE100YYG000XKaWQEC08H9400G8A6bGf0GHK0aG85100G4mytE100aG88Y02H200 +W82W8200W4X0Y884II4IA0K450984900mvsCKS24080_suXK0e8AWGYeAX@@JuHJ3I1WYe08 +9IX40G48s0W1RzpGA0K45m97KOm456a205H1W2000000Ghi300AQ_J04hz0C0a05W1018G2K +A814W0G1eW40C00J1WYe0E9bwe0Gr01EI000au0da300000SIEuG200W47WB50AY2u4vG00m +14E100007E0000a32u00WmPEf0GHK0d000WG0GI402I49000088110000IY440YaGA0K45m9 +I000wz_@Tp@FtzXtw@XF@V00GuGWz@@CkD@b@Ga2Q100WW020mMr6K0T24020woiYRqz00m6 +u@@K110GeMi400800G004000800GWmzD00G0Gy_90001OvI9Yk_1Gy20D7aG4eIKGBF0a00Y +dD1002000400W20crj1NdpmCzLiLl1vrbWQ00X9@XfJzG0W00W0000020000W040040G402P +@XoyJ0000KV_X00etCxtDgz@7000W00H026s004X0DxgIv@F0Sk0u@VI0W50i3h43C4on@60 +080Oy0U000mquU2@@@G@S6CpW4JIunWz900G4WW0004G000080C000omaXQF8P_V600OnapC +Ft@cGhpI00800400GN66qn939IC3eh7WMZFvFM9Yc6ZWHCG08280G8G004408A00400G4800 +000000WaRgX1000Zp10Wovh0081GulR000G4000800WWoIh0000u@l60G0Y010001GWW@jPu +@WGI@@100oMfuZ1110WBXJ000mOXgIC0k1XBW10WW8000W00G400000H200I00201a004200 +010ws56WKB0pzZ18W0WqmJeyw4A4t0H000jY7Ym0000G00W00000060G0C000G0202000005 +vam@@d000WId00m4pUSsi1F0SmWp600G1uyrGG0C0300041aW000O082000GG6gNF10050vq +s20d6W@@b0030m2p600m00000W300WqqJ0080mjo60044Our400000110uVz7800000480GW +00481G00Y000000540K4pC0000j000y@l4G400G50000004G00mll9aBX1NORGDz6i113djN +1X00E1008OV5u0080WKH02003000000Ge4Zjt2060uuwh00W00s5H80020004X004000GOd8 +G00G0WK0040070000G0W29000aIGA06iAC900W0Gax0000Wmk00m@@m0060u@Vd0G00Spl10 +WB00WR0h800dP000Y000C10082O089G410G69cKOC100avV5O200m4m0W9W90J0J000cWK0C +00000W1mR00000@00Y281000mL__0400WAJD0k_@f08kO1WB0Lyp30W0kq__VnN66V9XrdcX +t00G@X@FIT2dxR0080Wzic14U2GMzQ100010W00040800800800kJtWL0CuuTIQzFXKpJevx +be000000G0G00m0I9KsK200G0_A81800WP_J2008dW6su@@4Y0Y120W0FqPmK@60100Of@7G +00200000040u1z600080G0080001000WbqR0080eBybu1mD0or0qUk7LJ4IT0R00002001GL +_6y0m1Hmnm7Ed00m29rlM0000800G0800mRx6K856HodGCm60004100002G800000000p001 +04sJB0U20MrcA1000G4WGo88XevD0000HT@p00023C00Gv@E110O000G00m0000880a80000 +WaVc10000dvF18040T5e20WkoSof2GW11000820000020820800800YH0Gw_9002082mM0b5 +0i3VKG0008320I40q0W02002000IW8000YW8021GG0180000410WC000OO02fG5@1005sx44 +1WG82A20450018100Y044410G08GH000000480W000000Y0eGMOj00809P@eG01c10aG0000 +oyb90G00W08004Ga8X01000H810404APB0CT0MsFA0208CG000b00ibk10002000WmG04W10 +00H100682002000a0043dAK400_@t900WC0000004mUNd1000A000S0000010De980000eWW +W8000H4adfA00u_JDFgirDW01040040250G00000G044cg1W000W00200000042Gk@g0OB0O +PVd00n10040oB000W100W8008M2002IcWs90600sGd@6000eL962G709SyV800WuT800q3lJ +W80G0200C5h1flQ0008J1mCy00000E3y30000bL600000L100jqV20WOj0xT2004200GH00W +A040D1SPS1qH00m5100E300OD00G4m0WnWG4T0ZP00wDSj0gd0erZeegw0GLr1uGm4oFs004 +0v870WzCin6fW1r_h2000o0InuuTod9zXPcDetL3EIj500000UQ0IAWjnpj9ryt020WSVCC0 +0ukw@3C000000W0ZhcXsghOdh46kJ2m560XHhILQI00G0QxtD000WqQk10020800000G0010 +Wmbu64Zi1r0dmmtR000WIU00mRVR43K2hVR010GapnP8HqAg5b10080xcRWO08WhpJeKR62d +ZXXsJOcz700a@7j69vXlnstUKeC3T@RGPX6K9k1BaZHCuF0qp087yD0020qyU200001GG00H +00uDz44G00aRU83xPm@@y000WE9rM0G0G000004021G20WYu9fHU3Y7X7000000kw@@@40O0 +0xyR000A09a10800100WPk6c7L@ror@R0sv0urVI00000GGCYP00000qC6100Zcxnn@6iMl1 +55840006a300rwt2J00WMW9100G44100004W01002h7cq@V00WRM4Bd00W10W00020XPY8Q8 +2084e880jmV800W0@SsWPhXvt@70R00C_@9K0YHC300200G420GX904008080P606zV32050 +00GY001042@CfPyWU10WYJE101400Y820aG200W800040004uDS6Iak240002000YKu7001D +HKS20000OY9W05K0G8X046k1lX@msrO00oGu0wV0CB04_V800g2002008e00uG4040008WW0 +0H010102yPP80001UzqWa2_XN00mpzaK3h100G00GW0G400008204000I2009_7Y00000c00 +RQp30cehBj2vGT3G0z10000qB00mx@6q103j_N10WdP0000eAp0o_M80UC0jyV200G10404W +03tzFt@xVY000ytl0q7WI@t0041004184108RF8ae10WnTy00WCmHi6000C600O6m0mOWPcP +0pC00cP04wV8m60000inA0i1OETU00aDMo@90u@00m01mz@f7C0mj@z7C000@wF0@p6tiqqV +8H86oX3f5cJ84EOI5A1L000tAvHCxX3200uJl4k5A7mMD0@@ZH0JXyS_F7TdGdqU0000IH00 +muNXyST8@s_0040XSVFP1gD0G00iKj100qflF7ZSoa81H68W00aFO5nBho8v6Che1bZpmqvI +08518yGFoDFXJbb0001mNuI04008kL3_PWdL0P0000BE10WptFv@V6IXxXvAw20GWG3YR020 +0u8gD2paa1@BIaF1m@@LW8001G0GGxNCKyOBpzl40006_200R1hIegr10mqBrYSsv73a000X +px40U0Wl@h0Y0022105000H@@R0026W85sZk00G4CRiOVENmzpy@C008u_@VC00WA1W40urs +4MiL500GM7lOGV@810E1u@@A8h20ilf1nwmGeig0440eAS3AyN8000SHSX10W08130C000W0 +403000012m0GQKp4uVH00iO_@73540000008220jmVB0002T2W00W608kyMY_t3pHB0@@B10 +e70000sCH00020004W00C000G8NaifX4p0e5j0000mC03yFZH000G000a140008Z4uVH00Yp +2Hj200Wn000c060C1O2G0m400W90Wpkt30U0m@@I0WF00pp00000yCn94000c500WGZSQ8w4 +0001000G00G4mfB98000erU3g08X2sJ0200GA0I00800010000IuxTLPShG0020iOD3ZLRmR +r90004OOw4kisWzdJ8A06I1WXOvD0Ot0mysHbZj4DfQpSo60000ARGIkotWBoVOVlVw3F150 +00fNdG70C000000850000000N_TrwH5t9000W8R66U1d1000WtbRGFo600GW8pS30A00Sik1 +Phd0000alnJ00010010W4sD00WGGev600G0180022004a02e0W00020a00000S8200044008 +800K0120020000W00A04442G0844010IW0G00G0W0J8004400H0jkR0G01008200070m0800 +00018W020W0aytJG000Gkr9KLU20408kBFXZzJGW00m4@90240020GmD@6qhj10040wjm000 +0G63010200080008W08001WziD000204040000W4000IAF1Wo0W9@pmnxC0O80uuz4EKF100 +30FkJIBd64YQ2JiQ00X0G020000h0010000eagiV3gE810000W10K0G000WG0020022G0000 +2K210G010000WW00000004200G000WI101G00000WG01010Wi7IuuT3QkJYXxzOhR6kxM20p +300010YpsWOlD0Y00G2_FKIV2llpmWxFycV25vR0G0W00201nodmPu6a9X1hmdmZu6CN@3Fs +RmczC000Ybd002HY0aCvP8yU32CX1m000Hsp00400000W000G0020000H000400Y0JG6003c +pGavCClE94044widXfxP00Gnqu@OCyl1bcbGXyOS4@30100004G00Ye0200082100080bvpG +P@60100edx7kot3GjC0Tyd0820WUyb00080G20n39b0200040W00010n@R00002040008W00 +G400G11480C8G0801A00fZaG199a@l10G00Urd1000WTypGE@6Kql1000uT400e8001Y0000 +0W2H000XKa0022WYgJ00Y0000G0A200xVP0040WL0D00G0040500Y84000C_it010100000W +00H8G000002KEy60004OZX4s@tWssPWW00000aWl_DG00080000020Wh@p000IB0040YW00o +Ud100100400Qqo0000H818MlyN20G200W80C204Y016YGZ00C8281202FoR000404W4I00H0 +W8a01000G4000W001H0002280W80H00W0000W8000ehqD0G080I200OG41000p0020000810 +8W0008Wb7D00X0000000H5008s400I8sI430000090W08W00W9000G200000080a00W8n0GG +4Y404b4008001040000Y0ei4G408Y8000Yj@J02000D2106O00C00300100000500G0500K0 +009800300e0W00000600080WInD00W88G0GG0e4000008W200011W02000G00X900vmdW208 +00G80Cm000020iuU2000H0004W00q0X000300010000m050W48203C0W4G040GWUfDW10C12 +DWN6O0041G02@t000W0408O0m00004meY73000400WCOHP3804000200030100G001009_Q0 +0C400W00C249u124m8G00114040004CX50001020S000000WA8000604Gu04G0EPE1G10000 +20cKo010G00OW041888AdG0W0Y301i1000WOY000001005A200200G10K088000401010O08 +32G00W0004082002000280e001001G6E60G00W4000W80WkuD00W8H@o6Swg10G0000a0008 +1090204W4WIvDOpV30he000000f0G0100WANCO4V3010000001500140000G00H1P000GYzP +J00WW2GW0000100HIW80000e00201020004IGF08010T2Y0W00200820u08000e8WZ0200Wh +0H080050GA060GHW10400010W1_D00q610Y0GDGO04800q000GW00G40002100000100WX05 +z1000GsB20mvy643W1W30G00xYG8006000200WUtG800y5wT1m00rN42003020G00GyD844a +y2z100X0qB00GqF60c0000acWmNaPCl80_7S0yFLLXPggoYW7k5PkAB2m@M4UuH50@3pC@7c +Pk8CpSH000W0uV0Ed81@700R@Z10Wr6h20yU00000L0y@l40GBj000yWMQ10jq20U00W8xJ8 +gj4CD0MoI0IZbi000aMw@@D000O60AWB0KWR0l0c0SHC1OY00m41W28205m40AWBWL0JWx8c +0E5030404W900000205100o0sHY0a14f038I1aKa283G4GIWC000P08@@t00nf1000Z100k1 +C044u600G40WOYD00C100O2O0ODm8mEmS00Wv000m0000D100S1E0a4i2u78300mF0004GYu +60G0O2e282W900W8000G7004H1004q100GPK29y@@60uV0000mZgg20cP64uhA80yVGXBOWC +1WAL540XXR03E30cP60KjACPcPKPL0O_KlM0mq0moq0Wbfn2000Fuf50000MCD0QzSTirp_p +yx604G1uOMRgvDghjn0000Ck00WMpr2800GnX210mvfhySsskb_wWfdO60US0iLS57HRmzy6 +qfK2NV@0010WMlDe_x4800000004022mow60G02OiR6gitWGxDuiRFAFd1000KU600_5qWEl +C8mz4W800004W000WGfx60500OOS3UepWDwC0804mDT904000WW0IWh6iMU2XedGRpmCgh1V +oR00WSp0qDO2_7IHt000C0x7mGXx9ScU20W004000Sak11rd0W08a_@D8NU3QhFXt1JuTUO0 +Iv0q@k1BeRmz@900H0eLy400040020eCl40100Ccd1W0G0_@t0G00400G0000GW288eL_702 +08SCiGG700E@7fpyD0004X810000W000140G21qiN8H_720YV_Pon8RE6_mtWK0Du@@40050 +000Iy3V30O00000Ga00W000W2W04000e00XG20G840400000023100pipJtI648DFtxd0040 +402W008200020000Y040020W0Y@A52000OimOSf73Hzdms69y@V200W4000Wi8e1W0000200 +8200W84020Y098012G0G01WMO04b08uUU00uu6jE6TNc0001Wr_JOl@7000Y004010X0GQq6 +WG84040G28Y92008H4XG08490Qa00eqUX0bO0akU5FqRGRu6a7U2dgRGx@98G00008002200 +0WW0820X0Gf000W200000e080010a4G000WI4aMo3HNRJbxL000I00G04W21I0080020000W +000W000820002eovCu@@442200041W8HW00G4G4000C3G400400049u0K3GWIGCklG004C7_ +N200W20WG0001400H0W60G00000Q0008000O000W020080eGAx90020W00103K00W600C02b +Ult0240840100800Y0004414KRu21Q90u@V90G100A0e00W00806070G080W0S0001440200 +04W20YGWJ0008010100W08bOQ0W820H442AAXXX8KW00042028a44W09A401D9KQO6a_@324 +08G0122A0W42004g0B8002G00041054000828G000G4WguD00G0800080402LqOW0040f024 +0200sNqWmtC00200090WY_v101DT7xL00000XYWO0TCG4u0010WCH44W4nJ00a20Q1000001 +000H8000080eL4041MRW200542u00G11D0_@1WF_5ms_21cU18yV90GLBuV0MCRE3a9pKfg5 +1W@BoiCJKLg20000J0oEc10WFyfoPGcV6GG26G21000m000G5W0WA0544WIC00eBiV000mPN +00elfAG405W9WB0J0J0c0c000C10e020G1C100O2m0mqWuC00A00000A0S600e3mA82GDGa3 +0000420afCz0OgxE0W70G00W0SspF00wxowd7L500000000rLfA000p0ul0mCJ1nC0Bo7500 +6Wp_v1O00mnuaS0z6fcJ2A10WUjX9fxYExN500i@1@hrmT81KL18@CIc0VcA1NoI08mPzgCX +K2JtxH@v6CUl1T14Ia@X00OfzW0C00800G1G10W0oQ_600011g20G@w9KJB3@@@mSl6SE@I0 +wI06UU30I00XXdG5qFy2z6jpRm0t9i8@F000uJNZXUiP0000100900204X@R0000802Q0XkR +mR_6qNz63Wxng@m008lOyIC2q_1000WG400wbWa1eP8naY4YC0aYk400G041Y4004XG000nB +q60G02000W0418Yt6R9Fn4_sa7000ma700YRV32004W00100AY00O88CV3G0000080eKpGsq +cX@D@10mAyubL4ul1204n402004P0W820mudpCzk4RXQ3WG1Wk_b0021005A2004W0Ta01GW +uO426X40080800W0G400000014yzk700100808SpT2000018084D3FC2086AtWx_P00YY004 +0G2W03W00I0200240320eG0OcG000W0840008804vY7Y005e0000H0Ga200000820e00f0Om +X@6CcBC00MD100X00O08oo7W02804bK2io200008000600000K88Wfm0WIA1mc@60040000e +mmEO0000000O00C0WE0I8503k2tWMVX1Gp1GL@6KGM2V@RWYA2G4GG09_RmIS60004041G02 +00W0Qz0020IMv604000W40010100200040GAXtWLFR9Oz4aA00SyV2zyd000140W22G0AG0G +4W0e060W00240G0CmWWG1108H0000J0088YnHZUC5l1VnR000CXHwJOSePUgtWxeDeX@702a +GH00000824G0000W80000G010W02e2000W001GWVRn000GOwtC002300H0G4u9CIbDW79O00 +80WK00gUV600zb0408rB01Gr_60E80000A2wh1800uBRkR00000bG800810UOofcdnetOC00 +0MAD0iKQiCjqeQL0miC2mIb400000Lh2j000Mc6Wbjtm8HAGwt6GIG6XCWCY81P4HAm8YK09 +1W0o0400esV3sqk500rLvWRGiPC000A000uC00mE030L0T0C0K112e22406080q400O7O000 +mSWC00000n000GMwI0002NGCpiW0uVWpCpegg@1Uu1Xgg220_74LL5eelRfXT60eC0iok40e +M0acb0000KIG2200WK2W4WW88e4S6G1HG008OF6g1tr8px20GiGSHjiem@HAOJSHIaJVT00k +LYQlb2LDupR6kYdX3u4gu@A0UP0K@@64002000W0408280000824W000010G018W040018W0 +msi60K0008W0p809y@VK000mC2004fGB000WW000I000OZB30W40300044031804mszl20Wt +_0zU800004G00C0400006W020004002084400GGDLazmL0l90Qd660800G0G0ZqF10082040 +010X00HW08FIg0001PL008oHL000W0W0X0W0030200G00020000022G080008000010G000P +gG50WCmH_t000G4008Y2DO0020004002002000080G00100vu@4000400H0iy5g0Ve0y7A94 +000W000yiV295O00002000400040GG0242GArA36Bje1_VmN00m9@UW8000000080G0Xe008 +00G00888440X612W8008G0800G3280308GeW03431X80WLLHggV6000EDKG80004sYt000G0 +G01441W0ixc1u0W0C00020004402010220W04003IxzXAGE60@@lX40000e415iR00XW8X0X +IKA4410Y0082040H0020200410008W0000yRc79f_3s10Wc_tG8000204004000008mG2420 +280060030W0A1100500H00W0K000140000GmpQr20Wryv@R00m22004844000G100x3i16WG +W0G004004A011600080000080imE3@3T5mW3Wb_tuNV3W4Wayal1Ce09100G00H200008000 +G00800040IktW02DOr3g000mh7008OPF040qI0000e4GlG0004_2Y0W00480820uWG2008HW +34400W01G02xLB000000yRRPB400000r@00b@BeggM4ym300_7JP2Fu2VH0u@YmBp4Lbg100 +0m4000a@84000WG8OWG08IXt00600040N000Oy@6WA0AWV0M0k0t0S1S124u24O150m2uIW0 +W1W102040608W01O00cuIK000uSD004rF900WmZrt0m72X0@389811G2G2I200aG8C0XG802 +IG1H0XI0aW00005ISS0FVjDWC00_p6fvj99f0H@3SR0sC0@k3JMcZbQ_3n2NHawFCfk1000G +MptWoyPG0000040028004G00_qNem@h00Gen@@Xyul1ZldmzxCSI0Lf@B10h6WZ28fGy42gN +200G008W00021K1HTDU_@Yf@duw@7s_VXl@FOy@1E@@Vr@ttz@xb@VUx1_5s9pbQ0W80Wrz3 +1i52m8zyi9U800001800StEF000eYC8aceneFS38002a8D30080s4FXs@D00W0Iau@00uSv5 +HUsj@XZsRfl030210y@V80y506RadjZVOaVg000m9S0080GL_@lE04r6@@3Z820WB0IuFS3c +idX40f2uA0mp@s004HeLqO9000_MuL00yYxxtcq7I080800010W000xrRGuZK1Cz0ul@G6FX +Xa7OuaT3MCtWkpDu6b7Yk69B300xzJ20K0WD0Q8503000G00802GXW80G4001000820AbcXp +zH2uo1GV@y0Wm08ex400000K00eIXe000WJ8008l@GE8DXESI00410142WjwD0K000000070 +002000G22mbiVK00SDB2E78000200A000GW00e42008208000KW0H00MsFAmPA0hPGoJy6ye +n30e1u0000WGHG4040W000H00702000920046UKS000gxF70av2UuX5fggO2Uu1W@gYfCN40 +_leghcJLHKa0a10ut@40WW00080X00021000400000W2m4m5W9W90J0J000c00K00Tx7rW@p +eR0000Okh0eAWsjD3000gK00X3@TA8_4_iF104021A@mqzI0100G000Gjy646W1Rud000JzU +2YgQ@46vA12W00XryGZPF000G000GG@z9GW00uOP60dQ0Ke0LLxl4000Mf1W0TkhrDEC0000 +iP33M0Y1010Gfy7200YqfrQf6UgAZlY6vP0Wj0GkyXK4EIWW80cMeY8YgOWk4000GpR00eBX +Go5GYixcvDZAMjZ400m5jpBA8q0YVyiv93I6vl800G8vZiq@@hsn79Ftt28v4W2WgxYVL000 +GhHW0eIYMU_lecAR100Vra@KTqs3@@d0810WG4c1m70mS@QbWu6Dtf2000Qd300@@xK2R6id +w60408_@l500rJZpo6100WsAd1Gn1m@@E102a0m00m@@RG00020002a41WEML1l00m@@b200 +_7p00m@@510060008PeJR00c00000SJHj0wy18Xi4YYbgOSC_@@G00mitJ0d@@R0WE7W@@pF +Drb00WBy@VN000W24FX0Lp1qt1mhHcDxh17yD3000muCvvwSO0K00y@@C00gPdY2iiuDOAt4 +IcU60eC0rP0s@@@000W6h00m@@T10H0u@@V004W4M_LxDRGAU51Qn1OLTmYE@7000m4300gV +dg16De@wY000k4InOnR_Ja2Q51K_ZAyVe4@VwFF0u@T10000K41eTVX01G0yLzLFkj4I00W_ +H_@UwY0Pf04GALNzj4000K9300bR5L@l8z@l100s47PDjTSp18c1mS@HjkT2Bn@GPts000Wb +580myu9qcl7XvsITmO05008w_7s8FXEnVufy400K2KFU8dlR30G0W__b000GO0oFCTU2rfBn +1w90IyGOs0CgJBdXrb8s_Mws_1000OO700IF_aSaPRnS5@z@41o80ph7OmvU000WX500GFyS +280000WAv0e_5IF900F0oUWJ00100000HC00qyGcz@R00WbpLFiSKS608q0y@Vc00Y0G0000 +0005b00mPoPMPc100KKR79J0010000G10000qg1uaAdEm_vaxt0200000cWOu2400Klw10Wi +m761000000C2100D1PsvrOCDy3zlB100yuAZaxcTO0Mu04ViPFnVIZ_I0000XJ00mASHH000 +0W000044a@@D02W0mUlIyTkA00CtVr@gEAD8P@7G00046U5@8x1up2W@@T2040HgI9040400 +000020a@@D000mGNy94fGBa500ohdgemJuxGX00y5@YYM0WWWAAC1G0G0@@d3e07WRulI0H0 +GZz6KMl1NxRGVup000Ydq00GkIKDOV2001CRhFXumj10Giy9bKzKV2rcpmc@v02g0ui_e00H +000X0OoM3C1018A000000Icz6CQ@C000eKD00C@VK0G2000080H08vt33k@t02000tXR30WQ +emZq200emze6G100eoVU0v30CO@ODxp3000OE30094U501000K00JsRma@y008W_@@h0042q +tFIW0M0ExiB400000W6cuc7000yk300_@FA000tO60000O41600000W10_740yVz94d30W2Y +jml2000101G5W8000L00w3@70PC0@@h50wcA100csD00YN@d@@_@@@@7jdyofh8kyA2H@40Q +D0@dlngwUybU5vrP0100000WeG044MO_ayZz8XV3QnV90800n_Rm307qy@6f_V28I4WNmtOQ +TFUdlY6tDG0000000O2081fZdJo_CuQ008BVaEeV30001G0W8ki7cCrD00W4_n_K1010erC6 +6NJ50W82f@BXv52WDrxAe1X000GNGrFh0Z1004000W000G04W004EoC0G41o@F100s6v9G50 +400W00800010200EuV2ZSHIE1CaxV2W8T0cpt9000YTld020000W01NLoGTOaW800000Gqpr +9000WX@00Gl@E1I0L20004b0010830KY001WK0S@j1VeS2002etKO00200004aotf204G00A +2001014320w@pWMKL14G0H3oF0w51u@Vd0G0GjKj12040G0W0e0600100qJz9ytg70008lVr +WCkD8tU3000m5_OK200A0G10W01e400085088W00G00000I404W39Rid0000ny@J00mLo@@E +100eZ44300D1H0GC0200410TI8000a9G00G0WqVU2fKV30e80y3V28000a0000oj1u@Vd0Gc +B2UuNaggY9uX70_hALgQHm5UYgcfk1W700005ql_@60Ot00Gt0000m400GL1r94_8LE2W2uD +05W9mBWt0J4c0@800CH0e02000C100G0m00GTpUakf1G08000G4020000WC0000000J0dh@@ +8s510009UpVkh2ZJyD8bxD6kA1W0003wBHvuC00GNAhyM00W0SsrIvYlHBwC0Ch18CuM0100 +SlR8W000Y0pWSptOOV3UPEXsms0000kh00W@@T2A0004G080W0000800004G00000800000X +QfD0402mMuU0008uvi700yBqDvCTKwX020G000WG000W000W5W05002040800W8100G0W800 +00G24800010WG1002@@R00042W480PN@Gzr6iYk10S50Mx@XjqDenV6gosWmiDut@4wps000 +I0d@@00AW024K001840000000uWWK0000800G0020110004088W0W21100e00001zwd00012 +2000ry@Gvu6akc1s500QwdX2qJudV6QHtWU@D00C0GLwC0G108v@76Xt00G0018R0W008080 +0f2RGBuC84008e_4QiZ100W0dsz00WUxGAewgU32@YXj@h0008ujVa0U90uvQg4180CYw6W0 +00UtF14100Txx100O2G100vupmopgyoA6VjcGShCqW8F00IfMxGYY6vf4830100TtR2VO810 +2000W1000e8_z@40VB0Fr@pd@F00904e00mBS64WR2rEA1H0000Y800A00AQBXGStWE00mG_ +C00G08ymJox73800024m8W120006Heny48800WH00OZm42PF10200bh4200LeSMUO6zJ0W20 +ax960e4000G0H41002004Y01020000004420nG1W0WK2020KY000WP002W00040040guVI0s +x0CF03000400W0aGk78100e0000100G0A0Gh@L0G0014G0040e80010400Gg@t04200800G0 +I0GY0b0000e008000040NnJ2000CR1007HaGN@aaQY1Z_lX1W020G0009080004GG00OqU3G +W000000G0m88000420W0000eA8L500r0jAcGgx6CCF9fqRm_fO0090010258W0W4KD000100 +0Wa3nPG2W0Geq9q@Z7@@R0WA7WICT20m10202GYW8080W000W0060000020OA140GQ2020m1 +0X0G80ed4002sD1800000I40YnD4000CG200_@d14I01bkRG0gU002F0100GMvLeg51m@B2F +uf20@ZPc0WggYYDXC@p10G_Jo_E100A205m50AWB0N0J0k800CH0CHf10W900WC030l1n8C1 +kp00O4100200001000100O903k_V3W900Pzd00002W820XnPGg@U02G610WCo@@L0WV300Y0 +0mXtN000Ozwl100030mW20000G1004lU8k400_@F1000__F006sleTPVeSzAcOd10080000C +gPF10202v@d000yXB2_PxV901GG0G008T_46Xt0001WD0O0004WC0C0W00uMy64102R8R000 +4YFLCu6P30800000l5000qiy648W100G0000448m3XmP00W0GG0000420tYEX306ObU305W0 +0G00OII304000G008K@46otWzwD0001OjS9000040W2GI1CKKY10480kkFXpxD0SL3GW0LaK +G502GW00002801uTQ6o7m0G00WRNc080100100j@p0002WmePOWl42b_XW2C000000021400 +0dyR0000Ej200@yBHjAaSJW1p6B1W08Y9kC0G0G810WA00200W0W001000500140GWF60021 +000006G0WrmD02W0000W81040zNdG1sC00OVkXy4IFoWeiRvTvAm00G8WG2r00Wm7t604a0a +W0400444G00000W0408G0G0240020000c5PD82y40040quS204G0s@F1m4509lcG86XCzz6P +pb0008002434a2180000220WW00C0000W8W002WIoWp042280G40120000230400000100W2 +01iz0000aCnJ00004700WEtD00e0GQ4FykTBHMd0W801000001108402001020088WK10000 +20400G00008208G_4W280i4V2000XW0GWqTU500QeNG7ZTvt8MRC2ts0200GLnQG0x6a@c10 +000X002000C000Gquw9STV208600HG0KqE6W1R0_@FdLeDeLU36iD182000080X01X040GWG +08W88210010000GUppW5pCuES3wXpWbp31004MQl@80018iy700010011010G000008010Fw +P010000040lcdmYlCi1U29wZ10WanvIjfaC3oJ81010WxwR000WG0610G00O00000G01QRV6 +0040ynh19yRmmnF0W80Oht4__@1mxA0HrN400100090004000Y1XHAA0gem400804200Y0H0 +00420W00000YX0GG40G840G408C8088H0OOH3_@o0w008e20HW1004lU5fcBH2gdW800W8e2 +8001W85C04820G080000Y420044e4WG0412I54C8100G0Y00041Gm0W0W0a8000a20WExDOm +26smp300iutvfIrdL00004G008008801GWrWRG7v9000KenU6YztWrhD0aOe00008200GK00 +e00H00081OKyD0tb0KPx3bzVo@@60G0W00Ae0000H00W2FmR0500600045kbm_@6y0X1008H +G400W0H0eeU30048q@k10G40YWtWHnh0000U4N9G400004em@@m0WmK000e00C000000q000 +00200Y008QM3kht0G110rwd0G00200000Y828W000WXGuSV30300W000O2K32@lY31Cu@@40 +022akMBzvP02480001W008Y020K000WuKF3G100Tal180W100009100000GGJy6802000000 +K0WYfxD0K008000Yvut0Wu1m@@6q3h1DNs21O0WdXD00G001G000408FKm0WH0900008G202 +zFXqVD0000ZI8404c0na0O0Wa92000000W800020002IxZK1000Mh300H9d00020m0000WK0 +_@@40GO44800q000GW00G40002300H0G309WzjV003K90m3K5rpx6000MN0FY80000gdhP00 +000Uc1y@F3_lU0000_Rz00OxVC00Wf@@FCwkR0000svk00Okq7yF00000000_70000300060 +40D1O0G0q100010mcd6008040C1W0a1G01086100C200GI000JW00C0c8C1O0zmj18J0W@@D +000mqZSXKpV2taR000O4PBWamI0T0Y0I2qHq18ZW5G79R2400s500KH1m100qN0@3000GG44 +myi0008200W18000W1m@@F00KL50000283WC5tec43_@t60y10uX7WmyCWZvPmqPymCc12Fu +14FHWlnkQ9CSIB00O0_GHbSoRPxn4Y@F10028bBdmjSLCvY1znB1Ow2WfxaeOy4g9tWUvOeG +TUIbDaCknmd00oi1OiZU29503000aKdPO2_40A0Wail1fQcGLuISmk4TYMHkmgyER5005001 +00W0000W004010WQ7D00000W01W8lD0080bW408802Gb6pmhvFaWj11tR0uW5WGWJO3@Mc3@ +100W0bvR00K008D40016AW0W00K0008060O04G000CmW0202000O0000G0oZs600G0m0K001 +2040I84TJ@0005m_zPWv80GMmFyuj17xToe_604W18XS30080W00OqW008OG10000e022002 +1m800000GGW0G280W0W0000024808WO00800e0008000802WW4G0y@@3WI0Go@F100ASrYR0 +008WezI8ltJQFdXrtC04000000100200400GW0WqIk1000W040028W0utw40000A00020010 +0O040W000800gatW6rbupV30iP0q_79nwZnZ@600809qV3Iut00W042800Mgt0800201020G +01slV2000Wa100jKbA000GWA00qi@Fbs@0H0m2080W0G41040680041m0G00020400080200 +4000028A4Z4000WG000uLxG0GquCDgG00W0IJA18000NvR00G3080G4o0W0GG0ISMi100090 +0Y6240W000IaH00G0G00RGV2On2WTuBArV30840000040804Ae000W0GW020kwoWV2D8xV30 +0IHywV2bVHIyHpaaw6a00000G0W8GW014Y2i2a000G00OG88e6bW2A003A20X0500208a900 +0ODC00Y00K002000cMj9100aVo_51W00010Gm@q60G5G4G2I0HmY3Q8we0P4G04W19841401 +4000050XO0W82I00mY82040032GTz6yyU803A0wqd7008000040022100000W2008XmTvV00 +40006000004081W0G88Kmj1XZR00X8K0ea2LaOGywUOH00u@VU0G20G1500090W0K2004G0I +0050W10KfU20G40Yts00080WW000W00YG08OyR30W200G200100000W0O200r_l100hxad@1 +X0000081420c80000024_QV20G000080qFk10001W8000GG0OGM369t040HG04000Y80CwV8 +0kD0M@d7W080G9C2048004A44G00000AGW0000001mG200W40uH3300000YY00830WGe0200 +040G480000000240Ke0004W9w21000aI10WgCu1401oov60400G0020480Ws@JuEV301G000 +00200W0a4HWKtD00m420G0G9GyD060ayY000008CITFcB9XQpj96k4410H080eL00400R011 +yyp206084m8ptS706S502u000eA001400000u84100TRAJ1mC_7m@DTRmjdCqxF60Z6063le +ScD00W0G2S600C040O0e0m0G100Wnmb0k040K1OIq1mW00WX0006100e900mFW2000@C0MzV +3000yXvcGIyp0WHYa0I691a142e986H7GDMa0A404G008W@10A0Gih_705WCztB0mJN00h00 +K7000mC00OWfR062000WXj50M00WMwF10WQPUxyeg00m@1nCcfILg2UuO60@540008c10000 +0Wa0WLfDOM_AYSAX1CF1871mEyE10G000G08100WXdVumC30G80yZh1BzRm7s6irF3DERG8w +C000WW700GT_6iBT2NpYHCv9yMt9x_Nn5z94A_35_dmvTI000EhGz7sZUZ3rCe0x7UV@X7uD +0W110WW0agua00W0udw9Klk15eRGq@U0_u0uEmPYxt3GXA04G0000G010010008WG0000200 +rEO000800022xcp00W0XnsDG0X0GCc6S1_3000e8F00KyF3hkdGOudKzl406G0001a200q00 +88400KGG0884010081W200GX00208014001X0GK0080G0GG1u@V30W0Gi1F3dsd001030000 +00sf7ht0020W0401_6y7000G000G14G12X1100Z00H20GW44G88G400W080e0000YGA6600K +00E00200140240ndQW0000010IT@pGCt6qxg1WrE0_@F10480nh3JYyL0GW00G20GQq60000 +2G08000200020420W000102GG9tT9W30080000001mcv6Kql1ftR0000AN10800004080qLZ +1020G_Dx78c0G20000PY000000048820C00000DmR0000WqPD0H0000Ga000G4Lyp00W0Wgh +h00mKtea55@T28001kyF1G00006002xt0224WG10YW8W0W040eS862rvXbuO000Wn_@68qO1 +efR6sh4Z@wQ10G0X8000G800W10000W008000080008010G1000GG00H4bzl10010A2sW3fs +00200000Am0WWLpi48000000420Y0_Ms0H2W8204YAYsWF_P000GGUz6yUa4nc@0C0000034 +0010spa180004000EdDajzn0000820G9000CK042WH0CG0Yr01800W30G40W00002100L00H +4X0012G008HG020W80W0W0KcF30402_zF1000X000g00000Sp1WC00mbHCiHF3vsVoM_9000 +800CWWW14OZW04043003m0310WYC0000G8OG0ao005H028820002140W04008010G820@4X1 +0820008Wsza10400000muF01000OjNo7YtV60840NoQGVfI0G00uzT9k4tWgADu2S600204F +l102000W9001W000WP281G9A0XIK200a0PGG100000Wu5v6KIU2tU0JXZLCe@3001000Y000 +W8Ooy400X0qXk1I00000e0SZk10200G0000UmK0040908W0000X2G900008a@j10840cAm60 +0W00G000008000GeRT3Ida1G00W0004W000ypU2RhN1007W@zJ0000hJ82HW0C4000e42002 +0002HG01W000K00WxHbZ809G4H82L@R00G4W7tP8Vc4000000G90500G8iF00004100muD6C +SV200425200000242200040H00G00K04I5A70G207rRGmw64PA60W42100P00000089IOu6q +WZ10I40_kF100WG00E0008iJ4000GWIlR070i@YG00O0G880002l1X00W68K20300bnP30oF +0pyF0W20yH5@kpTO0IG1G62000w60ZO6000W6A30000HK600yyJ1y40n@ZnXS6KpD3O000wz +i80030000W4K004376000808080W0q1W00mZu6008000S10000O5W00FWp04000C180O2OYI +4t000SbE300uD00mFm1WaWV6P0PamnJ81POU4F10e@@2WOc144o0@e0000008i001u10k2W0 +ylgM000yNc00Gt@60H0_tz1yid10000OWr_x1Gj6WrrSwtNCQnlY_WP8P@DWF00SOLBf3720 +00mUtCuKB6M_FXubD0W008004000GWrcdG906iUU2nYd00X0000hV@1ymEw6000W0WG0uOwC +a6_39Y@mMy60GG0uuB3YucXa2m04000044eLsP8P0C0JB0aKG500480440aK0CpsdGdtFG00 +08NvMkZFXuuC0v00mDJE120GuYV36bn080W20084gxEXZ_DG004m3M64HD3HbBnbu600u6hX +_7QuMbymb0001000G0YWW080006lnWUlPOuT30002W010O1k7Y_t3Wj20XtB1040WkPCui_P +000OG10G000G0200014000010K008Sdf1JyR04G800W00bXnGuxR01008dV3kx@X1tD8CyGE +a7382002000UPtW2oD8fU60200KDU200eGked4003g@sB1000XFlL9xX7wMPia18Bo0a3Pu@ +FA_lZZ@t8v@CM_lafD2i73L000h24L9E@F2q@X8z@7K@tXr@RWzV6Q@7lPN6000000mAV00e +cG900GEzxV20WS2U2MYawC30V0D200000h800_2m600CZdb95O0A25Wa2gefS6QPNeR0dy8V +32CDXtecPoU600q65_VEjtlHIgCSZlGWh30QqwgYdt8@vGstd1000is600Qxr900WKG00000 +9000W112G10000mYKJ0880GF@I0100uWqD040000e2DMOd000AW0000WeG416GG48006G401 +0W00090080IZ00e0G0eW400G6_t000084401000000G5uM_4MRtWUqJe@V30kl080G0eCU3M +XNYqxDOAjM0W00WO40218o0220615mCW0000J00800W000A008250W42RmdGBy601400W0WG +@@9K5l1pjp0000Af1W05odGpiI080Gu6rJ_yF11400000010G10440000W0W000000G200G4 +20001009IU60G808000u0YAEjd100lDbe8HFt@8000wBV3a08000200111mj@60G008sV30G +a0qul4Y000kz73mR70rY0Jz_U009000004c80082080G41IKt00040000HMHMYvoPOqVC000 +XWP00eZRd8000rfl100G9QotWazD01000o00WWTX100000WbrmmZ2W20mC690004000WqeoI +4kR59yY18r6Wo@hul_S0002XL0800858W8O80G418G0G0Y021001202JC0a1WNwD8d_4g_tW +t7CeMnDS600y2z6FxF36100C3eo044200Wn00G0102GW800Wn_P0000OF1CKEG800G7Jpmfs +xV0004GNx6020G1G0G0080A004H0000058AK6l10I44G02008Y0002050K0Wn@h0SW3GvyOq +jiD0003BAN2004W000200G00G008L6600040010K00Gme@R00001K00GYrOW000OC43_G261 +0000010IetWQzD030000000080G000000P8itZ1VuR00H400000A000s_7300q3XsK100GmU +pj100W40W8000000K20G00000e0g@V3wHn0004G2000gZFXa2C00000008eh@t0Kl0m@@L0G +400W80GSys00b08Td7040000a4X000200040104AXG0GQ2m00G00f0040010000G00cIG80a +A1008vU9000GRQ008@U924mW_ljnT1GE0000l21mG6H4nFr@B04G50CO10GOXYLp10Gyn3vE +1002000W1000302020C0408000G00850300J020c06Ho0C2004Z0008200m40000W10J000P +0c800o8T@Z1Wa1W@@b8GW4E5o6Y300WFUu000WaVJ2000Wp4OcScImc00mexN5CFCh_Z10W6 +_jWbB3l7ooF4mw20nuFV8S9qI46f2_GVHX00uyy@@e00G844D340W0IFJY@@39ND60xF0y@l +J0004ICp0040200G5I2B1W000000GYPqW7uCOxj40100G000uinA0100000WZi00mDJR0014 +u@VO0W049G0000008240WgvCG000miR60080O6G6G040ajj1769nVL6ioe100qxFkoZ0HuPz +F3G0G0y@@30012_@l5mfF0@@xHNSyCkfG0100000m50a1Rgx44000G000rhQ0G04WWGDeZY4 +_2DXqGPuWz7oYa10CJm@@JrLVUKb66N0Y1Gp5W@@T20050002Y7IJ0W28mcjCCye1JSy0100 +000G0B4Y10004J200@@x4010WU6DW00080004W0200001008000009FL30004ieg1hC_0061 +W5_h00WOm@@H1H0WOUI300G00008OwI6Anp02041Dv91410WdWJ8p@70290SxFL0I9001W0q +_e1040b000820002G04n6a60Y4000A100G00000G0824000AH400031WIhgL000WsT00m@@E +100W20001208C4090W00000XG0080006000W0200A0m4080W20WG00ON6300400K14104000 +0888001@@N100Wk@@T200G18Wb0200000E000008002W06000E00G000W1400100W020210W +8300kxUD000030Gm01800WG00QZpWjQb0O12GMOH9WW0000041GG4800K2W4H040008Ga005 +08000I800G00W0LW00zBi100G4M2q00a800810_7k2000ynVkn@@sWA9070iQ2a0W00400G4 +0uKIX00mLW30400051nK0C00mHY210Gh030800010W00S0000210wb00Wmk9@@N100Qt@@fo +20yF0KLLKL5pegg5HLLBYvgM40@l8uXdA0yFcoyVgKrYOAp51GL92W@1000G50@@728l3W@@ +T207000y605m5uAW9WLaV0Z800tP0K0Y0e0C1G1O2u2m4m4nFW920WVKA0600000m0uD00W9 +WV600c80ccM210000008YXhYaK42_00m@@@GL00000000_7000q5@@3syVgaqD60Y70QyM20 +00GVUHomrmCVj4W000p3t0G000V5dGA06KqS200080B00SCk1DspmezCKGE3Hb@mEv9apE91 +kl10008000WzTd0011W7PP00Gnuit94yT2hx@GytC0801u4yAkKdXBes8eT901G0CuV8W0D0 +2Qs904G0004010I0K1k1zwcm8_60W1Gu@@70W0W01000W00IDyF08000004GVg68R00OAzA2 +cR30500vpx104K40e0602G22Vp008W00G0000100111PQR60280G0G0We002101020400080 +008W00G0080A00010000W0400100000WwTmX46@79100GY0m0K0H1A0008dy4G00080040GG +0mwnF0008vKV608400G000002G246010400000u41Wiyh8@uS0G0004002201Gu29080G020 +400G0000G00e00U9F1001W08001G409G404080J_@90001vTT302G0aWl1C000wQt0000GBz +UIs3U01008ly40W000200eOD9MksZrID0W0W200010W0000AdJsFgVoCuVR3A@tW4zJe7E3A +9dXiuI8rU3002GiCc1hwQ00W002000YQP000W000040080080GWnz520W0GZ_64TI27pI200 +0YJ5D8eU3Y0mWgJC00001r1G000000002tC9a0RL10110808YfwJOU43o_FXACCOB@4_Qq00 +4000G000900yul100400G08y@l100GOxp@X4zD0c00m9bvW0010W02mO@600001400001Ga1 +@De7E3M_tWiuU0m00004840802000500CO0080KWI0W000022000LM0408000050W20Gs390 +004UGHU0GW80W010W00e8082080200a02n@XmqPeEE3000A00W8eBZ4W0001X0002018i080 +000ak500McFXjaDejV3sQy70H00WG00swt00e0000001W201W000002KWq981000G010IY00 +0e4H0010800AXG0K20004W0GsAmJ000100WPoOvI86060G0G0450wgVLwzd1042000020400 +140000A0Gq_6842000400C1G1GG00dxR000WG000180WW0A00W000000GA08000G000X02Iv +t000W00000H0000eQK0W60009W000A00002kFt04000018023t60G00HAb000G0220000008 +80GX000008010200W0m00002G10300800100E0W020G004G8k8We8090048000e00WY_Dm00 +400S08000SEY02W1m2080WuqT300d00010Y010801000W000011ks760104vodG3_6000G81 +E366nWB8CWb00080W0K200W0800410010412W0mDO601Wa000W82000b012000000in28IW0 +00004280G102G004trtW9Si9oR9000bWO00G2mK2G0WK9040800210Y00E02004AIMS60H08 +6010041WUtK800y5u02100GHG404WW000H0070200092000yfWBME6Y1m0Y0JB000Gb0G0yc +Y1TTla@100mJLLM0pCj8yLb573mCp5HLLB20@Naggk8cP600yFu2@VCbvYOAp510000ln2VH +0y@Y0uVm1FyWBy@1W@B2kmJ4W4nvHH000k000G0C0W0W08301a3U2000P0S1C1aHO2m410W9 +2WiuIG1U3CYy3Sd10u1oP0c2i0S1S1u2uYm5m59GWBIW5000W9F51O1u2W2YbpW01I000W00 +0G60300LZZnz_R0WkZ00Dy00WuVGO108W30Mw76100WryR01008200G20000Ov0SMb7Tk_JR +u@00a02000Bq10WMpr20K0Gq@6y6D9NP@Ghy6008000GXqQmTTrQ215tIxvF08K1uNzh0004 +000G000GnToy00202000Bi10W2xZ2800GVz600002100OLuIqFZ17edmWuLK3T200cdIsFA0 +YWG0W0000022G00400080500000820G0M0p032007tRGye64Sk10G0GQZcXqpPW4000uH7Wo +lseUDRG0o0SQk1NnRW010WgrJOCU3sYoWjzDuIU3K000Kq@3txR00005000Oa600IDVZmmd1 +001mj@604G008004X00000009@P0G000aW40rs@mUw6ySD33W@000jkEAeQASRW800ashP7s +Rma364wG2006W000004W0SDH3gdtWppF1004OuZ9ajFInlpGfr6ye4F8000008VDQELLwnGK +_6isl1Z@RGcaFSTQ8WVC0sXt900mK0002800045k100L0AYt00800010GI3tWRpD8Cy7UKk2 +0G00000uv100yKOKNTQ0002WAtCuCC300W002008hi4oYN20480X@N100xvazZ24G2B0KI90 +K2220Hb00W08X000G0W08W00A148200409G0000WC6E3sqt00W000Y00sjb100020005s@F1 +G9A0Bxx40K01Y8008W000e0Oo020W68G8G0W0A0GO04G2GG0O2WY0eoD3cst000010G40800 +40800eAU6UktWh_D0000JGAHf0u0020W40Y0200m044G2mr00m1W81242A400S00bW0W4G00 +008020O0_40208m00GW002Gl3C8000efr400miailJ00W010a0040800G000G41204009100 +0WWGI000W0W88GG4010GI40G040066k1G000X000z5i1p@_0400m@@D0qr0mo_E10wl1n00e +NG4043GX00H0Gl41000D1SbG000S582010eG0YTBXmmDu6H300C00008jfu7Ge00qab1s100 +Qst9PkegKTHu1Fc0uVCpq@W7k51FSB2GLf0O0g0uVOc9y3mP0uhAnmmF10G_Jo_E100E305u +D0AmFWNWt0@CcY0t05K40AWB0KWV0h0c0tPC1SCS946k1O200s2l20420@@R0Ob0WDk13000 +000OU3000L3OMMS85xVBfP4LPtIC7f1vBbm3l6qBR2HUR0000maxs0Wv1milIyoGTBgZ1000 +Ic1003Ilqg@604018BV6YKW14002xfRG9h6qfV25ol100MzazZ20840400XacD8XyDA7oWlh +81KK1mo_Hb0j10G80Met0K000NvP00080W8W09Qdmey6CLF3ZyN10006f300HF6542001W00 +0600ERqW@@J0020X020W7@JuAS3C000yVV2@yN10WBuehZ2001mvI600088kV3M_tWQ@JuXU +O00F0qc9Ld@BHEXC0000uOw4EsF4000KH56rddL8G00W00000G4X8@d10JTVkdQ1001000G2 +00WWQyFvzTC0Vo0KaALte_00G0W1@P00Y8GKEg000Wbg00mZhNjpI20200e300aJm3vKBHxx +O00GSQPMg00W0izl1VLR0000000me3_d000WeSbhuuVC0w10iiVK08102Vt0H00000020020 +100W0Y0e4b000008WXXdGmvCW8000K00GDzOO900uiteG808010W2000w9_6002000XPGmw6 +GZO8uUz7EpFXwgn000dxIqE100C2AXW000W0000m56R000000C852mG0W0000A00W0000208 +W8jb0300Gm@O0Ab0eoQd008WSGl1N@RGt@6012e40W0840028W0000001080T6439k42@10W +ljT2X18W00eXCPCW00040004892zk1S0m_B210000XW000W00000WZkp0040We0Ces@A00ua +6D6RK5H0000SBp00eyaMwNtWvoN20Z491a4I2838000GC2J0S_c1E300uD00mFm10HWV640Y +00041rzp000WfRua8bI6OF00ShkJ2F8cnSG0uVm100KLrQx5108l8lEp0G00yIUB7PRmXt6C +jN2nctrAsOqfE9000uT600ShD6fWp0010mggPeBS6UvEX4nzeryMgzd100XJDTjHSv9iXN2P +ldGNuCKyL2HdBHDy60000PZwAsO@4mR60XXV500008010jscG0yIaej1XXd0000YjxP0008m +os60000oh00mI4aCG56@plHDy980000040I9z6if73rnd000WmZxJOqz400100002000200W +3uRwjv@@D_0t000000820hht00800dAOG7R6Sel10102_bF10C20ftB1600W1QC0G13GQ2yq +ADC5Xj1008mVoVOIU3000Wn5008xXe_s7ZF@j10GSP0fZ10080002HQqI8H008O2I4w40iaw +OJiZnpU6K_l19IZHo_Ry5lJF@dmRyL4ra1vSZ10W7iiP7300WA009WMuDO9S68200W800eyV +3W20048E60s206nTC0H005ZAnt@900Y00000uIA64GQ5O200QxTC00040G1b00000081u@V6 +e400024000AG2004A800GX@N100WyOXD3002Gr_I00080000410000440G0W0ku7Za2C3HW0 +000080L40@@p08Y10A041plQ008042000ZFY1G10WXh630G0mVYLKRk1G00WIcvXv@P000GN +YqZ10Ak1u0Gg602WOCU000iokF6G008c4002aC1Wp8h0OB2mqrc100IuB36k@t01eAe0000G +Vu0isl7vm7LQ@I000E000uD00G7W3000T402@d10G500GImO0JWO400E6EC00ud5vTW0Wg0Y +_t3G010RZZQLOKDjj4XVdmv@IKz@600MhwRbg6Vn8yRR05E0CBVTHAe2000_8kaOMXkK8000 +0028vzJQls000wl1LO600G000W0xfQm5y9C2l1Zl720I6W@@1hS@40004006H0000W002WaD +yeFS60000PC00ONVpMTDXCiP8gII00uLyaXSzndGh@d0w80OoLp080WafF35Lg200GMJ100p +kas6WUizF600Yx3nbD00044008Z_460@103xP6G0040004012000800080eZKL000G7df4Ln +h511000G000400Q0mWU@F10G@sJXc1G000G010810I00400004p2jbahCZ62e10002G0W80G +4001000G30e8NCEcl2X200dKEs9NC8W002040Gwgg00eH9wwn0GG0W2000Wg04A0000I0820 +80QOj50F70RSF6u0W00Ge8G4020G000H0W3010GOuC4DJ8s500A37C00GuMWPcjegg2SuX70 +_hACpSH0uVYbXh20W8lKp73051W2u205m4mAWBWH4J0h8006H0KGk@g0Y30ONlqEshYptc@D +EjY_t3WO10p3KNj@g000WN300GAlQTRt9F@x10WUx5XDhmT3W80GqlE3@mT2OZ6W30xgAV3g +Gr00W0W0G00U2dXPxJ8uQ9klt00002000O1500iniM5uR0000X80G0082mu_94IF3vsBnMx6 +000400008X10W@@TQeTC0W00G0e0eQ@7IPkYtkV00GESqrxTN7900000Ez0ixvd00WOPF00C +6ERJgC30WOeGSJB6@400C0iuVB0E00Qksi3uP0600m4Wg0000HG00mEycDlc1FiR0000mg2L +10WRIQll10b0000W000100W000005A_d4W@D0ZXd6008aZmD0220m7wjmB00OD3sEtEXarD8 +CS3U_t300Ss1DpMBbs0uM1egtt040qG0000e40020000a00VQ62000Qh3009ZzVEy9qsi100 +30000Wx800e6U600834zl7W_M0MN7lS5Aza9Fo@t0014000ig3Axd@@b0400H7u9i7_3HXdG +Ou6qYi108000W00000100G0GU09KEC3WF00_@F10Y00D2yG1vCaF03nVBHIv60G000000400 +2m4k314080000aokU8F06opD10008fKc0000G100095iH_09aK06VcBnpeaKFZ100000W0W4 +_V500sx7@gbkeF9xSXcUb10pB0@@Fs7pj4Bi4i300_@NnYxh00mCHDuKrhcD1pX1Go2WlD9i +4S32tI20010000G990043t@de_V9ir5pE600aIBgbG0820NHMnPt60mR0uHt9luFXOnDWc00 +mw3M20H000410080000X6T4FvAxF0KC1uCv9B8b1000ifcFMtgv00001Go000Wmrwfz300yi +d10000OWVfk18G0WO78CBU9002000006H000000sur7B9yIRubX3Sh0400GLlCCsz3WzE0kG +@J000yv7pphsmyvlD00S1lOcgFpsOppA0200CFx60uV0_ApF0280puknIA6OS00uKTa_gV30 +004RcdmWzC000W00W8mjz9ioT500QGYolhsuV00G0GayF00004480mwv60001e_wA0of0ibX +P0A00_@l20300@Zx1000Qa200xT0600W000G05nRm0S6CO_3pidGim_jUV84000cRsWq@bmu +f1mfwKDeN5J0amk6RSkW1Nzp0000I9300tmR6000G8200XtJIgzF008CVpSpGa0000Y8200G +Kv@F000GiLV3G80000002008Gy_I0uD1eDJssX73G000W0000800aaI2Bod0000C7000BjKK +zd51000C@K900miDacJvwPJNl60G00OK13U@@1mdB01RdsfDR00AWQqUC000m7idGNn42000 +000G19aI240000K00j@@00WNvCk9400G800000G0GnAcGOpC0Kx0u@@V0a80yCK800WNzV0m +WVG18kRI8E00000yoN00Gfy9mA00erFp00080G00OdQ9E3tWz4I00000Y000008G1u6500cW +Jxv100unr@R06F1O7_F100mAG008e@JYbsWm4ovMuPQEt000tqDjwNn@a0wK1uEmF100mI70 +0uhUvsdtWowD8YU6Iil200sJTr3pW7mSO@3pXpmkzC01000800Gz_FCfl10CN0AT@XVzR9eT +I2xdXj@POCV36bt000W0roRW100WU0V0000eq10WhxPecLpUOi500stvv1pYoCK_8L80W0MG +@1mY80baZq4_51201Ou@7000pnK00en4UWO00iq@I4300002020G08bJ600GfiMlMvCSucsF +4s@F00e0000O0GI10000WA00W0IJ8vg76x7cHgI8zXYC1002620eh93o@F100arTFBKRs6yH +3I018W2O@1myD0vIdmbgRy@F600I00WI0z@FI0G1KW908W020Cf0C0W00CVXD8W05YK09088 +8NsB100yf4vgu@@J803GqTh1nInpJuFCjl14040_@F10SE0hS_J5W5z@l100E000GWI4W08_ +e70800S0B38K00Qz3Zskb00G10000Oh000dAMq@@60W0G4_0000y@py@J00WU@@@y8y0K5e0 +000e7WbfG200W100W202000C00s@F1W2B0ZOp0G61Wm_R100G4000301000t80swl8m3C00O +400000mC0086k4S500y@VHK500wHNtXoPuZ6R0gd8CoxOH_p3000QI300@@psdXp00uOQ0gb +INuAGl00ZmFM4Gm8004eQ@4000GWL00ekz4000W80G0u@@40G08iWO8NldG_v@CpE3fcbm@@ +600mUv@V600W24dF3PpRmiyF4n_CxIPJY@90Ma1O1sDkkDaeqJO3dbcMXM04hz1aAA0r6W9E +uvDgYIy9ayw4Qg6m00aiczFF5eDJP@p0mY086sV_U0C0004u000Il73AH00JE0sm@a00mCAH +kA0W2A0008WY00GdF42Co0u@V90W1G24004822GKt42000ZI00m@@I0802eiZnwz@40018@@ +B100WM20800b0W_b_G0y00H_d300u@ltD0c00GVxT9V008HEC00u0aLE9lCXKlM46rx6roNn +Hx90IL18sTIEyVZxaDOv@4QCE1000400G82_z70080000em600aaS2d4Bn0pFCfl4fGd0100 +YyoJOSV3008002W08pvM2@l2006uPGpGAqUSTSEf5aGGsmCml10y50YnC408005wZ1000Xqu +D00C0GT@L002000GGGEyCaCl1dypmnQ6qBT2Bgn020000008u2G0000008018Ty7g6FXTxVe +8JI0200iLl100G0ABdXQobOJy4IB@1040000G00010200100WTt6wCqQl1011000W804009M +aAI27Z0rJOWS60402aiF31ddGhjF0020e_y4outWVzD024000000Wa905bN1014m0tDucR90 +C004SU8Zwd0002000e0HeZHIy647F3zrbGOx6040010006PX0000000220kRF100e0000W80 +004vk1HMLq@Uv020000W5uw_CKSV2LI8HczRynT80W00Ypm01W80B4FZ1Z3W2_JOH_400008 +001W000001000080lddGAxI4qVBx@R0Y00Wvk3H000mh_9000WIx00GO@FyGX10001gLuXzc +zOa_GMUnWG@DukxJ0080011000Gerx@C000240W4mGqC0210eiSX0060004900801041Ynl9 +99@4020WG00004o1mXq9000400W0mH@600G0m0062G08YmoP8W@7oKEXi9F1W0W0000G4100 +tTJ200GazOC0000DB00Wj3I00820C0488000000C08002001000G0G00Wz@Deg_Ao7FXyZVO +2V3000420W00001020000e00XRRGepdKjV200og@@t000G2JGR02420004000E000G0X000u +w63800000G004100WK0WiwF9xp4G000W0000042Ic@g0WG00000Hq_90oL1O_V300X000080 +0Y00C0W040002002G01Y940A20400H00100002000120400508GUO000m0W08eGT32@EXL5C +8u@G02Y00000Ml00G@lC0001uwU30000100W8483000000060KW0GD06K_@C8020_@d10W20 +vph2008j@@P02050000H00G00W2000040090G002Guz6CrgD00800080H402OJ23ILF100W9 +LV720W10200W000006S0qnU2000410SW8008a8m10200GYW80flQ0O00000808M0010Gca3k +G050000zXB0L1Ot@Dywh044V2000Or800y@l1KLL000p0m@50NuBoiCN4UmlegK5J0yF0_x7 +000W_V60_1Ww_nWg@3000mp4oJ8xz700m410020000nYO60W082820Gr@Fi4V5008Y_@t000 +X9t@R000e08000u2O200m58m2AGW5m50BWB0J0J0k0408000G008Q03002WCVa4tmH20UD00 +hE0000@y700ysDC0UE0Uud1000042X044819181IfbAHvrfjZy6000OK900ibz3JK_Jy_64m +z3000808002000f9V3gIE1G080JSXH_@900egCNV30900000H8QP62481GG000401U_VZHmU +0001mv_I00W000020GW0m4nP8fC62U_Xe@P0un1GMM600000410mZz6yIj1nNbGBvU0000ij +SIIcE7000em0G0wLsZINCedzVkCtWBiP8eR3MEtWW@D0100GiO6000GW008G_@9008WDLU30 +000_Ec1tUR00G0XO@jfe_AUzT3W0G0@nRmzl90W0WG0000X04G0000@LO0W800020WW000H0 +40200140008G00X4vUeuU3A17ZuyLvKS6QYFXjuJuDU30010G0080244GVJ90000J2000400 +eX_JeM73YK8XmvdfeU90002ClE60020G0000000DdT32vt0W00000200200ane100c_00G00 +40008003O808W0000200gS@10900ZDwHaq81002300O100000O00Y000O6000380W1000Gb1 +WazbhTQC001000W0W800udL600042G000008Ac300VLNn7z90808gKzt40002200C@@400Gi +Scv3dzpmZrcLu@3000A1080TDV2W4000Y204_T20G00002000G0uLV30000kKmR0W300G80W +890GmW82M084000806W80000oM04OSV38400Kh23TBQG0R6qdVH9ydGhxR08000X000a0X00 +20W0WG00mW000Y000GRNW@9y_l1200Ws@d1400W1@N4200XUuCuIx4_@N5W59108920YI0XW +I2HC0e40050H820020040W0G9W0000Wu5W64blGV7mZQL4040808W0018002020G400A00W0 +000c8002G0000030u@VX0003qol43LNHZo900018DF30000008Nd0I0400000W0O4CY30O03 +0I02W20L4800ilUDOw@n6xlYa9Cu7V30598001800K0004W000e2W0n20GA0WY0AGb01mCz6 +CoTH020000GYKnS28W00QYBXNuO0000I7zI0000qOmL0000LhW4084W200Y0WU12000Q2uAW +000uAG400UKuD820zeVWW88Y90I90Xg2IW11000M5000wT00000W5O6p00W@1mV@3W@M4pCj +80LPHuX7WggAg00W3SSA4B3000WCK13vU@W030306060C0C0O012m00K0000mZ5000020e0k +0S1S1y2O2u500W9005G00AW90G0N03000L0G0GnP25GLB2IG0YKm0444000eg000GA700uwV +Fy30000tTFOFCAoTC0023R@7ruqIK4K202000000roDCWRC0kGVZblLfET6wkLY_uDOHUR00 +0Gbt8FNzCJ_r9aSkA00Yd7LsZHtFPd_4gQ@1K000n9l10W0WYXnuXP60SK0yvN5Zud00H008 +028J@t2G004W02W00aG028024W0K0W0uN_60W80eKwD6VrWBkCOWj4G0100000LM04800400 +080lcRm@_600020W00000010W04FoSIvzCC@l1WG0800X020080WW0m@@6Krh79MR0400WWk +J001WW000000Y6bxdmW_6qgl10W00Fzt040S0080WYsk50010W000120002004800nxt6CQl +48000g6sWc_21GI00Y00aY@J0400nv@IqZVBFUbmLxL47EC0100000GbRQ5HmMHrl60800uq +QF0G0G2G0W0W00mY@6008140800004abzCeuw4Qmt3G000RRb006NeDjh8XU30W40kJb10a0 +02sz400020G020W06yzl1tzR0W0041028zjomjvj0qD18hq70020aRk1zZpGDraKVV20000h +Gm080W001040040SGY1e0002IFXGLFH0000000QG300taBnvu60080000W0041WlmR1o8121 +H208W8908m80WW010012W00308WG004341008WK0CfWAVlP00WBYPsb0000qvr60010H02W0 +2G002000pyR0G00a7jz000G00m8404XCFmR0G000a0014H1802GKW0W1uWR3000WyOl70000 +1001k3V2WaH0cwdXNKC005000840004W0E0004001W002I00q@@Xq7l100I0e00200W0eiV3 +_kq002000C05oRV600W2000eIsF10W00lZRW40G10000W005e000010Wi8S36By400W00W40 +0W10W0H0eAV3_ot0X004jwSIBz9CxV200sUN_F151000G450004200K0004Mi_600H000004 +040al@F1084GOd6yND3W0G0AmlbxkV0Wg2m@@60W0m00000Wu06GG46AW80G01eG0040W0K0 +E00000607xV20G01040095m00G000008G000d@FX_qD8jVFY7rWh@Jmf00Gy@60IW00000W0 +8G0W0042008108Ga5G20940gEFX@@31CGG0aG4WZwVG00802I0W4WF9XP60G2000m6xnv440 +000008K40410QWG18m0Ge1000090W808_036TbaKwC0W0yl1I0I042X421c94232000qC8CW +G8SG90fGoCJ1VwnWTvR1880GkWO8181G84A1GKL2WC8000mggN@404GW8Wh0pTp01W0W20G0 +100100020204040C080O01ktY0000000ey300Qzt028900G6GCGaWOW85m0HA09ZS0w0o0q4 +q1W1W100030010mCuUaIN2G8W40PG90IXALf2XmGjC80z510000034WX_FX8000000S1eF00 +0CWIzF1@300O6ym500_B8chIGm3lWKLLK1m@mCJ000001u@3uaMvwzF1moA0pbJLhrvywj40 +00u3v@X7P2vuNmMcN2005CV0BKVvIarcABZJ2Oj2WzZf2050GNz6000404000200WArDO1uM +Mgt0000i2101IhLYw@D0008H6x6yeGB02048800SKl144XWW100000013200W40030000100 +4G00au69Fgd000YeP_tupzP00002010000mGa_6W025000200a0Wk@DeUU32_Ea9_DuC@40l +60KuL5XzR0010mG_JukSL00A0yDl100500084000000AW000i004001atI9z6000Wm@00mNs +OCG63VPAtm@9000Uwf@Gghhb9xPu7j4IPCXOSCuhVO47k004Y0e5@A02000060OnV3IbsW9S +jvx@440004Ij11fr20000J100XtRGN@Lqgf49_s200020008000WxhiYR_F10GyGn@IK_F30 +G08W000yzWDnvR010000030800000100402uVZMY@t0Ww20Pmdmwj900G0W0000G0000e003 +zRmA5pKmb10400s0C100000084MqqWN_L1000CD0W482WGL_Z101W0020Y000akV4600G9W2 +000410004X00A1800410eaI0G0f41YAX00002H0GOug00e20080GDsI0080000140W00G00G +jXR0W00WFeCOPuJ00W000080GA0030204010qe80G01Y04G0b140400G0W200pzh2uH1Wojh +GO0002800X1GO0W0000060008W208mDx6qev9030000G110Q228g062Y10000GC408GG4M01 +1e000KHU@g000W6l00mlS6a3E3RaQ0000E00m1vwPGs_m00020M000a09H40H200WWGWaO14 +10WOI2040000408l0QmV@d00eNhMV30Y000000jeK600W8080G000Y000000b0004H0Ah760 +00Y00kG4G44Q5w3W110eNG4064G0Y000GR00800ROuSL0z4000000IY8mL@C00u0010G8HG4 +040G000H0070100051C0GGu_j0Uu100@JbgM0SXl80yVHLfwYCZP00m@W7_1om000Wm400mq +@Im@5O6pBoCc1WPC3W@_7ymj8LLPH000Wm3VXKjW9000N0J000@0HUm1Yy0l5v1_1S3y6u78 +3G78I0400hUf20WB81000W000m40000W982@40G180W2O2m5m4W9WB0J000k00K000W0c0u1 +wM000C30000LL50chA80yVGOcPg0Fy0mVufIL110002_z_L14uiA0_@10000y700wp53000t +_FW0cXxjQeJ0000Xg10mp1URbRO00iUS9m6XShrSuj0Ya0etFvMIl5000i440W2jIeqob040 +2GGz90000210WGHFCKSP8Lhp00W@vyx91200GgeFSd@60050180000820W00mpt6CDl1RpRG +j_98000OSR3cJF4m870RdN1100av@JO@P3_hsW2hDeYqD00G04Gk10YG0wqc180GXFypGkK9 +K@39I100sAbaWjPe8EF004I04G0OWB3o8sWTq2fxBF00eKbA@3xDdGQ@9isN20800_GtW2wK +100XGY@212O1u@@eW000G400001Yu6_90004K008OP@F4QF33xZ1001000mWy100k5r98200 +008000H4W00G40G00G0WG0G40lKR0I00W4@De@@76wEXYxVOQV300uEdRALG00WYpFXzwJ00 +04Gbz6ysl1YW00UytWqGt8PU30RG04ptCX_l10Y0020W04120G084bxe1W000Mrt0W040rBR +GX_CG0008zX4ckFX0yJu7Q3a000So7Cb57Y00200G40O004H48W0H282021004090040u0G0 +0400yil100H4MOtWpYPOd@7whq000Xv35uq2v600b0000W900G900800080f0000041W2A00 +0Y008e000000840AaHl1bqn000WaYmD000050000G22000W0e4000iA1uGseG800W000W820 +00800o020W000eG00m00000Y00G1e800W8flR000000W06@@p0022W5iJ000eW0000410100 +0GPB00S6iJG01P000004001G0000XG9m10W000X0I80Edl100G0047Wikl10104000110000 +P00m@@600G4v@@4G0030008200100W0v6ce20020000800W0K0120020004010200WG01G04 +0G0004010yGV2lS@mTw600AWf_V60Gc000000008K0p810W2008WaL40003000100GxC2824 +R0X18W00e100W02GW80004A00200DG1104WUuU000KIHu60008402000AW0WWqA000WYNFXb +eHoq0acq0000m2Fu10009BJ3090n090Y13XG0MQfdpQm@@6yzW1F0SGW@LWg00u@V30n70iX +3L0mD01WrWp0C0r000g1YS034v0qCo1e3e2GIG7WCWCW818008m1000C000Y0C000820m@@C +00900080Y0u2G000uA0000000BI00ehz46t6904mmu10000pouV0000KLb008S11Fy22W@1E +0@ZggOE6E3mC00000200x2000WAt1007_l100SYX@J00kxUSOM2Kk1ulDIEdlb0TtukUCMtF +XRxb0000ZZ10WApGwmEOgs@400cW92NK0U@qSV2F_N1up2WuatO8@4kwj5G50W0000W020qx +T20004UEF7000O65002OUZ1nJeeQLW0W00O000W044G10G02200440h8t0W000G80Ggjt000 +80x5amgxC4VF3hRd00WcQ0W0GVuQ00014W002DfPGlv6KMl1TmbGK_j006nukk40000030W0 +W0801W0Wlhd1C320206WC_b8WU3wOcXYzF10204W31YGuC0GW00G02WIdV0800mCvCCSk1JY +dGPv9qjV20008H7004Ac1000808W0W00WeL@7wWBX@@d1220000010X0000047_tWf_DusM3 +Y5@400WITmpmF4FyX63n_h248288000Zjd000010204JbL1001YcrUe9V300a0KV632YE000 +W00004u7j40040Suh1f0O0801WNpRvb938208200G0A0G1000fT_Due@4oztWn_3fGk4000W +AL00uNz40W020G000200GGl6G4H0OP@P008W8000K400POx90000w_@7M_tWlzt00002000Z +g@D00Gty@@6004W000028001002000801040all1JS33000125L402W00280040GG00GW2Ge +0800000W2w@d14400FyRG4WFq@l17MQ00500G0G000000Lc000G0000801603000AGGH00G4 +00HK10A0200KCG2006W080wMlbWhD0o0Y84H01O00f000G00K41G41G403mj@6G0001000qO +b6WW018YVC04W00G0800001000gBvJ860366p0008W00W0RZFXHuR102GaI1G9A00YW100e4 +0001000OA100Y8K9eaI0W1000005jl10200002008508zU9kuoWxxD00010001B0009000W0 +4086303082000G0T9U53IV20CGe3FJ01000G9004G004200oot0000100089004yUd40W000 +0X0qol10000H00002a00012008W00O000002e000qbk10500H010K4k1@@t2004A0580020C +03W00044WI80404002X0M260WG0200006SUV380G0G200OJ33Yxd1m0W3@nP000103001800 +0000GHV10040f0u001W01W0140C0m0044m10001GO08000800130000WG02OGS3Uh@42000G +GG1f0G1800G080Y00005W010vwR00G010W8000100020aGU2nm_000020802000000101001 +00GGF000201Y0G0000Y0800000GYW8G0C0W8fG0414040000W0000800I4W@@F100Gi00008 +zY00004008200w3W0WWiNeV2W30xUH80020004W00S00008L44300009JI0dXF_D02YWG2uC +G4000aR2708000A2Y0W04o1t0900W41E0G0G066k100G00300K5UBiCD000008DB10008Df1 +0Wt@VmC00000@30mC0@@N100O10yF00uVgK5yKfg5nKcB2F000Aq5_Y0u@51m@WJLL1Num30 +@N4JPk8ygQH0y@YCZPgKbgW7E00mV92000u10cx@42000K400u6e0mOWB0NWA000t000m100 +0l100k1S0O2u6G4W9000H000G000WW000c091S6c6t0C1G1u2OYm5m510WB2050000c541G1 +O2W2m4m543G20eWY0G1S1W2u2m5m4WB210J40Rph200OQ0mpm0moqm2yFWbf10BJ30QLAWr@ +DWPc1000WAh200@@R0y0W7y00000F020l200m0l@RW1000WY00@@R00_V600WA004000G0Gr +020ZC0W000S00W1C000QckkmmJ00005T00000W0tHdJUtLiyV5p@VofrCCrl100IdE6FX_fz +OqCCcnkYa2uPOS90Yo0i_EF0048IZtfXyVG00000088u300pvdGIzRK8lDDs6INq9C__600g +iVrlYkxbu_S6ElFaG1Tos_1Gx4aCaz3008GEgVZ4@JeiOIQVtWszt000uNPxC4q@6000Wkyd +10210H9MKf@a00iseHYGkRNYE2_99VO0gG0KjHB9xToS9XSh_3x_l10008_300hiBHlbdiZl +4zRI500dmysjPfILUqMbwFn0Wo1mA1E10808uU627LYgSsedqA000WwK008oL9QRbX4Nh000 +2o6hLa0Q51DoGPiOi@w600iXIgPcMMtW000m2eCS2gAtXk1Wy2WcwpfkU9Uctf2XjSuWmJNo +fJ@X1q00GH8gKkS8L4mJbpOqSk1v2iKO@EjYi1fqPGgZpyJ3OdyRW8849000e00000004290 +00000H6eF4piABGRGZpUScUE00q4I5GeevDOjzGw_Eda2seGyJICtWApPOiN6w08X5VD00A8 +GA0I45W4HA4Ig0paFW1000580W1001000W480000082100008W840a01W0000GO0WhdJ85W4 +Y2GYy0O8VV300eFiOz3f20J_0CG00008Ca33000on90t_R00on000WSuC73I1WYe0s040000 +0876WW00ZJ00009873004WnPvp6aAGEX4aGF194tp@l5zVRJ@7GQEx@dppxF00miu2_7EI@1 +0W08Bld00G001002000000W8000002O0Gc@9000GeqV30G00KoFC1_72uM300800000GgLd1 +0H00nw@0W00WK0Oe1030002q1W31@BHFbsyv@3WiP02stWRzJ0G00m0_ISY@3t_RmzyFS4R2 +nldme25100W4N00Gg0I4@l1XGRm0@6iuW10W00sTs02W000G006UmWE0CW008mxp6K0x9FSp +G_YFKo@300OWocMYdrbuTT3sct02000TDd020W0000G0081gatWcwPew93_ojYsPX1GH0Iij +Iy_l1z@N1004mLUbG00200000K080200G6uLYzxh8kI6YKm300Wxs500w_N2m000h1Bnw_60 +4Y0OOz7020WW400W0044W00WGiJ00002I00WcNB20ufMps6qLl1h2VIbY9qIk15ldW080Wj@ +J0O00Gjp818g08tuA0044CvS2dhd0800WHha0100m1@gSKh7DIN1000CT310HExnJqFa4l4J +IBniqFaSP500G0_xdXxrPOr@700OvSzV8ttYn@mLCZoIv_d0up4WFOFfTx7cAtWoqPu7Y400 +G2000Wg5RCg0tWGmJeAx72rM20004s0G000G0iOd1N@p0W8000080010000G0cp03f0O0WW0 +1000100246NZXoene@UCYycXeYt00GcUAvR4ql1lbpmL@6SkF30W0000m0000000G1HvejyE +k4ZbZ1ukYWY@F100a0G00000G0V2m02I040000b4nmbysC3k1pfN1000Mv080d2Uofn6yqa1 +F7@W040WhCYQRr@Jst0E000Tnl4B00WK1g8QW70f30aFW1t@dG5160080C509AHiYeyp10G4 +Gg0Oaou3Z@R02WC1000000o4w0mWK0a00400100WiGT2030Gg0I00048iU6Q7m0H9400G85V +9m040IK42008aYHb2W4KKYGWe2429000000LMeI4fWD2GYGI5GYe1C000W85WA200W421g8G +8IJ844L0X8341GK1G51G4OYG0L442v929509EbYXjuTAL09khoWk1U850AY2e2WG00TsZ40o +8uK1m8Q03w68XmtD0GYG40000082HT0OGA0I00W0H0XbF09000000_qG0I9j2060000m0Q0m +WK0e8lK9I5GYK0I00XblFD4000I0_qG0H081a205H181O80WiHPu@@40IW000G8Y840002a0 +YG082CG202HWa205vbc000G440IW00WGCFC4aAW4H3mG706046W950AY2G2C09H08FHa0000 +0Sy4000WS8W3002doX00WZ890000GG220000I49804918H401b205v1O011000a31440HY4n +WK1C00000F10W@@D85W40GUU20000a4vPg06a205H1yGg06i6_@ff@@muy0Wj18WpP0100qs +vCnctIdp9000WNt00Gr@CqzV23TxHZwF0400e8QIw8r600nITXdGF2Fiv_600800800b@EIP +oZnBs90yc08dUg000100800004q5VRKQV2fgpGz1I000W6R00m@@O08W08B@AYQF10200040 +0000H1A020G04GRdIS6k70400kpd142803VA1800000gj8W20Esd10020BY_GXh9aIE38W80 +G000G40Wu@@408020G8000W0Gmw60100iqGFEupWfpD0G000000bbDn0ijW0a00WO@Venz7c +krW44JuZN34008CPP206O0W0GW0W00wJt7oUGYC@LfNV300m0000Wsj00mn_OazG5zyRGft6 +0G000C00mEy9ar@9h_o0000n3TJOF_700HYK@l100uE@@VcBwV00Y0ONl94fV8000Y00011W +00u5P66XrWF@nGi51GF@paHO5F_jnQ29S1i40050EOKYf0O0000Vim6KqlA0050Io8Xs1IOE +V30012cTRBW000ouF100I4pCmmy@C00eX8pTRYQjYi79100W80G000W0000G0g@t0008000H +00200iYT20W84dAW10mA0ZpF30G4WeDDel134140000G001808G0mrWt8xX40HW00W80uB33 +41Y00100000WnYSIK3X1000eJf2cK9C000G0018WDmJ02800008G00G0HSx1008000W2POR0 +000408000G10I1WXW_h000uIOvvC_F3A500e000G00000A0G8ka00W1W8000404WlkJOPyD0 +Sk0qqpI1Xxnym6SUk10100_Lt02401pjlHuu6aDh1@@33008XisPG0000000200X04440UhA +a2JD0410I8hIyKk40010000OiRQH00H00W00000WOiUFQPt042100002G800ivk1f0aG1iO0 +OY1u@VRspdXwxD004600000D000@@Ba7_7000W7yF00UtcX1MD3008900000420D0OGpwCq1 +G2T@d0804W1uD00m7TX2sy8K2ntd0808400Y8@@xXC16000WgFTR060WQN0000GQdGE0000V +zQxv7000x2000WTt5WT100m3B0DzF300F00000000@5m3@3000W7ky30000gNB0GW30EnDA0 +00iC6G0ILsZyuDeYd42QT60022rppGg@6ivC6NtB10Wbwvxz8iyD080003000000u_M6aEm6 +0400JsZ7G@E8xyNnw_9Kvl10080_WdXf@DOcV3QDBX_b3vnR66PG5000qY400gV_dw@DW010 +GFpHjck100QxkrtZz@DO8_7cL@XxgIOPYJwt760480e000Ejk200W0Blpmt@64@E33S@JSHd +000WxghA2WFXjbI0400GOz6ihk14000cjlYn7Y2000000zxQ@h8GV3UM_aqV_PPy@JM@@Zv@ +lO@@7L_@Gf@@Jx6100WfQ00u1EOA1@@Hq@F4_k00qbauj4VQvKSgaS5R5l@d0e26eLpheBS3 +M3@XLob000010G0W62W9EqM000mZIO0elyAsOtWKsVOET900G0Cbd79dDp4yF00uWTGPF2JT +cmr31204mJzC00W00010GSyCSu_30@60EzCdDNVuYq42KUcant00009Z10WVyp9aUR002001 +00uux40800000GG000OHrO000UxrYYgXpZSxzemxG0dd0qlzC@@RGReFCN7InN@0000cGAi9 +z@b6wF1000800040100040Wi_T600uWjbf70W80spxD0020G000sxtWszD0O01mi9Brc8F0G +00sAEXrcP00001N10Wc1z30W0Gfz60000X0088000GWW089QRmO@600OeScVF0G40iwhP000 +24008Soi1nkOm1z90ob0emQCoUmC0090000842000I0W040004100G0102004RmC1000aU50 +0AbqFY00000G0000Y0000400Q0000G02080m000800yBk100o8IlaG0WW0080208830GG000 +00oaz6KVT20lH02ZHblff20K0mYx6040BXW00mv_602000Y04GPm60000N_00GVDmKZTK00H +0070100251SGG000K482010800080maxk10GK03ErF00mCc100eAuXR10ytYgwl5LLLB2Fy0 +0W@XPc0WggIy@V30g90imgV000Y000C1G000m40W2A8G5mLWAWBWL0N0h000M102GEJ6e500 +O6yGUFFDA0mC00eg0W2L103C8mw400Wxqmm600000W70y6@FdU94u07WtZECxVC0000BL00O +gEdYRcdfxg000UzxSTL9PH0nR0sL_mWlV8l@40000c5s6nDOGqWCylT200408000imk1Ntvn +AxRSal1JkR000W0000G00GOgkdXzyD000Y200CW7zD0012m6z6CNV2A001ktN200W0@9PG3@ +6inV29nZnhuRCE_6fqRmq@60CS10020n@@6G800ei_4cSoWm6b00W000G000G0G0X000204q +ml1PAopLx9011004004O04WOuaWZ00qx@OG01002W0GA2d0000fYzVU_N20G00080000aW5F +FC0004ZO3i7yJOnV30XX0004200W0mg@94bl1W0G0MpNYT7C8zeecko08000b_dGM@C000Wc +r00IHe90GW4Amp4gXtWP@VG00GG69o10GTepV300a0001000G8mVcFizV20G400W00a@VKHA +O00Y0WV_P002W000804000880080000cX01W2000010W00000AW0010yxl100400404CxF34 +100G2080880uWC32@dgqNVeJM3000mmP0000C00480G0W4100WW1000W0002000y@@60020w +@V68004K8X1p@la400000110000002Gy6g1000408000201W0000020000o980004XW18000 +0Y0We000000G408000001aCg1R52600WG00000KH000H0KPh100400W040000a60000824W0 +000REW000W20G0100O00C040e0000K80200rTI2dkcmkhmyxeA0000WY00Y0204O8WGOm604 +0Y020W0HG4WuCDW3002G08E05W021Y80802W2QY0Wn104W3WtsOOxQm0WA4qAn3@@p00WLE1 +0000W08wtr000G0G004G040W080eOq700a0iwHK@@d0W00E00080N406gmWnaD00m40000G1 +000ahA25X00WW60002410Y000GO00824q0X0GW00u2bq_@l2000soC00Eyr0F3W06O01D30g +QW1KW250000qC08G1KGmP00WL1050u@@74mpT3000WkV2m@@B10C00080i000u0rP02WCGZw +9008Ws0H404YS0w0w0q4q1e3e38IG7Ga3C087GJ04020500QmE104000020_BDX@@H2000F0 +0000GVLX4N1gwA00000W5iC5m3F880_7cm3FggwVW7UGeggW0GL11W@1000Gr@@CW80KW200 +Giho1000m500m@@pyPl45tBHMpXiYl1tuRGxtC000WeHQ3YBt000Hq20000001yOU2002040 +00004Gu@V3aG00S6j12010Y0m0000208X4W00880209506A28XEpvvq@72ns0m@f0xRRmFs9 +Cbk1d4O000eWsqDW008GUI6yEb18W540G04000HO8d44000X040ePzMItsWwjJOMT6suc100 +0yo000M6JbyuPuTxGMdt0080WdUPmhXmiyU200YhpglYrvn000200GWW@@V0010qSyC00028 +jS6A47ZuyVOZU30080Sma1pGn0WD440W20JddG8_64FX100W20004Sak1000090000014W00 +0e080008W0000140400G00W000oiz60280OiT30014aTF34400csVZhJ310005gH404204zs +@004G020000O000022y@l1008400008W0m00W00500014000010020W000G00GW0G0080000 +0600oiNY1_DeXV96W@XbFI00G0ma@60100S@V300a5FmvCDtNHL@ISo@3RylHoyIq_F30FJ0 +YDrckq31408mj@FiRV5h@@GK0C00040W008000Mv3003z6500mW@zJe@R3Ib@XDyb00WWm@_ +C00400100mQ_600ecjkSOYrtWXM2vwR3witWC_3vSc7ksFXP@D0ef1mbp9yR9CpcLni@6W10 +OeaS300W0CHY4LlAnKK6y@V240W00010080e8mU3m70000020H0GKZfm08008E_Do@tW9QC0 +000800400002LkNHD06iOl1H1amKu600W020W000Whadqd1008o6FR0G4G000W8000I00020 +W0000a00100008204G000400G410Ag_1G000v2Q00200000Y08W0000100X2O7_404e0SLMK +4G000W10i6e1020082020000W10801000W0W14000_eb100GOR_P00o20W8200m00028000G +006W0mmY6000Wmo00W400WOhN200220G000210000mWO1204G8200Y0K000004X034000500 +20WAhJ600W000202GW41EWY8012m244nG1GWWW2u000G10A08848000_3A@FXjTR9lLF0002 +G080OW2380000m000400miG600040002mxy9yte1L0Q02W810010810W00W00000200080G1 +400000FB0IUCXZ4L9XvD00jG08004100mj@6Wm80G40q023G010G30001400Hq_d132dGK0L +GW108A030014000c6vm00G0Wmj@NIB10000000m205zd30082H0000GL08W2AGmP000044y0 +S0300000F0Q@@4z7F0000tbU00SC36000D10000C00000830282WCGa4OW89WaHI0P0X0I2Y +10043090p_p01100o0o0H1m0G6GJWC020T081800800000eG002WfIWguBxDkZVZj3C000Wd +@10000Fu2F00uVGmhgW0m@1nCcfILg2Uu000@5400KxV20uV0000CZgg20uX74chAee0a000 +0X900WYcFSrVC004WVziDG001EP@XZsDu4Ia001G0180OpD30qJ0Kc_CZnp0002WYmD0800G +OkB5NY1t3QWR00Wwn@fJQ3_BqfQpCu@V300KQc27CxUdGIwFqfi1fhd000GW9@OOpR6sOKbG +xD0yL1muUCi_l1rlPGKxLW001e2V36XrWLsCu5K3000843l1014G128W80I01geYW0004W00 +0NnPW000WnlDG4W0GZXFy9F3vo@0000IU220RbpG1z6q8V200020200KIl1TBP0100mavJ00 +K008000008800200004G001u_y40002W10W214800W0300000G02wNZ1G000Nrpmq_U00euh +YV3QaF10W00lgPmMx6CIF301000WGOqw53G20WG02008800G0000G8WEkD00G018W0GG004W +X0OoeN2W0000W60Aj@afhD08t0HXaISbC356ymHs90002Ozz400G0q6f1Zmp00G00002GG10 +001001G0Giy2OYXZ1E100@@NX800000100820_@lYZct0002100GG02m004004W08004C040 +000042041001G0080WCRF3fbbGr@R0WGT@@V9000eKd@60080gBmWBfV8mT30000The10000 +m0G110001WW0Gh@608G0OxZ4sal50cB0BgxqLw6000G8b03YIGYaQCuwT6cytWRbn00002c1 +0WYyjfMV90004TAk1000H0W041X10GG2042W000G0W00G000K00OG00002IA19K6Y1ft7200 +d@ppnet@G40H0io_300H0800810H12000CWGW601a40X309KLG0400H8G0W0041000ovvRmb +gIyE@60CO02Jk20G40000G_lj2G000G404VyV30010G0100W80824000800402W@@D000K20 +0000620082001W00WI0000280400820W8200cf53000iX_M1008YiEDOyVFgfk2044023018 +0000000b0000W0GWvhCuDL3UqtWYrD0W0002800W0W10W00QdV300qXHjZ1004m4on8yMCG0 +00yqZ1W344808020E000800802g@@J04001009030108000C00G00000810nz36qdx60LI0g +zl200030GG0oO6ZoWz040G0010008G8000000X201008lV3000I0W000KG00000800800020 +00WG129000010800a9En00003O10WR@t002Wuy@FiSk1rXlHkRF0400urL3Y@F1007020029 +2S0W000a882020800080W100of630CDqf_B1000E00000K40MnS30X0001BHoUl236aW0C81 +9H2G2008aW4C090PGX00W2IG0oBp000FLgQ1CbvYmhg51m@B2Fuf20@ZPc0Wgga800StF6Wq +C0QzN20mC0qIB0OcPC300OEG5O0m0HQ0DZCtR3C06WQ081K016W02C0O080W0G00001W9W90 +00J00AW00K0J0e0c0S1CD2W1P_lHI1LW0000H000Y0200041@@B100WB00000k00gj@XdqDG +L11W@32UmJbgK5pCH80_B8XGG0XWWW2XG05aW4200YwV6004jz_F30K60000W_C0bFoD1A00 +00W2AEu@AW9C05hro5uXK9E3H5d0400WilJ8lT3AyTZOFam200GNsXi4k140000021KUT5lH +RG7rIaKGH00MU2rE408004000Qbs01G00n7pmjw9Ckc1vpWn9@@0_Q1Or@YEMlYB@J8Z96Ip +O6M100l_72004W@uPeMU3000GScy9TYd0004WQSDebl408W0csV800an2fS3002800402fpW +ZuD01000GG1000W2WH404000G00afVS3ULt00WW0GH00gN@1000W0W00YKW7WaA0JpU24000 +0WG0000808000W0W0W080008000C0BXp0020Ynjn0001000080040dYb00020004GXT62000 +AS300Vyl101020004zYRmn@6isk188e0ELsWt@De@V3EutWKuP04041000801000028kZxXB +_L10GkHS@L00H4PjS925oWRtFPeU6cQsWkcDOlkP4J60iN56FuKH_ymaFIHo6006DF70009X +nunv_6a_l1VK2pA@900uXUgEXYu3Z_@Du633000004W4utTR0fi0KRSBH@@W100WOvn00400 +0010a00040800004140400010082eLgd1P00m@@I000GK400X020W25zuzC36r5C006W79Z1 +028WAu8110e0b00WQQzOn@V0670C376xcQGMfCChl40GaGNmjbXKc10002i00W@@h00e8000 +000007V@p0600W_@V000G5WC4W_PC8QSXY_l2003N@@Z1GW0Wd@b00G1Gw_L001GOTQFkdd7 +YTB01qL10000D00077@00GIa_vP000GhR070as0Gr0oGOWF00042234246C442O2WK80Qot6 +3000LNhrRt60W00001W0020XcaDepVO00KQNvV50001100Y04000820m@@C00c00000Six9u +000Wt000P07000o0fUh50y0W@@b00V00cd10cP6u000QZZRc2oT2000_zlICcTBLlPpl6j00 +OujS2XQ2VfHxg0mM2GvQNb3@IfPKHKyg0100OeB6QOEdY@t00WOn@@d0G0G8AcJ000G008W0 +40000W0WFxB2W01GIxXy@@60IG0lLF128G0DhR00020W040X0kaI10W85Ef5@GMCCXtzJOzX +Y00epKMH8x@pGr@F008G1G00m@@CylV2fAk4Oc7W@@LfwU3ckF102W03Y@00080O021G0000 +808Kd1I00WOSF00SX_C000cIut000G0JXR00081010a00GXA5t0G000dZfoLyU00GYVhzMgw +GY3@Ju3U36qtWJxS24N2G0wgCRg4ftRGZX60408W0410002GW02G808WY_49000G9NXnAyLq +Zh1RcR0008G040000W010W084000014C008282Ym0011000W00108v_Dcsl500UgpytIac90 +WQ0e0U300800000400W8080G1G40XSPmKgE1q51Oz_M00098100WI00080000e000040W0GA +XWI01G0eKBy6CZP2nuZ4000MY100ryt200WmxwJ00A0W85104G0W0I08001000W0uN8g000j +q6LE8232T12W90401G0010004W014nxdmFu6SXCIXcD3004001000G4G0G4W0G000W0040W0 +0Y0004010kaDXdwiPr@A0000lCe7@@B10070G00G0WsRG80ag1w50e0GEJ02000000H00GF0 +004aD1136221C44A80bIZ40WXXGmF10Y00001WHHg000a6B10008jqX@RG2SyC_V5WC70ke3 +6U300a1y30083000A000m50003W3Wn0E0k0O00a8E3HSj4S00Wdl@10F00BJ30cD3Bc600Qc +hYdd@10W6QSR2j27IBxZ1eP4W099veVCQxyd@V91000QqlRCkU2TGdmZdRKYYJp@p000tirv +@9d0jccF1WD40DNJI5z6yGF3NRdmHz6iQk1vnb0140W5NG2000gd00Y8um8yP6cs@X9rD002 +000O000WW00010002CSOm3HAW400LT0030J_ZHAwFCRR2lrR00W001000G010_0CXFxD0004 +Gck6KM0IWpK02vdadPbuiU3000400080208000W04G00hAsrWd1cR0tnDy@R5@tsn@hbyVQB +mNBE6WP70_hv@_UK7yt3mywBzRcJXXdW@10Wauh000WmdQCK75331KHfPQ100oy@V30G10Ki +D9vWp00GGWKNbuUDg0HK0qIt9pbhoPOE1000sx00G2p9Kdj1FgR00W2WQnV0W0000G0mNmJW +0008000000A4hONHcTB10mGQURF4G01i_l10104cCF130000800080WCRk105G4100W20144 +X0000K0W85Y2e71GrxL000WfMT3QepWneD8I13W0030000010028W00000WW800141000W08 +QD3oitW03T2800Gus90200iH_DoAyXAuD8nN302000G000G110W08WBxZ200cKb_myNj4P0o +mZy6KheJ2X10s_l5000Y00000820000HW000GrU944V2nFIbm10WLpR14W000000W5000024 +6gt000108000110KyKOK@@R00WJaLXX108AG9l6iuY101000G00G4000808Hpz600G0eHJd0 +090yhHB0W0g0aG0G0A000YG040KWi@D000G480080800AG01I_CA000a0600ow@48G000W40 +020200001GY0001H04140001000f8WG0008AG2G100010GRyR0W00WXAH20WUI1wjKkE35mR +081K1A000G400e00080008eR3ojtW9fH2Ge3mG1py@l1W400sLt000W13td00800G000lxRG +4j5100WFRNO_pd10e8C21G0grt00H0GG0F0G100180W8GU3oo5900VsNthIsD6ygl11wR048 +00200W0018H008Ckl1000O0010SoD3HxB4Od0Wj_j1001000WGH8G00e100W010W80004601 +Y00DaPY0uV0000OUO@Ii100_w@41e02XSn0LL1WuZD00G00W1s06uA0080xyL0@Y00A0J000 +006WezH200GK6tm00C00080e000G000069I18Pa2G7G4WcWE2T0T4H2w8YK006iFAGO80TKd +3008S1egg22Uu1E0@ZggO6LL54m3F8C300LAppNqI000WMN18mT@LykT2ZvN1020WPkte@Qa +21s000tD@@R00I0WPjDOAu4sXB11000bRR0400010G0G0140023002GG0800400WY0C00000 +02810080vSRml_5bMS2XVdW100WtpDG080m7yCW004vxa4000400220080048000W0195OW0 +80WkqD01A0mDRjakcAqX0060lb6pD0244mHuIqgFIDi@00WR500000401JMF12001VhR0010 +40200pgPGUx90140aW80oZt6akl1G040IP6C0I600101IuEX6sD0010p7x6008040WWus960 +00HOv@48W0000W00K08HpL60e0G8tU3kKaA000yC10000600204uKh40010CUk1002002K00 +810u1z4_us000O0200008002W0004104000G4G00N7vKv_C000I5002000WW5_J0008000G0 +0180@vP0000G0100W2000000H000G0008110e1VIu2f7Ei@AWM1400H0sptW2zDOUT3000G0 +H8G400We0W0W@@D0W0W800000WO2t@dmOxCaDl1G01q4400qjlJ00W0q100qzb10820wft08 +000G400000Y08H00W2G0000nDuCuiU300W0qeN5PKS5000000dgxqR0W0000004000M_@t0m +O2WrAOmbwF4yZ10008ECpW4DO00H4nJ1N1G408vV300080002gJV300110060uU63_1B1000 +WluO0G00022080W000q00Czl100I4ZwIY8NNIwW0004WWGwD0GA2060000200G140W18H88H +0W804nu_90WQ000400W4W040110040820mqLV28H40000Y040KOOFg00G8G00G40000IG40H +0010008000C00040ZJG018YGH000cG4I0W80qMc1000C020CA4G020WC20080Y8GGH@R0000 +H80002AG1000W0dI0uP@e0So00081000100G0WjyCW8000000100W00G100900S2N20080gU +yXyRJ00G0900G9000G0001e4004iNKa60GUbr010000W05e000y@l116_myiF4wY1@@dWm41 +WQQD00WHm@@H10OR@nD3EOr00W04W400000200G000WX8W0000G1000001800SoB3zmzGMf6 +0H0100GW04W0W@@Z2is3004002W000800G280y8b100001003W0108XM3E3cX7AD0040L4nF +0006W0000100Wa2u9ZxA0000wOa0eol400G0060000W202X1G0W01@@R0000fi@I0100000G +Wh4m0z10000yb@@Z20WH50000040m000000un200000cVl00eV1m3F20UcPF0002z5400006 +e00Y2S0MO0000001MG100AxbC2GLW200@@R000W73300IZbf10pC30B1u@@e05H1000W000W +000010H460c800O000WH000O000m0K000W2000Y5o01000m00001W0030286E3_@F100Wn00 +0g0g000C30u@@e000mAV0000F0000m300000O4000000G0c121O8q2G1w380002x@@t000W@ +20W700p@p300000000AAW@@P0yF0000W5J30jKA00wYFA00Cw1JlHqyRKsALRYAX00028000 +WcH0UgU6W001J5ymSS6y@VK0W00000mcag4xfdGLPOyNk1hzpmev6ikkJ00W040100e0000W +IwPRsO000eCAjgCt0400000G100000y70000G810000900vpR020008000G000_Vp002045n +Pm6u6004020WWGJz6CVb1Bc7LgvIe50001000C0000G804G0000002400XK00010500WW000 +G0Qpt01020000G_4d100G4@pQGxT9COVK0000H000000p300W0280awZC000000812040808 +W0020G0W00080I001400001W00004WG00020800Kqv6arE3jVoG1t8bsV20TJ02Bt0080Ar@ +d00n000004nxPGMl649U5q100sgdX1fZ2G01G_n6WPY0ezx4c@d1000274cmrv9izl10024s +F@XzuC0404m@@8LRD308ciH000CgK2G0000W8Y00H00012G6e6qll1@rpGOW9iYy3n7aGUzB +LLc1WX601002000C2031mew9Sqf1H_O0c00af@J0G60GueTbYP50008i000q0M2200H02W11 +008GY00120080a040G00Ett00G00lfo000000G10DTXHs35H000g2N300W70G00WK0200000 +00034WOX02200WY510W0004042000Y800W000i3d10W02EZs00500W000AZBXWxJ0400mJX8 +10002040qz@6000O2004000WL0C50hbR0g8200W00KC00W12e2G080H00OWS6G0000880m5y +6qly30WWP000000S0O8re23t0N20000G0kMpW70C0000000GAG1WI0Y00o4l508000030IsV +9W000G00000mUiBP2dmPGez600G0004GGHuFaeF6RwQmRR6iilJVqR0Wz600O022W000G040 +001040029G041C00W020kln04X007s@000004004b@NH746apaJ000ePB0W0I08040008100 +80W00041409G000W0800uCvISLk100W0oflYL_a8yHa0G00020Iu@V3000420000040d0000 +0k20PD@GR_OKMl1000O0200Scb4@@R300u_ctDmN000Op1000002uVT1m@l3gi10010000C0 +C700W@X0m3y0000CBdS60G0WgU0000K5tgtLWgL1W8Y8GktgC@D9LkRWz10000000G500WY0 +A440K800800001100e200G5W002mCxAV6ogt002045w@00O200W1G80005GW146UK00SRQvo +010mx_dge6RD100082000080W2G@00294Ge@L0y00v1e7o_nWusEvuUL0dC0S1F6D_R0400W +BrDemwAUZE40G00xdP0800WLsQ10G0Ho_9080000G0uCRU0W08OES3cEF1040239RGlv9800 +48vyD0G00TTECf5d000DhcOnOY_G2as00800LYRGy@L00W2udTCofDaPbJ0Sn3GqtOS6l1Pd +RW008020W0fld000GW_tD8CN6gOpWtInuBNOYLA1000iW10008G0qt@318d02100000At_R0 +010bPxDuF_7ADVfssV000800G0000Uf0010X00004X1uLV30200040010G0004G400000Y00 +00G0qBa1000G6UW1000G4020k2yXqU_vjV6G800Czl1WrF080K0jMz300m0040801808kU34 +C00a9k1G220MBpWe@D00G40G00WHuV8or7ssFXRaj10080000Uh2000G00G0W1rtl100206D +t00080G00008W1aMW1n_pm3@FqNl7Pid3080a7tD00m1DWW0WSrPu@V3W420CcV2j5QGBiIC +g@3dZRGbqF4ifDNap00100nqK0000G00G80G00G00408W00G440W08000G00022Obm7EtWXp +@PeLH6E4NY6Vj10Y0Hen6000WI_W40BG1409W0C00WP10Gihs30004ghgbczP0200mwLsatR +2r0P000@@_WD0420HIq6Cqb1BxR08W0WBZburk4I@@XlxDO6@400040008AMk42N36000080 +G0Ass0WTB10e0Q05g3eG10G4H00AW00K50040G440W00G4Z0000H1uL010Y0004u6xFq7D65 +YD320400W88hFR0000I65b80D0000mW0H80191Y20000G004G080pwrW3FD0080G919SCb1D +hB1000400W8008XoOFXsRK98jAcdt0400000k21800KPN2DvVLGtp00088WS30000009rW0G +2GOq9qFO2t3YK376SFYD0008YKm0W2W0G00GW08000C0i3R6000aKK46fPdGh_R4CE3vf230 +00oAPD004002X40006MG04000882A02G8255000WG9IOJs4000010G4iF@G000Gr056VnP30 +W1WzqD0Wu1400GWAuVuoJ6g6FXZ@VOTuA8100y@F300U02hq6rtF000080H004El1A_30ypT +1WX60d_wxF@10pHHIup@MSo@0000SY@10GawI00W8w@@PG4000201wnV300qU61k1e2G0W1W +1120300040008WSXCucL3UNMYOuaOQk4Ast90u1080000AJ8000K70FG05AW180000m300U0 +00Gm300060MP50m00LY30@yN00ix@3O60000GwB0u1eaxk00O0Scl14300gqIe9TP8A@AkEN +580001yo0010000G1TnUoI@6aPEOPbN10v3WflGw6tDoe5c85O00003J00WhwE9I1OAkFXip +v100200WFH2800XFOmi@64yl1000408000041exV32Q_Xzw9fNcPQ043mWB00000W020G10a +8EV6M0t00020Z1cmov6Cl@6lD@mKy21G000000Os@60068010400mHWg@P02G0GWr6iST200 +G0IHqWewnuc@7oUe8000000wOGW00SsC3DRp0004Wi7OuVERUacdJtD0Ot00W00YjcDeSP9k +b0f8@d9GQ30004100cJ8000200010G0RL7240003000NAy6W000006GJaVIjnK5xP8d_R0WZ +600010HRwHa_6izO2hKa6008200I4000uu7800000G045Oa@RiNj12000G800aJWPnmRmv@6 +000g10W48G00Y3wIOpVC000YU0FLPpZ100GXuiJ00w0GOCg0H04uIC6g5oC000Su201wxV3A +000hrd04Km00W067fmsGQ600GmjnVFE_t00008x_P0Y80XmKOhYP302I00002000Im5nX010 +04200HYKZTtV2841WYpq0L100tzZ1000u4nDW400008WMt0E08j1WI5tWVgEvS@Y00iDUmU2 +0m30IlfkaKn08Y0Gz@60Wx20000niRO00910000YH0000000E300uD00G6m1O4036YiBxY00 +lqd0000Kr210dEOmY2A_t@300Ibh9hbqlFfgPU08004ey30w80oxFgEf@Phz7000mVqu3bX7 +Iwd8T6lA00cFoeWpT@D0Sl1muz9yU@3RpB1008W3wPuZU6gvTZXuo1008040G0000ai00400 +00G10G8xV68002y3l10004s_FXtJb0020GCVdKQME000000iX102000080840WrmJuEC324p +00804j_X1008WszPOMnhW00008i0OeVL0008KcF6NQq5s0004004Bkm90WjbFWwwKvY00I0i +Bl1NAmvFAY208yvh@46qZjtzR1eN10040001400100EJikZ9C60G0Is@6aHjDHQQ6Gh3WRCt +BqiJINpWmCoSBC3w_6Z1sK40yyl08W00000u600QDBJa000TVVrSDj3I@0u9RU6oM5008000 +0GsPV6000GU300wstWRtPuWyJgREXm_DeoRFkZtW6ec10GoQ8z6q709Z_lH1Ld4LbDW5M0E8 +FdMzVu0x7AQddm_P0000AR00W_ttO3W6YX@400I0d4hIrxIa@k4WWQ0Ynt04000rm@00a0Wi +uFPjz4sRt00A00Px@GU@Oqwl4DXdmz@90000Jr00Ga@60000SLz740006uF31xlH_@6awxL0 +0uR3u7ZVmbRuVC0xK4H01000W00200WL2EiIQ9C70004011W00K2fP20OF20100008010100 +8O0oIrIGG600020G010Ss9OfhnZ94O02000gW41000LSEhb00qu140e2aG020n00001aOSj4 +i930W00000W2NXnf_1000a02p2p90WjR01G0DtEsE@y0sUH0800mVq60081Ogv9100mh1218 +ZR3oANeipl20Ws680eUn000_50000OW5UjbWGuG000A200G0W00mDSM200W0z14W@V40000c +3@Z480GW@@39kO3kbVZbyD00010100000HvvS@Go@60040OxV32QIYZzDeJU3sQxXvsD0002 +0010G100G040010000GW0400000W0GG0000420@QZXitC0440001000280v1a00041000W08 +00W00020000G4I000420210A0G800010W01020004200000WG000004880000W1W80020110 +0W0H40O00W240000140G00090GT7O00000020G0880IA8100e0000800W0q0W1000004W010 +0W0GG0G_vF00802200HI190200400208G000010jzR0000Cw200W0480404qyf1W004MttWE +xD0G8G0000224803eRW8002200IloRGx@CiYl1rByGDv6yLN59sLn4vC0008O_V30800008X +vpU32jt0200100000G04S3@3000010X0qUl10G00gPm000820C800000rqG2pTXnoyL0G058 +LUC6eF1008800000Sa0800000802400WRw9vIL6E0qW6Tb8WU3Iid100K0fqdGlz900009fL +30040cNF3014G2Dx1F800@@p0110WmmV0W02GQ_60004uhh48000i5E3DoRGl3CCb@3WG08c +NK24010VyRmg_904000400uV66SXO2000lZuo005000004EutWi@J02000080aI_P00q0GTu +98000G0000002WZsJOcOCg_k2G010VCQmX5Ia_U2TxRmFTFyMU2@bd0000aMaJeLy7oIE40W +80F0SGC@y000yzHWA0G0006W0WG000O00WDEd10H410W0W9WD00Y0Izv@8YB0W000mGgLCiN +87Cymcc9igl10W80oPKYv239_eA8820itV508W0If4cMIbuO7900010W80eI_M00as7vF68W +80U_q60W08HAO002GL08202e8G2fWXa2Cec@M0pc0W0000804nWfC0002W008510Geqzm008 +000W0W4Th00W000040H45004080400yix3viaGoLjGQ40u@V90041204G6082GYuRauf4foc +W80G1A000G400e000EwcG00yV0000022K8r2U_@d100W6000GX000G10C8o76Iyd7G@20n7B +HdKy00A0G100000G010008Y0WG180W200waJU000mmU00W0040008YqJV8WjS408GqpR200W +0MnlYxUR10m8B001WEUnOWqP0004A11200D00048005100Wm00G40e1008vNRY8s00gF0A00 +00G2047i19CR00002000500002C4300025gwnUo600@10008000u@z_v1000aZ00W@@bW_18 +En10W9Njn8O909pM0w0Y0a4qHe38Z0BG71q20GJoFiIRB00mpEsF100o89Id0000Z4nnOP0F +000d18pC3GQf5CPU6W@1FOcPW0_70X700u@V6000qh@0OErVL0Se0000000m3GmUC0Wo30yY +3GSyCqS53410000_v@phGp_7Iqw60000JR00m@@I00188RLOs3NYtjD0002mfx9SnDC08G00 +80000OOjjS90020C6_35YN1020XYnVOzS6YEFXa2OOnVR0qC0CtOE8800_@F14100pidm5_R +qmQB0081xQt0E200zlBnrWI4QJBpiPm@r6aCa1@ndGZy6GW0000000G00aPf810WLs@Ksq7@ +6084000000W005G020G010W05WW00G020W0602G00200094W0000D4G4000i5X1W0W8_@t30 +eB03v@0800000W0G100Q0FX3zDOIVFA3tWumD012000000205082001800040WfbE3001400 +000WI00000340X0h1cmGaC4Sl4000Gu0004VF3000W1000004WeQV6gntWWyte2U32Xt0000 +4vxRGw@600OWex1305W0000020010004040240000020ait6900g8lBncUw31088OpzF0080 +W00800GGWTxD8u_4M5D4GuC0rKJ50200Y0200400000200180004241000002G40H0000zbk +10G040020G8008@G6sxN200Gmy300080000G0e103E_dXb1Cue_4I1GYg@hGG00400W1Gm30 +800488A6080000001200044600304080414000W004E91001000X01o_2ZwjJ00GU_vyH100 +G220810W00204000200e000H40440002000G0020020X0112120000010IW0G00000e4Qn7Z +t@D04mWGd@CaZg19_dGn@60G00W000GK0C4nb7G5B0009H8W94010W800XK0IWg400KGa0I1 +e86X8JHaYW0G04H00WG20W022001WW000H70WhNg84M3000097100800GeA600G08AM3I1WX +QCD0028GA0Cigd78W2c4e8438WmHWWKC00W100084YG00G04W40H40008a8802HOC21H00A0 +CO02H9ZN36fjYd@D00mgmIfFSLg41c_GuqXW40000A00080000W282W0GW000800200285KW +000004G1Y0000G0G08AIF6@t0100002000fG00W80000140G40900GJIR0W00WK0C0Y0W800 +2WY0C8A030408a2W1FLX10K0G00L400050400W800W2104G4a0AG10800000G0000850000W +00aehyW10000800A00c0002000mpO000e0002800600X0W00000Y000885W40W10W00000W2 +GA0900108503MQV320000004008W000028000H2G9e02008G0MDr0GW4O009G400004108Xu +Aomr000m100W08880008Bm00010002W30400130EW3004C00GC00W20000820200G100G0u0 +0400e600O100f0OG8@6K8R5000a000WW000WqG0010008aGHe0XH012W8000100108000G2Y +0GG4IW09G04HAOA53wo530040HhP0Wl500010A0I00040yXi10080090WG2200WG1X80001H +WG2000080G0HGW01008001YHaD8bCC000100C0G000GDm6W800200822A0WOtC0GK0000WW2 +dD0200KbwOW00000820u0800Ge8000uK0S0W000a8820208WO0C000A2y0WWK0U0WY0NK098 +00000m0GCsOG00Stx0SbD4GLg301Y0084W800W8000CBC02O088pCym1G10H0G@V30e@6WN@ +F00000000gK100y@@3_700yFuXR1CpqY00000dTLj80_VHu1FL0uVCp4yKLb5nCJB2VLf0a0 +CpaPOcvY0m@5nSLB65G2o400cx6ZmsC000e201GH062L0C000OG00W0000A200m0G0e8e613 +050006Lh1m000W1W04Pg40e04000C1OY00m41W2000GEFH0e0c0G1C1u2O2m4nKC06e0Y085 +0AY2m04m4m8200VE6300Gu2u104m7V3m@@Z@Bj0@F0000106GH000W0000K5Sm30000HS200 +0A014Q0Du10020W200mCWZ@z0GP2m@@cDc_C00C0oPo0w2007pzJUiICuU5jXRmvip000y9G +0Co0tWZghOZV6Q2FX2KzeUU3EI@XEwF1az0GW0Lqpj1J0NHJtFyyT2HPxHmbpK_l1000O3u6 +ZozF98XAgx4301G04000g8d10800TY@mjtI008fSWSCMSWXve39Dz4000aq5k104G00C00ak +b1000WW0Y010G30We80404G0W00G0006Cq00W00G1012_t3WY40XMp04G000W0000118W200 +00K048005G008010dgb080008002XX@000GWy@J008000040004GTdpm9@60GX0usG3A@tW1 +@De5nAIAuX@eh001W0400WAnDuLw7Ym9XmmDe_V3002841_3000WQ_tWjyD0408201080045 +8G0W0402qWK20W01cErWXlt00GorJ_C02240018000G00001005080G200140020K0_6ieN2 +bQBn5zC040e004H00000H402Nh@000WWS_JOts4YkDXN@DOTR90A50iMF60240AQ4cqtJ000 +408GCWpxJ0204mD@60200PL_400WO20GG4W04OGP64hl108G00200yxF300WGu500agR5020 +4wId7G000HhQmf@6008Y0040080000n00W000m000iPe17jPG@@60I00Q9_4EwtWe_D00WY@ +esO8000uXf4cFj500100W008600000GydO30080S9X10G00040I00C010000060000000G20 +Yrs01000T@dW010WZ_P0ih3mC_I0W80W00GIhtji_V20W884000800A2045W000WF_D0GGG2 +HWG8410880afG0100Y0000400240000a8800H4002CRd102000080yff1W000ItFXWPJ8nV6 +WO8203100441000AY@Wc1n0GCYW0G0G49O20W1004LbX140008A10Y00G0e4G0X800WK420H +K0G00038481H0X0GG00aG0W0200W000284G8cU600SNayC6GI84Yqs610040G900208E5U2K +00W0G40I1b0008W00K0K004000G500G0GAWKW2400p000A00020000W20000G40Ae0008Wbn +P0CV1G4r@Kxl40080H0010038AsQ60A60W0H0GH10mnx6020KOEN3Yyt0000GTyR00C0XmNV +0000Z610WytheAI9kx@47001ZWR000W8404001WQ00G001G00A20a3A400u414008W07e0CY +0018W520G4W00WwW0080900G00W0W0200004008000010080A00200000WD@TKmO7K3siQ60 +01060WIsmt0049004020Gi02G401491080I30000200A4000800W4000924800G800010840 +800010G1000040800000100004cnl10bA0szqfhPDGA000120WmmJ0b18GYu6a2G20e12J1m +008m0f08HU06WG40000G0000Mx200R_Nn@D9SG2C0100180ewVG0OJ43000K000800G3b00u +N0002myR0uW100pl300900W1C0D@7cc10KvFu0000KXg00F000O03G0h@@00WyXmmhG0uYRT +6s4vj1YK0T0e0I2iJq18Z49G79I2CIa4mw89WEWI0D1r8w0QA09Gp85850Ae3e2GIG710WCI +Gz600WgSMTC000G0G00eVyM00000006300yi0KLLg3m@0mVcHLL11ym32p_74uXF80yF0W7U +0ulgKfgW0Nu1fgg22Um7agKbAcnCcPwVm3NGKLbW08S1nCp22W@1kggYgg000_7K_@60SR18 +BVC0ox0000eIS00G1SpSRzFX@p0000kOFgeZPLs8VZtsD0W020010ml_V8HS600002000a00 +08I040000000GG_CFX2SD00We_s@6KAV20044EXF100020110I1mWigD0000KNpUS053toRG +I16KI@395y00041008WNqR00X0WNwJexU30Mx0Kax3lgo0020080000002IAmW7i3v9C3Y1d +X_rJuExP2vt0000Cy1G0UmPZ_eV8JQ6_oXdnObOIzD00OeE64CTvZ108000800lvdmqy6qel +140100000G00K028800G0120W23xR0400WZZUOk@A08T0CqV5jlPmL@FaaV5R_pmj@USki14 +W00kNsWOqI8eV36f73000yC400QLl28000lm9HLuOSxR20W10IWcXr_DuVR30G00W108uY73 +6vn00000FdRG2@U000qOf@MU_mWxAp9fJpY80nG4_@3Y@xmu@Z@31000Fm10W@@L1042G2as +aK0RNDPpSA@77pX00kN3LYdpeHgUS9w8d10910JNVYp110W1200G0GnYuX031008QkiI01FW +0c14X8Xe7WKGBHHXW2K21FyafZp9A_A00G0000W2c00G7td0400080G410@F2000400G800W +4zh10001aw320W@40GWA000z000000WGaYHrWMLRH44YKA0K00005@00GPudWiO0u_V300m0 +y4jJZ9zVOKwcTbDxkNnux600WTBmUd000vm00W0OS2mHO9SGC900WSO022q6W1f081eh4WZl +_lui@7UJH3000000G5A00qkDFXrr50_0Q400000MH00y5WD008f0EH1b0aKGcHNd0p00WsUn +edV6O000i0A33O@Gv@6iYjAp_RmqJd00mNj0n@xjtZFWF1000lL00WC9J30005000gJyP88V +3wyt300NHxz@0000nlmc100400W2m2kg0G0000020000H000400201108egh72ME4m290@@p +04G002W00G015000001040200050008000TxRmSe6010GOxK3omsWGiD0G00Hh@6Ks_3N8p0 +080043810680sHEXdwDeg53I0S3c300@@d080W04002q001GW2000040018000OWD2I000GG +gi60010eTS3s7F1000WG08W110084W09bVCswFXMgX10WCxFKZTrV289200G408200uWS3W0 +00G000OayG0pz0ClkG01880000820WHC01mRpLCfl1000200W0W00000XWC00Y04W00XOR0G +W0WJ@t00045T00Wq@vPoV30070imj10084YpT3G00020000X020002uhR3YJd400y21s@30I +0002003ox1000284W0G82000G00800040Y40W0X8hJ8V_G0i00ii@FLDO0000G08000G0148 +00qsl4W03G40G08000142000140W042a000xyE10110HAuXb10W@@p1010000WKG08W8WH00 +11A0A80cG2ELQ@L0020q4a08H6K9W0u84H74KeC0WY02W0800G2440001B_x10Wul6tv100W +0028000080104W4820410ebVC000e0808eCUR0Em0SDFF41W0GW2A000I000e000W8000WK0 +00QxN2005K002200G000e000000f0004000hGU2000U2200Xup30m4eWNJ0040mnhOG0W0u7 +W4W0G0W0G4enS3gdc400SRH7PMkt60W000000880W0GG00v2PmQya0wQ0uGxn040008W4100 +00400aJvX1000ik00Weoher_DwcF10GO44800q000GW00G40006300HGG3NrNne@600G1000 +080080W0E00E000080000eQV@G00m1MHkG00WW8800WG19m02IW131WVsn00O080m0O5X1W2 +000J@t08000W0G0sTF4GJ80@tn3i5GIOBWC0P0D1o0w0q1o2e3aLqIO0000400eBzfW2JGrg +0W000mN0000000@@C0000wk10PuuXF10WKtp10O6WX7E8F0lPoCJpWPc6wmWKoI1bPHyH10e +gF5Q9Ul@XURDOmV3YeTZ_wr2SY0mn@UCd@@HAO90W0WmpOW000mrP9y@@6WUV0MVcXzdP8Cw +AEpIY@mD8HS3wEdXccP8iv400800100e5T3gmy1G000dkQ0G00aMoP00002L00W@@J0804Ge +j6G006OsM3gCA10010R4mG@w9CQT2h8OG9xCaTT2jNd0000GG081fPpm8s9W000AVQ30000s +Fh1fQd000llZvO00400800XbwC00440000mI2OeYR3EvzXJhD000WW000WNZCOgGLgz_4Gm6 +0x0tI4u9S2U2NnpG2tg000G4800228GWhv31000FZ00W4kov@@V08W0Kbi1C100g_V304AEf +_3pwh9ihECNzN10W0W2O21qy2mybvy@@FV8bm@@XKGf7VhjnY2@0W80001GmYy6iyf700Ubk +ss6000H@@@31WW4W2W02a4000147zl706O02nVcBMCeIeV0WK000W02H000C00We2z0y00mC +Xm000KggUX000W040W014e0008md@z000RsC_m8400OAFR_@@14004DjV2e53WEGX10000GQ +0WUK420GaGCSa0000cJ00m@@j000H00040010m@L3v@VF00S00000ilgD_@F100Z69mToOt9 +ahi1eL0000W4SY5F02W@1C0gMEc1@@x1O80W@@R100eEr1000GL0fx9400W8000604000KH0 +aVi7y100sLUcGaIee@Vyu@0000yth00Gr@R008uz@@z0020CvA9W3R0QOUZTmD82S9k1lYZv +DulQ6gabXl@Cutn70080G0G08gvAa00GqBU2S21000000203u@@AA5qWriD8Zh4UaCXJjD04 +02mymOSAD30080@@N20202xYcGKwC000OeLz400aL11000000KI7Fy@V20a00kMF10040Rfp +mas6aFG5Z9TIzwd0Uc1eVbPQwkbbdz020000A0000W020000020G0048UU38000yJl1000mC +60080G00008mgy6yHO2GW000000000K01000001mFxD0000200YgRxJ8iU9kKtZ_oDOdx480 +2e01G2000W0020401000640oXn0W0A000504G80Sjf100mBUQtWhbI0W000X40180I000d0e +06000010400KiQCSPi1VxR000101001Rib0200mezzuL@4G200KrV20006G00G0000100m00 +00eHsIen@40bj00W0000200E00Yw@DW04W048W00m014K05G200W42020024012Wt0C0G004 +002Wu@D00C0000WWppJ000W00G2WOd8v@V30G02G200CaS30804808W0000420W0000f000A +800028000000A000K22001Qp0001WgqDusM3cmN2000D3ndGJrCScp3@@t2000b9oJ8pU6gj +t000CALjpW4084200003210881280609G0084204000400W01000102uxR3UvF70200ZYdmj +_6SYW12000W000008G02008200000012Y00GG000083G000Wer6X_JI00Y0WC10YSrDuJG3E +xtW68I8nJd6St00G00000W01W0O08201210W910200a40CW030W0G0040102000i4fDeN_40 +K04OI000W000820iaqD00010201WZWPO5kV00204CF38J2G0040040W000G0WW0000WWa008 +00288300OYU300aviYl100088800CyX1801G0000W8400100WaG0WidJ04000400Y6ku1800 +0001W2yD001800000W0GKO10000WGX082011400W060CY8W00840GC0G9004H000100GQ7G0 +00I080000012049404G0805m0130GGG4ZYW1W808AC0G0001I000K0001002W00H000G1WPz +4w@@40W002802r810WY850I8bW0Y1408YW8GK8570YWG000aCH0000GGl01igj12WH0006p0 +d05L1880X04L4YGG8WL0102210W101I00W8400G800W80gDnWP_j10A1000080G80C100040 +0GM100GAH000mCY0000G00041AalC3KY004002040000WsP2_90100WI000G491000003006 +8dXA@DG200G9S6aGREx@O0000erTD080GG3W600041400n8o60L000010000W0Y108414000 +000o@1u@V30G010008W8A0000W100G0000a_@d100C00W004200W00000Y8GAtv0000081C0 +00W0CW000440058Wg030000800W80W000t8P0100008800008W040090040000P00WOnD080 +0000012100XepGl@9000GCnNX0040000W280000024020000W21e0002000Y0H288H0WG00W +00800H000A200a02W4004002800000Gdi2B3G00408080411ezO6MUm000194800m000iGvF +00H0070100251z0G800qaw3I00G0GurC000020q0GVt606F00008nIu900Q1140WuC@F010a +00000Q10WAuIu5vSyF000Uggo2Ocf5XtVBggg04UuhDo0W0000GL00W80ulV000Z8200G00y +F00000060k300W5g0000000gIA0KMP458aKgo0a083a046C44EO88KeGGeW400PEqW000008 +S18ku0000W900qoVE0e0c000C1OY00m41W2A305m508W9W106028L0CHS340000300060205 +1C0O0SHnYR000PogrJWn020C0pC00O02CW04O0O8m0m0W1W1030006GW00000GO000Z186rV +U4l2@3000080000W0018204000040417y0040WPu1mTm10G000me4W@@D00AeF000I0zL042 +X0a0819813IG2AXG8K880WCc9800000eg0W1@000007WzhV30008@10WG_JOk_4cYqZF@D00 +80meaaC959F@x100IbRzPetuAY76ZegDOVU3sAWdbz31KQ1GYv@CwIEd@gIv9644l1NOQmtw +X0004Ow13YPFXA@zeR_4o2tWs@P0000400GewmD000GmBS6CBk1NUd000q000201OR0400GG +000001400208W000005W00205050Dnd00W2WpiC8DU3QRAXc_R10840W000810004H102W02 +4W0GW01004448IWG010K12000KK104W000KM4002880H002000Ob0OqQCG00W0000W008008 +00002W1cd0020WRpb8G3LG030000400004200001400202kKm00000800010102G001000e0 +00eZsDegK32N@180020004040000800000yHE6C3d1nxRmnw60W00uUxJI6FX8uD000200W0 +0000GG020004020G4400W088A0O0808M0000G4100W0008b0100GW0900G0008C00W0eHU34 +000khU2G0000GG180W0m010GZz900W1uGG3w9eYjx99Dl4_gd18000@rPmO_98001G0P0nQi +600000KU2uqz9agV20800002G8W8WOtyDsdEdLzD00Ga9000010G4Frd00HG0H2000W00W80 +0Eyl10084W2000002ro02000G0609000200240SoV20220G400a2k4ziPmWq6y8DC00X8Mit +0J8X1G00010Y010W409008ACa0i0G2W0G00G8JWC0400000n02000900080008000uJ30040 +n00WNwDO8gVshF4G0400G208000qUl1Tzn0218YRyD0W08s@@6G011u@V30@Q0iol1G0W0I@ +t0000W00800W00aPj1njwaH0002WW008W2W0682G0G4A0ei0eX2GKH0GCAG0110G0OW00512 +LW0000C2O60AG0W0000W10001FY080025LeQGZf602G00400G786S6j1lalH@zj002X0004C +0X14Y0318Y844WG02100K0800G4a4440JGbmO4W0b02100JA1i2810a2IK0G8fW00000m60G +0G041W0nm000a044000SKf100W80AHpG800OGP94100000YOiTRYTr044000085008800I10 +G0000210012120100000g000G1Ae00000084000G3_@t0G340a004000ASxV20002eG80G8W +0WI01000WagwBgiU60W20o0082C00052002001410000048007I00000aXWnzJ0600080200 +G10000OWF000W00OC132vF10006W002G0000WW0AUueUrr0000GW000120000WOW12W0GG00 +00604CAWCG0W0HG0400100O0WUxD00WxDA00WL_D000Gu@@680200001Gmr601Z0uRGI_@N5 +000mW00000H100GGG2000X000W80G01W0000WW84G0100421K0A0890800G8IWSfg10dP0Iv +sW2kJ000G082000W08440W_LEaezjH00000I0600W0050WownW4sD8qT34000001Gu_@4000 +W1O21Pxz700090l00040k2000WpuCOf0a0G4H00062t@700100t1000000yFZ00v@z70@302 +008000p0005800001Hrdw00g@fbM00G000G000iJge00000ugNf2W@@J000ai0m6R1eW_G60 +0WLM0CXzI00300WA0A000K800WY000O000m0O0W1W103WA0006000GWY0C0e0WntR6S_j1WO +7H2Zp0W2000C0HYgs00G400W800WP01000BXV90100C8S8@@Z1W100@2020mNr5m7XB05KG0 +D@70X36000eKG300m@10a@20000001mV300e0100000YG40g0000FaC0000G0U@T3000W3Uu +1000Glg2GL0000080MnA0000Ae@y@7002C300001c1Go78L6U800mb3WBaQo99YKU2iD4mbF +0R@N100802W00tbNHovISxQE000W0000UEc7000GY9DXz@V004WG204K7VNjMS2Wn5W8znuQ +PCIO_10001jC7IIzC0200Oj_D_it000023_b0000O_000000000W020000Y8WGTxFS2j4010 +0gjsW7ajPB_4YZsWjoD0800mFv6iEd100108G00012Gu@V300udL0O58000000eSVd1vvRG9 +S600009bD3gQt6000WDxRGOh64uV2R0a0000mH@Dm000m_@90ox0eoV6kytWJ@D0040un_6a +_F30020G002yd@3ppcpp_6K_c1dsd000G004008W04G00400000000Bt10Wi7C0040W010WG +yV8iy4Mbd104009kOpZ@C0G002400060000440rxR000AmWyJOb93AXs000j4vBg2W00mhcC +OPcPgjtWWxP0008W000G00W0W040gRF100O00004W001qXl1G02000008a@100100000aUR8 +1WW0m8HCyQUELho080004081eG00m0500000010102022400I000A0000200020004910W4z +DuF09sh9dLKKPVyA69@100i3BKymJhWjyl1W000gaE1W84440010G14000020Y0404080020 +62000001qdf10lAGsvF1G0405@u12064W8003sRJ1@9anl100G00Y0800K00fY880008a400 +014GGC0000400G4IA2G002109000Y080C000W5U800402000803_72001408W001008W80K2 +tC0G100008qxc10000e00000f0W2AGGA0C0m00040e050008200xXR0WK00007r00004X0AG +100W800mUFOa@l1a20004G45Qd4@@72041Wy_D0051m7U9008008000002051000G4504000 +20W000468000A0W17Mp0ud3WDfDeVUI0004W000ucD3_@l500281sR0000G40W00100VPm00 +0KWaWe000W4AAY0W0M0e0114W00001114220XY04W0W02W008000uGH040A000044OCE3E0O +WkwYQDx7IfmWVeD0028GLC6800000WWea8IG40QW00001051000W282Z0000000lN01O1040 +0kSh10004cf03000824B0_@tcI6DG20001200H0008C00410DWm04G00qGA09808Y85068G4 +045W1Q0000cI4c5037xPGSUL0E00W00Aoqn8100y00Fq00000GCG000WN14000m02m@P20L5 +D0200c04000E0OAZ0000000000qGSDuGjG000nA0WdN0AeFO100WIF0BxFZ85G608Wa0P4P0 +o8H2aHYa0Z491qCI283e4GJGCYEWQa81D5W4e3e2GJG7XCWEY81P4HA0000g8DIa2Go85WEW +8091T400o8W400_a430G400W80tzAaSin00@30cPcALzFu2F8gKLG08pWW7y11W@naPCJLLK +bgg44cPA8LhIGm3lWW7UKfggegg@XPc1Xgg220000G8qV1fgg22Uu1Wgg2pC100_7K8uozol +7000G1D00Kx56nymJ7u9q8i11XdGJuFa_i1JVOmA_O00WcCNS9YhvXLzjPH_4AhEXqYg8fW4 +ABT3Wh70dq@G8w9Kb2ObVAHftO87008agDY0FXvj4wCkGs_t00810hXdm@@6000llKqDYOVc +CrFP@yD00200420efU3wGt00c40fykKa221000a000nn@C0000bs00GAY2DNuI0W008003af +V2200000eaj_@6O100_budXNX18000001eKyPG4000G95Wv4yBaV9IVtWe@J0004g500WQPm +xVz42DE1800008000000100m8dy400iWbVpU0200sw@10011rnRmUy6iKl10P00cu1F00008 +0W0Ura1040028000eGGG800G800002Ga@@DG500GZGr104000G0mzyFG401483404G000400 +0W400000W0H000WmMqHu5hc15_@WG0G000W282000W800081w_V30u10inKZ004mMzF10a00 +1NRWX00WH2shWL9oArWpIP000wGgLxrvj40020wMaXr@D0as3myMA202100G0GLwIaQk13Xr +801G0006OBhd000Pqlo2Ct@4000X0004400W100010600Bwc0006Wbrs30k@E00006O00hnd +WPcF00000000_zF00a8T2XKquhsL00OuBBWJw9ieyBWyqWfRQmoe_DuTvA0500a6V2000OJS +PlKuPeIy4gsE10002rsR000hejoP8yU6_2WXJzBgHSC6wH200300W000a00_@l1WcK0_WdXl +hPOE@46q@XyuGAV_AQzN20004k2002oymnwDerF30GG0a@V200KMgf1ZeQU8tQUw_d4G020d +_ZXv22WXYJefO300000041u4062SXjItb0000Zl10WH@h0H00mItc5YW17BOpZucjXG20600 +00H001G0eqV3Yzd1m4905rp0041WzzD00Y0G5h6G008wrhAs0CgWNJ0H00IthC000Wdd00Gl +3IC2k1ZFaGeGT1100OdU300G0qKO2zbp000l_PQP00G2mu@600e000010810Wth1hxP3QCp0 +G00022406ZtWVtP0mq2GoyRG400OT4mAqb1WG00vxA1000O9300P0@0000cESb0WW0mFSWD@ +S2l__00WmvJWn00KWmemCi8xFryxnB260G0mfhsA08g0aHa44190YcFX01IuI8a2IfY6sDuq +33oPv1000uXFoGyp6C5W1fpR060W800053f06000epOC0H00mp79yzV20085@2eYhPC000G4 +W82WH0IOqi@3T3O006zPwUITT@7yl7000uG700K6eA1VQMp@F00GS9LV57itW6xDuoU60BU0 +ygx61A_Muw6W000e_H6000W2V00exVC0011azm@7N_Vnd@FSw@1l_@lj@txx@x6@Vkp@VRDy +Rrc30W3wc4ZI000mBqC0G00000010W0moZPunS60GW00W048l_4G82046V2@mR0mZ1000040 +400I18XcdVm000GvpFqDW1@oRG7094aV20002IAG2004002O0Ujk21000W0008G00q@l1W00 +8cNEX3iD0000cM100004WRCRmUmIqni4000W6wtW3yD0001OCqIiuACF@p0028Ws@V00Wpm2 +gR000187x4cpieSmPeeQ3000800100100IfmF8000eCy40eZ04BU2vNR00G0WqkD0080m606 +00G88aR60080qXl41tFJqWO0080uvS6000W9600u@SCM7TZjqbOeKOg_E11001HAy0G00erp +P00GtS3w944k1HAamFx9ajf40000G200y@@3b08Hs3UKJj7LIp0Ga7W4vJuS3900010W0000 +08G0af1800G004mztC000WqA00m@@O4BE3@@l70YMnk7zBXTCQ3d1m7F07sSLNpvaQk4c000 +Yzl2e000rsBNi@9SjF300_8ZN7Zo7g30W000800a000Tsp0W91W_Hn8rS3YPFG000SK000A_ +NYmmPOLu010SgTzZ7F5G8u82WP8m84N5NikYVm1Bi@M00OyMIJ5JxpGEyf100_K0GL50000w +200h_p0005WplmW2w30000BP100lz_MEyIWI00ORyDEbFXpm@l5QCIYE1GW00fyBn5t6CM@9 +jTRpbTUK1U20010000mv30000040420Wn@D0G0000G0Yw@J8yQ3QlEX8nDG0800020eJnhuH +FLQKRZcjV00m@3000008028000c_F180409kjnRp6G000eCE9o78aj_c100000E1WWyU0100 +Gsq6G200ubV3EzkYw0JeMSOABC100X0xaZH9S9apa1w500wqJ2002080000008201W010000 +80WezO0G00mxU90004u@y40002KceDNqd0004aQwP8WH300S9VRq3hsP000X801000820ABC +10004fsRmc6dij7913Q000WWdtJe5I3c7C1m00000000i20q5v3vHQGu76W7000400mpu646 +F6HAmGfyaaPu6j@bGCXC000Wa@00Gs3LGG01uu060e00C_O282006peYeVWy@@bYxaX5zIeY +B6Cyw0ajl1n0y080002000jfOGMJfzPI50008r100ag260G00000G20001002nm_cTXQ20G0 +0wgq00000000cFkFXO0I0004GwPCyu1IHPuHd_6Sbf10040000W4VP2WHA1w18XO0Ou6p481 +02000W8Vjn0010qnP27fQmKg6000WBIF3MZpW1LJ0200yZF6CZY15t4LhgL0000200410008 +010Y081004000001000100WpiO0I0082GSB600W000H080KI90WOfG4Gb00008241WI00GxV +T108100Ge0005000140m00G80G0000W60008000mPi2n0a0300YPkC04A00Gr0WK0CW6G005 +00qR0COHnk0010062004000e080G88080S0C000W00000003r700000000a00100W0m38603 +O1D1004G24782020G0WWW080WG020y@@LhlP0400002002408000GW0mau8O30200f00100m +d741W2000W0049000010808603G04G140820000GG1B0W0eW01IcYhB800G0800WW8u10200 +WYW80800100Y00F04000I4000Op2NC0C00u0G0008HeF2640WU1H0OG0182410z10W00yb@@ +r200eA00000u@2Kjg51m@BYPcf20@ZfCXdALj8coSHu100G1WgM4yml8uXdgO0OGcB2W@N40 +@Z9pO60@zFuXRH008Slk00J000006H0K0Y0e0C1G1O2u2m4m4X9W9200J40A00002An0810A +uCHUWtYy0@0_1U3ypy3ud5903306000@@Z7uu4W@@b00X700_F80yFuKLLCb9p0m@W0000ny +Ft@Set3@@h2000Mk300jtSLql@0020ODS3008XzZD6pZgoeFW1Cj1O2thk6dXrnPe4xJ0001 +CRk1000uL900yfj4VCtoMWLiXE3BgR0100WtWC82S30010080XuRFFsAt0005@@@R0180000 +W000018002W08Wu4V3G080K4l1004WUdrcHvD0002001000008rbR00100020eBhBnVw9W04 +028000Y90WZuD0e70400180288042002G02H000W02001000e0e040I10W4I40W100080G0a +nyDG0W00008WJpJW00000W0WD3JOkM6Mbd1400GhqdmCQ6000900W0808GWyCh0102800018 +002080000001C0000004W8W8W0008042000000205W00021480m00000800G01000YGG0000 +0000a0vzRm9_6qrl101W02aWXUdbOvT3G0000G018x1300G004008rV6A2mWsHh00WkD8002 +G0000400004G00G4W0W8qhy604000e01YGG000200fFamY_UyO96001400000206uOU60G00 +0001SKQI0TaWzcE3040084000WG00290Gt@64pl100m0o_@aRxV8gV6QxtWzCC8Hx48G00qt +l4Vxp000O46000000A0O20iIe1010440080004CGU30802008000848008nX_J00400082WZ +zmu@V900GW00aG00400O82C0Y800G0YG000W81000410A02Y4CD8G@AwXm000e2I00WG8040 +6800G00W0GI40004WK20000W00Bi046XmeJ604G00O000023W94C8ZOCYCL2Y001Tf@m0vIC +lX19sNnx_60ie0G0G0008000012m1Y80004020I0GW0080000010WG00004000020260000Y +2400Ge000G000CT_6tvd0I00000W0000W0004020K020020g00GmH0GWa0W0G01004110920 +80WoRIOFz7IYm0O0800000y9o8G8Y008YI8GKXP0oIeW20a51Z03604A5E38064250WW0040 +AW002Y03080W010Gyvl1HlI200201G0000e040G00020084X4W04G000940H0GK0000014e0 +1240W8W000@_@0400W9PJ000O7100G0Ye6G04611001G1GG0202W6G00We60OaI5oeeY0G44 +5W00E8E02D3004600nW10W02q800Gfc9SyE9000W00X0G10820W00eK0000000m000G0W0I0 +KWI0004101A000000p_L910008000b02000X00000000V6I010W4G10cPt0000OvsnmOx900 +0020C0000400G40F3rIgiFitl1I00H020A0i04100G050m0YK40@@@W44000GG000W0W0100 +000GW000080mThJ00G100G0W9qC0000500000e000W80W21000000I00mjed4bj4xKO00040 +5002040g0GH8e0880W420H000K00G5voGDy600040W1G1i15000Wo00Y0cqn00018lnR0200 +ZIkJ0W02Gkh9a1C3ptgost900G0G0W004000W041WK00028G08Y440I2GPr60K0Geo_7W000 +02G0000008q500000400H8H00W00W110020GI000XGQ0000000W2G804YmW0000G10000a40 +200G4I0eKSFkyt09000rgo0020000G200001020000840G0008WMH8G00i100W010W800046 +0020Wr1CehS300G0WM0000WI00006f3G00020a000CoS50I10w3tWP_JeEuJ000J8200eXP3 +000c00G050000p01K00WgSr9000000WV2mNF30Gws7000HXhu10WNT10W_qD00q40G0A0202 +20000A810000udP000038c100WyBNF10_gU0HERmPyd000W000W0G60W@sD001000m00082W +00304260A400K000WnYK0M7f0q1K189eZG6G6Ha04opr9000m00001WB3WXgD0SA60000300 +06040C0g8O0m000W10Gg0600C040O0e0e2G100W2200400002000600080C0G0G03NtYg2e0 +50mC00800W800K00000G000eA1mCp2ILLHbgg6xs6uEW7000GI041000200W5H00e1C00080 +0gRC002W0eAz10Q@3000aMQzF0g205000E3000W01yxlW00004GY30000HS70n10Wop@V@@4 +0df0SKxCXn@@v@I00mCVolhMZdXBlJOiV3QCsWvuL1uj0GhlCCec1PtdGKtCKRl100W2_@F1 +000C9EPmAya0G004W220GG0000040015NSt0020XG004G0084vU2RfdGhx9000WdH0WIaS60 +809404180GWG40e000000A0024105Y0m8444000CY042000W0200040G081GG00200008480 +0G0200OxR6EDGY85I0000qQz600W0W000O_uFK1T2004026x100Slpob0WG50822W4W94040 +0ijl1008W0W22q3c101004280000008W4mgh602220K01puA6Cxl10208g0V3010I00G1kVt +WgZC02010W4004W00lZv1Wj20G00400008040izV2GW0000W0048G04202W008000K0240Q@ +t001m0jNt2H8000400H6O000100010W00IY_t0000WW0Y02Va44410000W404G8104w@@4G3 +00KNe10000W000UxVB0004X008_@V20814@@t0G4028000czt0800000G0a0WGGW00ue@G0m +8JdTN20004XO00006W000I00Y000202G0W0X00Wy@l12400000002208DbMG3G00401408A0 +0008a1044H0G000GGe10244me020020080030003GEpl7WD1100001H04uyT30300080WWX0 +00A000Y8aC2040G10IW00e0C4G00110Y000vchYCWGa09C0000810021400WG00W10082X00 +0G0WO0W040102008Eld4h200lxR088000010m4088200Cqe1W00Awst000H000W000G0K_99 +000H80000002200004W8G0m0N40G540H2000101nKi03C05712080eWA2C00IYW0Xm0008Wo +qz00Wvg20W014A200024134HbAa101EAG0WP08W0G0L04H90208GH5G50YWWWufC0400uGha +00Y0004Y8Y80Ga841W200H4W00H4G5J5YA00G840A08I0M1234GO86KW0XA111008Y8pyx1G +r64000G4G8KeIe41e0048000X000A302G62GGmea0mIO69022100Gi0GHG0G84800yIX1R@7 +2W02WFvJ0001400200GWIzNQ0W0000K200080G800Cjf1Tax1000ON20G04W0wht00e08Pmd +008G101000010eW80A00GujJ3QFSZ5OV0400q@@60C81We4820000XG000000014400082W0 +81241000GIdnI20WQG0G110G220W20008100100808Wx5C040G0H0001G400G3b0GH1yjl1l +UG28W0W@@D004400800G02G0WW80300W0a13G01W1100C0002a0008G0020006W0m_pX0aDD +eHV30GW40000W0W1010241G41a08005H0W02W0040141080420E000_@@4I000@@R0W61O02 +01WK864812001WG02e0ae05882W4ba8G0W8OO40100241000KW00Byx1i04004000G8W08G0 +0I00G2aGX4012084aG208040G2061002W02e80409eNxU2800000e8FbRm1v600H00008002 +100004K000ESt0W000PhQGFmX00ef0G00008GaaMDO6q4oQr000W0m200Ivt01040000WAum +WNat000af0K5H0000u6800Wgp08000c1000i0000R0000F0WA_ot@X0400X820L04W@yF0rz +F0000eggmVF0uvlBWtV70xh70W2EO6WzySH0Wxk1uV2000m100c70F@jVeQ6VvF000H0a9DV +L00H0004020O042m0G100GA200YXp0g080e2e2W1W100WA85W408004mA3L_B10006g600nm +P00K485X1Wnmb0g000O080K4K1e2W1a5d1nmP000000N40@@J2G000J00L20100mN080W_70 +m3C0KmP002i0Ax0eYV00M0100C70L550000SY300AyV300I3AF000G0000o@tZ0uEeH000GL +500U200BCB0uz700yA03aO0O000b_0mC00uV_10W02mnPjqUVHWc106ex@vAFvlVF00mC6vt +@00WHwQdabpz0000jv10WY@31024mFPI4DF30040kal200020004000iW0W000090G1G4002 +2KW40G8042mGG0A00001110WG009W0ganW8@h00G7p@@905200080mJ@6irl1GWGK0028014 +0uFQ600800G04uuT3g2FXptDW080mn@FW500uUQCMc8108048G002VU3W7B0PvpmvyF0180e +RU3UqtWvzD04002020Wd@D8qW400000102uLQ98000G000m4801000080A0Y008803O00K00 +I0102440002480020W00Kw@6000mD700i2x3tud0040420W00W02oANYmvPeaU3kdDaezP8_ +030800000W40000410n8ot00GYovAOSdV5HqRGh_Cy959dz@mNL68I10Ga080C1W080W87AM +n_@980U18KV3o@@10W100040wWp00400m0002fcXkzJusL30G006xQ80180W04000Y402e00 +08I02W01BuR01010Z0010W008002a2B6C200cudXKvP8jV30050044WezT6oKG200000480c +ZV300010G48_itWysCWC001010002W0NxcmiyO00GODbT9IMFXVyD04e00G000W400pmp081 +0W6VJ8VF3000W4Ou6000I84000W08G01M40GO60220cW1X000W82k0W00410YGW75m000000 +v7WsuV04000041WiDC08W0104202XH9080G6xF10010010GEcF10020010G0040zzu6G00G4 +1000HH65W0100W030002GaPW0OWn00292012Wf8Y0000WG0W06_k2000qM300cm810082X_d +001014001G00W00f00008504W00G00004W00G02MqWcjD00800010XV@JOMQCAhqWNzD0008 +600WX5DD8s33AIt30045ZmP00000c100XZR00K00000Y0K15000HyBd1000Wf00000418506 +gdpWK0CG000m8v9SXS50200G0000A00200e05YY80G010000I6sWwi31O42GKv64nt30m00M +4810040bvR03W0WP1U8A03YqC44m002000G000104W01000WI00CG00000080W04Tl1nFl10 +006N300NzR0G0004X0040400400m208I040a0FG4400G0P2002AK04G442WY000012400008 +2040W00A00G202G0012G000100goqW5nh0000ulX6000G0W8404X24W200G00W8G1000G8vN +V3o1U300UCj_pGOF6000100G0010G00080a0X00GKWGG0000e0i01200200W000W000aeH2A +040R_9XFmDuQ@A2Rp00W400100040004W4v0tDsx@1W9C021000G20aBU20820q0X1GW008S +y4GO02a2W4H181084Wian0W08ZP00WJpD8vV30008000WG0010L100_dF00000GL51Cp8622 +00Ezl2040@_70He2m13S8pK000e005000Cmx@@W100WK0UeeRC00084_j10k8C0O000uY08y +x40040A040G000uU@O00Ot500000YK0o0W0a4aH838Z8IG6Ha2CY85GIHAWE0H0D1w8w0qHY +4eZ49169I2ePa4G6G9WaWO4T09509W08Z0I01OX@D0Ae00V41W01GbY20010G4y0WvjF00W0 +Vdt@K410m4x_nt0Sw1000001egg0GLL1W@m3ym32LL54upC8ggQGKLLC1FyOAp@fIL1Hbg22 +0_7agKD8u1F00uVCp4pKLbW0FS1nSu2YPc540@3SLL5LL100yFe0nRP@6CMK_1000qu000kL +UZ6TsOspD00WGypi4JxRmiqCKrF3hud00G2Wz_V00GmDW0000400JlB1W08WQ0Cu5rJ66wXG +xb0040m@uCSHk1rJBnzo6aM_3000W8004yPU5000200100G00u5DFc@Rc@xV8dx4wDL2000q +84G0E6SZT2QPSyGgzsWsMJ0K80m3u60000H000otbO00elRKSC0002ycj1d7bGPSLiNs3F0Y +1I10000W0RWdmKt9KNX4nzB1eUbWhoD0040000200002G000MhtWmlJ0200GES6020100000 +0L0100W00G000WG200G004W0KOuLCM86z@a000EWG391000ck3WWMrJ0400008WWtsDOwQ30 +4040004uqd404000000n040mQy68G400100Ibx900Y0uyT9sdsWKnDG0W0qT@F00W0wnV3og +sWblh00WNST9X80G0usQ9Ujt0000W7_Yn_WCSDl10050_Od1000YG000g7NYKWb0Wg1GFdXq +El1ZUsIZ_600G020G0400000082000G000880020O0040200000100G00G0m00cWG0K00Y00 +W3060H0000W4WwhV0004bV10Wg@nG04GGBh6i8wF0000pwF10220G300_@N24200tfpmmoC0 +0GsCCoA4000i7e10H00kEjbRzJ00G0010001W004000sUoWMaDW0000000228G00020YUo00 +0W0D6OGrt9Coo30KV0Unl2H010psRm4hm0010080000010X000004C80WG000G0gW81C00KG +0W000Y802610W0100060021004GC4K00400000G1G4G0G6@6C8W1Tzd000027300JPM1000G +1010080C0G401W80O3dM6qtWU@D0050m0_6000G0W0Y00803W0100WH2W0W02Y014W00W804 +052G4400W000HKmX104002ed100tcDvN1004G840W28GG0100ijk1Jxh20200004G0810c0F +101W0f0m004000G0G0W08W000H9OHCA060G4000W0Ar@70a40C8v608100W2G2W00e6TFoy@ +1O2008W00G8004@i100G2000O20098503G00A0000WW40002B0WI012m000G84iRk180000A +00S6d1nhpGozO00W1e307o@@40C00bGQ0800040206000H03m0001100W0000D0H0101000O +040GG404G40600480I0We0400102200OTU3QOtWLoJ0000FL00WjBnO1M3Ea260004JUOGwx +60010Q9Q3G2b8080821W204H44G08004n249000G000018W0308120ZG400400KS_l1xkp00 +WlrJW3100GKdW9ihV8000u0010WGHG4040W000H0070I00092S0W040C5W4070100051z0G0 +W0qaK0O0WY0708000A2YF_@0W65WXoz8O53cZM50Kr20u@51FyK1W@nKcmJbgM4JPk8yyVHc +nyYCZP0W7y0uFu1mVB2W@M4_mj80@RHuX7uggA0ydP0u@YmCp5nScB2UuJaggY90000_300H +5cW_00WR@zGt00m307i3F9000Y0G1C1W2O2m5m5W9Y90N400c80KGH0e0k0G1S1i2u2O5n5m +A20WL405eC0AWp0KGA0C0W28205m40AWB0300XVp00T0WuLhe1630WW08040G800ufspWPY1 +000018200000000W@zN0VX90KXgOdl@n831O200OU41m@03W0c10xh70G00aVU00000YpqWY +pV0000hG10WN@b00045e0uH@3n3UoFpI@keyko1000000Ybsnjf9dPYa6Zup314a2GqtOqzT +2zfdm@t5bK09pNp0000g4FDeuzJkUFXDFzuoTd004E4F@6LK8tU@L0uI3uGv4Ax_XNXD8BV6 +01008000uVD300204ji100W00180arg1jnJ50002D200DK@GpXF00000048mFt900201X00G +ev9WGG8000000WWWDhbOAGXoPwXEoPOPT3cKd18W10Tkd04m05000004W00000004G1W8000 +00eopCe7oP_@d4mEF25komnyFqZz37kQGgsFKVk1PF0ptbg000Wn200m@@jKVh1ru@041GWO +0IOPJOk0A408gO@@RpMS6000YG400mSSCq8dGjsuqOo9qqLBnIBHSxXO900e2dVUnXdLT810 +m4S85p0001usx40000210G4000GRH64@gA00W0BhtWC1OOg@A0o00C2KE00041G4H00004Y0 +0m8@9qaKK000unE00qWQE40000G20G0100080mcgsaP1900UMIv5cgqJ8bV3G808CKW1@jGr +@@60Y71OJMR0W020024000W0G00020005vRmg@9qj2Cdex1u10WKEuPGS3_dF11080P9FpQx +U00OayYtS0009Wm00000qGGd948NBPl0PiROaAu9w1002cn6W8200G400WW000H010222H00 +aL8R10h20LV70000L1U720WPj9Wi100004108100m000W04G03001iCH3gUWvF3UkdX@J8Xp +NohugS9wcN500x@R2Pp9x9K7k1tBEJtyg0UQ18gHRMFF18002NGILywCOP00ura@RTv@LR_F +rd@FDw@Id_Vqg@3zw@Fp_lpj@tix@C@_Vh@P00awSylANplnHgQ10000OX1GiigibA6fppmv +isCz@6000mj100SslAz@N14G00000020102L0ipB@@@VC0G60atD900099400qzlVRe720W0 +a4o3isvG0ZT0aJWVY000ouE4q1009FuNWzX00GiCw1E@@t0W870hultJxa000WXC00mcg1Mj +l7000fZf6l6vD0C00GwzX00L1OFxwMxsWwk910001B00WYlhR71y6w76A20000K0M_F40W70 +JfN700OT10Gz00000E00aiV8S000wS7lvrF10meTuyY2Ch1ew0XUrlB000SPuunlAXSYTK@@ +B100HhrvvlS@4g1tZCn31000fT10WmmdfCy7QlgB00BUPtqLGKa4CU80PO0_@V610G01ldGp +xgy@@@pi@VCz@@o@@@@@@@@@@@@@@@@VuLyNTb49OPG4na0WF38GWAYqwX0cO3G0GmuYC000 +WYW00GdasKFwO@@R00Wcla263040m@adifj1Nez0O7WWkNb8LW7A6eb@@JG100GNmUynm900 +0uvF00STu60008g0dXNmJeSxM00400001y@V90140y@@3010G_@N200QbXgcGPvL0G00uUE6 +000W00G0CMj700902400005Gm@@C0W10u@V604G0KDA9TVN1V86W6mJuyL90000100Ou@V64 +0400GG0euy7018Gy3y6V4M100020038@@J200WPP000NmlnjbUC2l1@mbmFyLC6fDxfp000Z +X2FFy@@D0jq0q5SK3gCJFbI0000v9S3000me100eS_v3NsWsbDuacY0r70ykSKRvm0002WxS +8fTs700G0SgI20000DD00qwPK2000000YqEl1ZbRGA06aUT8HW_00I00000000uu00018400 +u@@70W0200000H28mBwCa205H181000X5mDubU6I1mWkI3fsr700X00000000KRvhLigX1tQ +OGdt9a205H18HQ@H100ROLKRcddaa2gxoT30021q0W1pkN10050001W8000sNs0W20000G2Q +Xtc1_JGb00G3yc102Wy033sEF4G200JQn000Sk01a8L06000GA1G000000201WK0e8A09_@N +200GK42008aY4STC90G85tOd1010000K534GYK1O000G0GYI800WGH1mGA0K45G2N_c0102W +1zD000CXGG414YCG40000H40K@l70WGa1400G08Y000000WA24Y4000Ym24GYK1IW08Y08Y0 +82CH8W822Xy4Xa205H18n@@68001e103EyF71040@@R00W0k2hl2100G306aaS20Y0G2zd40 +04152am@@602a58G09I5W10G0853OGA0K45W4008050200m0G048000W01G0O00020d7_ae0 +I0001L806uJ3141000873W@@T20GG200W48Wa4000000IIq1W1d@J2H8041200@@p0O80000 +230000mC00y@lJWa804a890000GG220000I498049XSG00u04E1000W3E0000a31u00GmPE4 +a203oX01Z2W11n100008Had0Ga4000m2PW0900E0u@V3000vaAG8H3K12u0WA1COLw@Jr_@J +n@liEdQWFXxDR100umcZFicq3TFcmc@C45m9rJ_GAeLqNl11DoGUeI04h9e6V9kiIYYQI8AW +G6KSZn9Pu@n7YsV3000W4200YHLB000G3Fun8c9Se939i_00WLx6@J00080008W60C85W700 +00WW008A0I0014_3v65mQ0000mBOt0100GJ09y@l10P30M5rW01C02000000GG000FOnGqiU +qMf7lq_01000GG00tcQ00WGWMDJeEH30101yxh1pa_0B000000000WGUuiYpIPOgK3gqKY1J +DOJs4G100yw962004VMrWHTD0G80Gjc9ieg10W00GW004kx30mfIk9sWTIDukL301404ph1r +U_GqdOCRg1BgTILh600G18gqD8080y2A3m3L0_@t0A00W77NHK1IKow3D3RpSgLavS2Vko00 +00Kv200BkoG8jCaSi1@kQ0010ao9P0O02mGh9itX190k1100eEav10W3rl1da7Y7bjoGScCi +wSHWF8GAvaXUeJe6X40000EnwFzJ@G0l9aHz3XyQmimI0000ox00GyjL49860300Al6ZKWbu +FP380002000Q7x7A4EXhWD040000840G000RjPG3nC00Ot@GO6004004000000nom60K0020 +000O04ey3O8503_us0G040H1aGEq9KUI253ZnBp94Bi7H8d0OH3YXcD8c23wE8Xyib0W00GF +cU0A808koDW00000HIuyHCc2A1XW00hidGkv6000WooW0mCcI4afG000H_XNYL0UW820mrvO +yTD3004t6adXaxOOFTd_@@@kcB2W00OAf6KKQ20000H0e0KJg17XdGA0CyaV20W0000KO300 +0000wmp@Cq1W10q10kCXXK0I8AWAo3uXqPlI000G75Fa205H1SImtE1O00W000mSx9q1W1f0 +GHK0eCAT5faAHA0K45W4t@R0m3G2XWe2849000YA0g8000I42eYWG89H8f0GHK0aWGa0eqO9 +_@tWKIh850AoBrWFzJusc424ebe12Pk_AI9zXK0e8lK62nFX@TI8GWMY6OZ6HDeSK3000800 +03W2I0e00C0815W2008W4KAG04W8506W0401A5a0000e0KGWK0I048155a00G0O0faQ0Gk74 +14M_0a000GOvxJ3100W4WFD4G40I0f0GHK0a0G2241WG4G240002H4X0d0GW40008X3mH200 +04C0WS00000WJo1EI08503009Em9v08Rq4023mb203a3EII9r0W0BCLaQGCfCW1O8850AY2W +4Wm0a1aAX4WaeK0e8A0I8I2000e00a41Wy4910000opJ0Y9r0Ya0af0GHK0d4Gw@@hE@i_Oa +fl1bTXntcL00ecOrJg00WWan56004GMadX4wDOfRF0_N0qg96NknJJRj4OE3DuB1000IO100 +X@jnLcs00000WW2m5gL08004WG0mR@9See1x4WH_@600Oeil@e00W010000W82G5fI0G40u_ +o40008ydv3LGcGC_60G008UT30fc044030404YZtW0zPeE06QTDXWzD020WG0iIyhl1PpQm3 +z9icQ2002G6fb14000hKOmIjF49V2000Oa700yAl100402cF1W0W01PR0G00000208000000 +4KpA3LqlnlT6000H_pr7MpFXgzI02020W10XqCJ0004Gj_9SiV2010000q2thh1001029C10 +00W@tQ00G4YN1h0004HGk646g15w_maiFqEB30C000040Sif70040I@a100000BK0g0c102G +0X0RGIlCaMg1G800UytZwoDOKO30002KYh4VsP004HaSxa8503_6s000W0n3p0000i9Gh8h@ +Dkm8XSF2Py@70G40K4@9Z__00Ysb9@KPPWJ0028kSG8P7inTpI0CU0uwW700H0y@@3Z0ymc@ +XG6000ZH0000W00GK4b2KHk0FSEG28200EHK2000u_300Qst9002HG40YXOW000400Y8YOG4 +90041uC53YBmWx8O85W400W800G04010mC4C00mtfCX7cQaXH0YeL2I0008sYj1XRNne59qN +W1NLmGP560002unx700Wt@@lAhNS200G000W2NxdJU0I0en0OkVd0W82KCy9@@NH2p6qZ_3V +mOm@@B100400W000W0WZqDezXDQJ@10020tfdGWlC00e@UOsGQH0cGODu2Q32Pn08010@@lX +000WXOC0080m4w94zE30_S0_@t000G2tkBnByIauI80WG0QLr600000WG800W0W000OeU600 +003V00u@VduV0puV0000c1m@@8100Wv@V60F0000@3N0@3m@@9000w60000TtD0T300hNS20 +0GH000404080G0G0W00u0W10G00CPM20020I1mWZzJ8AW4000X000aCYV906x0i_@3Rz@GA0 +94DG2Zt72i180l_g0cVUlyrpcxy0yqd1yip3OUp3000myvDF00a2W12uP000008WdV60pFFF +@yve0CmpX70o970000Sl@p0000Qh300DxJ2008S1yFy0000uF00qoF9peRG_q5100@OPsk_E +@arsPuVV90UD0iYkAHNqIIA6KpdG000ei100KudAL7hrvoR00OYlOve00200H0G0080G7_60 +000K080Gj@90102e0k76VbXf_D0280GP@CyHD3N_dG@kmSpl4zIJ220GW21910007q00Wigf +I010m7k9yYB3DjPGLR6SxU2Bl@mZkF00OhU7YMobu4H000Xzc0000YevheZE3Ytf2WW00tqp +0W@4WT24QrWVI7@@pr@lS_@7t@@Ib@VKw@3r_@Fn@lJzy110GiYD901R06d@J000OjZBH3bm +igVE00800400qmz6VzR00W2ta2sx@@zYOm90008g000_68gSFt8pzP00uUSQB6LASL1Yp0Ul +0uhXeoUeqcu_V9l@J2y@Z4@l8o@7oy@WG@@7r@xXz@htmeh@JMLd10W000008CD00CkhzNlL +HXORa706hZP08c0W85_Fb@D6JJ5009w79I50002300055K40000GlE0RlB7000XvvK1000aN +10WZ4Z20004W40W3yH20Gm_rt@NT_@Jl@Vqz@@y@@@@@@@@@@@@@@@@@@@Y20GUz@@70240S +4DIhUlHutUCly30cG0_1t080002800W0200W00OCS3gqo000G0@XRmZu9K4W1H1iH6s@aur3 +0000190080000004000W040G0G00WG0000100uHw40W08y@r34W00002000208AWAsHFA00D +FbXFMzW6SSc1jkR00G0X@@L1ad3m@@K1004S5H30200ywzF000Oz800qteDjmvH2_6000W00 +04Gt@6S5N2tIOmj@g00mmSdTIwKqcyyt8o@M04C0K2_@Ze@V8y@@X@@@@@@@@@@@@@@@@00W +zapdew@@@@@@@@@NjEQ9UxsWftIuhA90000xK00u@V6MWJY1rMgjvAUMt02000bEdmQxF00e +F@8x7IAehG7Q4081m@@90002S_Q30G8049uC000GK700qYdJ7md0000YizD00GGuBy9C4@3V +kH200LWazZ2180mNu600W08oU343A080028I13c4tWFnJupDI0Ba0i3VTfKsYp00WpqRvNy@ +xL@@Tv@FN@@@@@@@@@@@@@@@@@@@@@@VIW00CqdKExQRmtlFG700O0O3EV2fgjUuguJI8V30 +0c3JTNnZs2LLW7dF@GKw9CET5WsM0AGeYhlvn008GgNEvV008ByhMatWPp52005o@@NLuj1b +kL4uK6Wy3R9ZmMImtWVq_1000ZX10Wp@BgyV90201yNVH002K33NhWvG2qm3mo_ErqkD7kxX +b00Wwrd7082GuyE12G0Om_hInXay_91000CO10WczT200HquZE108Ov@@vFjCA000GM700_@ +@@@@piGMIAKI5000yg70423t9g2m3ZcLwxo9y@VH0W40_@@@CpyOY_J00uf77kVtdH2e43Wu +j_9bVm000Ww50085yt00G0aik10001gId4000v@@7LUrXS_1C0480QSHhOus00G8mguFa8E3 +Ftp0000Sj200ptRmSu85nD3ftlnbz94tj4xv@000vyh_GACSL0800CAU2rYBHsuI0850uEVv +0004z8F3R0OGWzRGL008u@qAon600S3BhxtYya0411uO6yI0K5x100j98N859K68900yt7r@ +jcNP8DZJ0UC0q_@@@@@@@@@@@Vf0eA00000m3000H0e0500W9S29EpkMZBa0vEvnf4_@@@@@ +j4040GVRB100W0n00GrrKLyvI95GLNgzsFlD00084600ajbM0G00cVJYnlX10G@t9LHzdV20 +010UztWMfzOKyG0Tr0CgDOd@dG1ss000Wm200G9k@F2y@X8@@7q@tXz@RW@V6w@V1@@LulTj +nX04718XhkMfM80008W700QkcgEUDuUjY00Cm6QrIFpZA0028002GZSpGThCy@@C0008b300 +C6_LpWRG_xOi2l7vRp00WMaPuZ2800Hhv9ye@6B1wH@_64sj10m60_em900200040sNtWmqD +8xXV000GfD00emph_m7900u2nmJ5G4000010PrPJp@I8_618WveG4H0000e8qTa00009Q00e +NVgcKCX3@520GzNbrKX4100000WW00WbFB2qc2mWzE10I10m410WOY402014210sWVcOFamG +00Gp_E1m00G41g0500082003_Rm306avVE0028Qz8A000eo0000006qtFI19Jo@@j0041100 +20W8080010eW0Wm4S0W200wX@VqB00y@lJIWa8800000AW0G000008WHX_1000000QW@@T20 +0Ge04800q000GW00H40002300H0W600WPQEPy@A0@y0y@VKU@@00G02aVyIu500_@t9Z4f0q +CI1e3e2GIG7XCWCY81P4GA00J_p30WA_qoZIG0ylWOcPK1m@0FScHLL11ym32p00Gd9@y@@O +0I00cFpZOit0000CH00W_sUuUjbQXhY6rLfLE300GOLfS200W00W080000001Wm@@641W120 +00AexXI0C8A09swGb5gR1Wv0G6vC4Yd1L5O000G010000002s@_Xe0sONXS_@t3i0000001c +pYXpq5ga9Icvk20010hOB10WxgemkgyV3AyFXjhn000GmZyOiwT2WHO0AqEXWgOuux4YeVce +hIuIH6M3@XpkJ0080mI0Caa53hUR0000OM300LadmRsI4H@CFAcG_V@y@V200o12iNKmE90F +I_W800Wtz13020mdxjWE000Y00mgx6aFF3@@h800zzAuTQH1IkG060G70xm@MR@m00003v00 +mNO60004100G000GaHx138000X0GWqCifg@SQwlw1QR1000in10WCAu4000000kj@@PhIJR0 +TJ0y@@O587IIoR000Wt300m@@f10eW20000Ae0W@@XXg00Wg00000W2K00024OcVNX9pcP0X +90iHj1040424Oc@@FVoP34W0Gy@F600OgJ7MY0j5glrGYbMYAdt00b1mDLgCuy9Ng7Iauj00 +00tI00mX8Bz@VE800WEQ7300InTkp000GWFEBAKCL_@d4GVB0trJ5020WHyI8CyMMbtW7TDO +RS3000W2G00OUS3004Ia6UHFcdmTTCKQk4rsZHB@6quj1JzRmXx6qrl10940Us7fKuGw@V30 +qj0ChVKDIRGWqd00G08UQ3E_73000m2400w@d100W84900Qs@70000081000GGUeHH08qz@@ +d1404GDfBKx@sict6WQ70EYYm5ct0000az00W2xT2m04np@6CQ_9W00000G8000G4H20mo@L +00mPU@V60GW00W8Y8k@V0WG0i@l1W1806jh8mw10zzpG2v2100031G00b00WQiMA7_e00001 +G0800A0Gqtjqct600uStN9gxXY2Gp0mo_E1W00vdfJ66FX0x3nz00Gp_E1e00000C2200WcZ +d9y@A00yP56UK4iA00uC0an13@@7Y700000WPT@Z1W_2WbzT2014e0m0G1u1W9QV20002000 +20800kF53000W_100Y7c11000zS@300gA00qCHAe2L10Wqzy000Gn@@64id1cX2A000UaWgG +0280oXV9mkE0Jkw400W880G0HbxHoqCqJU8000e2DBXVgG208YmRvXaSD3pl7200lXBAtxt@ +J0mV0q9@3nzM4002a2kbOju4G000aPi1fqpm9zO0000DUT6whVfaGC0400Gl_940k17o@G@v +a0083AiDFMOt6000G0140000000GGeQz70010a_V2v_pGxtR0ux0OePFARE700W01m@mGrC0 +300eTnJIIL20003hyM4W00WIgH20GZOgvK5el1rUZ4O80WItV8ryYMzUfSceQ1db_@t000o_ +@XEJyxU0008g_T3Uz69WH00F_jKEY9a3d1vmZKwQ9aei1Tsdm554_@l100erRC@@5tviwjGM +D7cSmZ2008nzedqv@9WWA06gdAltC0000RuF00aslG0000T600C3_X@@Z10WSZTgPOEae6yl +ebaI00Y820000K500Ps7OOuI4vQKLRRmh_Ri5k1jadm0@C00408F0900yQrOzLpe7o6NL010 +0eKD90JU0SM@R4000cTl50004m400YrdG8082@_Z100vXw@D020W000018W02N0OGVz5DQs3 +Vrx1200WLxV0W00210400000WBF0_jFXbxD00G0GJC@qVS2@wkHb@Fixl1vpp0000400H002 +00000WoO00e_V32JFXZbpftUU40W1SxF600Uuw@t010G000W04G000002Qobk0G400200uwh +M01P0C85R41002e76000qc200Qkw@blk510GIzvmSdeGXKqIg_6qQNB00ujINhklSR1qt1mC +SrjNiA000WZkEgCy_@2m@@@d100mh0000OtN1ON00up@J0048afuRbnD3081Wn7d7020oR@E +108m9Ep@V3z@cprQXCR0SN0Cl_L0G022Pl8000e2400g1dX@_VuoOUW0204NV55v3300ZZH_ +P8CSdgsz@fX@FQv@Vc_@cj@VPy@JM@@0cp9q@G00Kx4O@@@z@@@@1Es_3Wv0024xJ000aw30 +0EvGhtpA200IQwM_rYT2N@l1Gy6W7L_4000jJ00WBPVh5S3QQca0vD00mEQOuCq0NER@hok_ +9C4F9HyR0G71WmmPe1kqoU8mxi@lEy@dJ@@uu@@D@@@@@@Tr@FN_@lr@@0iW93v7sD_1000G +M100Qap3080018Bnlx6iyU2Rl@G_x602000W01G4y6000W8503QXNYeuV8FW42at0800000Q +7100022W0000W8800WxZD000Wm1oC00W0eTU32MEX80G84W4w0mXWwD00010004WKrI0G020 +00000110fhvHkz600G08vU30G00000W2000080100000Y080EaE18800FDNqc_daAj700aGl +4OZPgDej@4kTWXpgD0W01GK0LyylAfNBH74I0KF1ubJd_ycXUCgeERO0000XR00u7yD23Vco +oJOsR9_8NYGo910GOJEuC46_Obc7Iz7I0UQ1u@@@JR@@pw@li@@@@@@@@@lKyyp6l200OEDb +Bt@@@@@@@tSx1O62W1x@Fm@@VASiApPOuEF000mGN00eCytU3FXftn0W00G1y6yEk100CC00 +0000908CSdYcd48000x@L1000aKqJOhU3IAm0X000XXdMs3IKOl7000Oe700K6_@t@Kams6W +vrb3900Gj@g000W0u00GFyl10028p@M004CcugS3R238S3WbzbZ20GGj@g0000sx00m@@f10 +0W000e000500040K000_@@400X0@@psMj682008IXMIAWjTeC002O1G00ei7QHw00GKAV20W +Vv@Vs0C00000001NG000eXouK1ym0m@@o10g28nbM6znZ@@T200890003WC000D00_@N500S +A@@R@Kpsy@F6000mvC00SuK83NP3020WkoV8qQ90008K8k49d@00WDpRrrY000GBrXiyT5pV +@0mN5WTobeJcYseya6k21000A_00WiAg304G8014WHxPeem70010STl100gUggFXUvheHVUY +y6ZYvD000Wn@@90G80yHU3021W200040020004G00GW@@R08v32W0080000110000010024G +6y9ale1LnDJi@9CAl40000dxt00001e0O8_@t0400G024W0000080042G0GJ_608088DU300 +0mxAWW00G00000cHNCG040mUw90080eAkkMo@XEAD0800mCb604000G400000000YbVLcGT6 +EboV8i040010000X000CG0WC00GOW8F_B1001G00000pQ0_wFXG_POpU3000HS9bM008000Y +0G0600410m@@F00Y0uK@4000Z8Q0Wul@A0088KoUN0002W0800G00040048108040Gzx@mv@ +60100000mA800WoRh3002W1000H20GO60000G822009BVC0_K08000000WuG@pqlFF000b93 +2y00HA1YK0W040013882040K000Sy@3WO000000W4W00004m046ykf1JzR0021aP8OuUehW8 +WY09c95g0H0Y4a4820W@@dW800WaaD0e0000WIL0W805W_msO6W010u@@k000GgK0LY20004 +000A1007Qo00A18000G04400008G8000KQ100WA000aI8Y00_@t000G20200O00008W8QBLm +041000548ZK3oIb102100W088000000O0000AL000A020WW00wKD10001000m0044Sq5OA00 +06knWFXDOqr4000GGG00002800010204000ufC0500000I000G@T6ybg1a000AT1C0024000 +00W0GG8000G00mNgC0080eiR3802000000m5302g0WkdI8pR30020G000ePL36@GY@@B200G +i00000y20@@R00e50000Wlkc0G0000840WB0002xh000WI1qB1m1WKc040XwQ0W000WWa8W3 +0000oYG0008rvA_@l80KS0m5N0OPQ4JhIPnqfnYf1WPC3Wb@@N10Wm20000000Um30000m@u +@V3000C30pC30000c10W@@rI00005100c180C6m0W1W10003u@@4000C100S3m400mD00000 +00Fr3000U30082u000W8W900000c00001000Y000C1K706y@FL00Wf1Wbf10BJ3ByCCMcEJi +CD0eQL0O600y@V20eA0000CZP0K50000300W@@j7004ma_90004yAwAoPsW_uC0W04002000 +2000004o3WXZpD0qi1mdo60W0000840400W60Cu3zG_@t30GG0@@dG6G6yPz3ZYn00G00080 +05jO00G0Wy0OuYT38300qEj1400040180000G024mQWA220000WxHkldy@VE4001_@t0000X +NO@m5tCKvW1@@N1Ox5W_3ZACS600W0UMt300G4QCt0408080W0004GG000Om83G000ioc1Hd +R000GWZuCGs20000G0G800XX@G9@LKwYAVbd0G8204000BpQ0200Wy9COCS3W02800100G0X +GnD6004GOTyA00GKNob1G00023@X7lhe2KU6Xt0000GluQmEYCKmE30100E0OWH0D00W00G0 +00m170000000C0y@@@jf@@Qy@dc@@lMFp@@U00249IhG000W7rk1DrOGwMX4ck1vSTIwcaq4 +l1TDxHI16aAWAHVRGwGlLSL_vlJop_6ajF900mTJ5uay1E100SEG00CW00040KM8U8OG000X +cBN2Gm34WPW88I8z085QHKK4a0b8e3F1We0E1000003uyK1SQ58300H20y@40008000HWcWI +002ag7400@90G0L000w1045m90000500WaAWJ0WiOf800S1V2@DZ100WCn00aH1inV0900W2 +40a5pA0FaA06H1SoXI@N8r@3QzVWO@@ds@Pt@600O00000008lUkUfbsZo7GJ00000mA20Wl +0sI8roa2C000G1A10_a2SQcfGUoSZxtPet@4000lLKu@Xnvzs@C00W7Qw@e00W2iaT8XdII3 +x90Am002800010mjrDeRV9_t7600089lR08046228004400800200Hu_q408000G04400G02 +1WG00000G02Att00080000010e00001S9U3I1m0000041W00080S7l10400YCN8000W81000 +20W04OG1G00IYe64cz300300028C@j154R0002WJwD0W000002ao@P000U10080G0000440o +qgYCzcP3V6knt0000G4000wFDXG2C0001GqtIiQW1N@R0010eBzD0qL1W10000208xwRmlV2 +10G22G00284WY2cJG000mR@600808cN6041200W430000W43Waxt0000DL00WnVJ010GqZTg +akO80002W00WCRy6V_QmZpFK4F3W00002000W9Zyru70100qCiG00001004Tsk1THR0WW040 +010vzcmx@60W0000H0mb@6CwV5DsR0WQ1WLXMAnw4UbFXGyDW0G80000G0W00pSRGV@60004 +QEV38W08_vl40W800G00009000002g58WxYS242G00aG91W304W448200Tkj1aW0I0110jvP +200000060I02001H8008HWHDC0H00Ge@C0H0040040O00000Q84000AccXZcPu@VR04G0000 +04100280000G000Ga000H014000G4GIn@6001100020G0288B0G0240008naui1T_@0000H0 +00300000kz00H008DZ4G408c@@F000W0WP0G15081660G20iMe10200040m200008A0W3W4G +0f4GVipmOp90Gi000AHK846000W0V000J2000f4000100WI0K@lGbuBnJy90058000GGBy9G +4800140G5v600G0eRp4000QG000OtV3003000O340008G00240W24000QcHeae812O0GVyCq +2f40W1W0001G03OeV630vw7W000000500W000040GG8eU3JBG2G0Xmp0I40A0210@@R000eW +@@P0a8100000012GI0000000wUW80500GLy6iBk1xr@363G010G30000000H4LK2dwd00008 +00J1800Gb0S10000qA00mYj90004PtV3000W3000WG0ml9004i@2000CsGl4W30MDXG00C00 +00000ja0000810SuAF6C42ZGnWDKCWW4CWW8OG90vW2IG11002MyQ2c60Bb60MADM000KjgI +1000moq0GB0szl2GZ10h@R00uX7OU60ebM0_@@70CGs6GJe9WCW2000n080mdC6000202040 +40408080W0O20081yqY1000p08000e2820000W80W@@J00U300S3S700uC000000mnj3000U +300O2S0ODG800mS000yj@FECeILQG3RO3100U0UT@Xmoq0000mYf10W@@b0F00000@30m300 +0m3QXdmvOy0KZ2G9_UCR@Idr9HQ@a000W2_00GCOvCplG@qI20WVayomutseEVA7G710@@75 +0W2020G0TQz000G0000Wb5nmpaXCHf1000Wu92001004080mXB641W1@@p30GGWKpJ000204 +20aGqJO@o7IMY40G00008s_@FgOcD0200GJx6G080u@@48800yY73ZTIY00000j001TLn2Ny +01008KI3000W0010eNI3o4rWkqQPNU3000G9t00G0008020WFOCuzzYADzXWJDu9jS00KSy@ +VKvwRGdWFCP73zt3ZGy6Wu91h9s42_o6000KO100QztfByau@@V00aFy@FOBvA4WL7WMUY20 +800400YHPD0W00GAm601000WG0mFmp0000MF00mfxH120KW2000410HA00000W80W20000Y8 +BE3AJKYHv310GD_wyE100K0004MGS6K4d100K00W2000G1eDkAIAeE004801104M0510440H +1K400H041GO424G00010Z30005OqgWpm300ulVda00020G10000PIO600020e0W00000W08G +VLR300wa@@T200Ge84800q000GW00G40002300H0W68CWiuU8WdM0sR0y@@y68I10Ha2G6G4 +WaWC0P0P0H2o0YKW14f0I2I1a18000G60W@@X100Tz@@E100oK0m3lWOcPO2m@egAcXPc110 +@32pL54uXF8m3FL000gK500y@FCWsH0_@tinYVelLF_@d1000CM600_@@gfe9vyQ3kwAXZeJ +u2R600OE_5D33qR0000X9wBwNT6cl_X9iD0000401000001JipGd_6SpE30gO0AodXNtD008 +GG7xX3002OsB363MYjl9f4y700ugC0eJpOR01000800040H0W8W000K10W00040000002dsb +000G00008txAn9xL0WI1OxFd_xtWCmDeMY42_F10G02hfxHOv6KhE3000G0900Crk19Dl40G +000G00001I009W000eAi_4_bDX8oD0W10m@@a00W@u@@@xUi800GSU700A8riiqp100dRs3@ +@_Kidb@Gc@g00809jLsknt60m90hzE6000gQzDeE3Rm500KByO4080c1lYS@9100WN_nZ104 +0008KmU@v02i0uFOp00IYG00087@P00007VK2fuyVr@600c00000SOwp02Q0OL8xZysW0zDe +lrSo_F100KJfcJ5008aPPVeVS30G000080esj42z@40fF0PXJ5W0400240dkN4000Mj000Xc +JL4pFauh10002000WiqS27gV2003oXrzxwTI0Ef0yBJNz_A40008w00070lqzMOCDFF000R2 +8rl3vPuXTC0BA0aEMH5Np0008aE0Su9bV0aL0Cvwd00WOPF00Kit@RxcPvMF4mb1zr@Gsvg0 +00WWp00mOuH1W20e307ghNYC@L96ILEsl50W1G02W40WO0itg1m08000000200A2R3K20000 +0WvsVL00aTdilJ000H00c00028W842080W0Y000o200G00084000048Y408aPPVeuVI05n0i +ilJ0009040800W00G00eI0004000811000001020WW000110WhUD02G0GywgeS00ePVdW001 +01000G080248GG1KW01001008200801000004X2xJ8p8L00qg_@lJ000u0080WTH420W0000 +H80070004s93C0040850010G0000040W10000f0axlAWoP063VU4000O200m4m0W9W90J0J0 +00cWK0C00000W1WXl2d100sJsi@7AEF9xp0700WA1AAuV60G01q0W1G004W001q@l1D0OmBo +6q5U20014UfD400R2nmHrft6W000OuVF00W0iAT2BHl1On1WdmKvRQLAis04W00LDRGa2OKn +T5XT@0000A@1005DFVmy6qBVBfqRm8zO00F2Oay76lmW5N2FvjDcGVZNum000uzGxC001000 +08ml0jyD_@Th@@sy@dj@@@p@V@_@@@@@vY@@jw@FUSEJ7dm9M90W00edxA00e3itj1zTRmbt +6yoTH9mdGCuRK9C3tOpGWyF0sy1OGR600040010OGAdk8@7000ma100_@tfbbDuZwGgjBXex +IG040GU0L00eHUcV300020002000GW00012W00px7uVk64hh10eI0ArLY@@NwO@Dst7ZS_De +eV3000G000W3z00GU4BDY06r0eng1O0O00000030004000S2300g9cXmrE700Wu@@m0400yS +YD0Ed0SB03CX204P00S4FF0W80UXFXHu310K00Y000H0008W0000008020vF03Af_1Q10002 +00G004X000008220000W00mVBm6H41WH_D0Y80GW7L00O_u@V3000H8200ebUdExN50040zS +@Ge2C0mp0000008W0Wg_DOi@VoFsWwTIOSxG00W00G000Y0480H00020000H86KDXKBI0000 +Bp000020WG40CGCHH001Y0G02C10WWrO@H4000800WrL2H400040018Y80000401080H4m00 +01Gdp6C@F300QxhWb1WG210e0G00g0Cl@RbIdGF2L0IH0O26CMFge@@L1000QCDOC9F3000H +Efee@@@lbRB100W5Bi1j2rLZ@@00eVw@V3000FhR00Wyclk0yjJ1SF0lLNKeoU8000eXf401 +01KrS2v181ef9W8iD000W100010600LI940080tBF0000duF00a_F6000@5m6@3000WD7zF0 +0ONsuV0ywk0yA000002GtF3000mSh300FTd0OzF0VxC0000RK@y0000SNw10mov@FzyC0000 +0n100100uPy4UPYdj_d@Vz40047dRj1hy9H__x1G00i_V60YB0qAF3jrXtBsI4bT2000OG50 +0SCd7JepMb@902028@@4000w@@l120Y0McnoOm@@5z@RX@@Ly@@@@@@@@@@@@@@@@@@@@srG +5iw3GPP1_9T8YF00_js000G00004Eqc10W00vIp0002WKDh30GGGHhjqMx3Ho3sBx60ad500 +20002GWOnJuYU6I9e8G000JkZHLtFG0000212mjz9isS2w4000W0000W002000210008YWjo +pmmy9ijy3rBl1100WOwDuOUF001000G00028Gaz6G02000000100XFpDG00000440000W400 +000ioJWWO1C0800401a02040018W02I990KWe000G0004G0Zd3Jm@6yAC30020M0kYjBC0A1 +00000004G0G00000110W00CCQ30000840We_V30s31W048108020G010G82001aWO0884W4O +@S3GW00SdU21gpGrTQHW008@U60400000W2e001A04000G040G8000000280G4A000HW5yD8 +vS210eZFn763ihefz4Wfvb080880040W000TsdGaXrzZk1000Gr30Y0008v@@400G1yiyFBz +750WGR00204800_@F180W0nz_00G0WE8hBN_40GZ08A080400000040800000X0000041400 +W00G00WgFDW000GQbrDDg1000mu304000K8IR6G0004qj13kWHlzcLGX10G0000STW04S080 +04X00H4020020010a020X000H0104280W80G40WAItWXkPhy630GG0SDl10cQ010W2002m00 +60e041200104X00WX200K0106G0030m00010000Gt4ofKq9100A012G4650X0008iAGC040a +680500W008010O0X0G040u44G008008204030202m1G900aGBRJhd02400401000OZX0e200 +204IGO1W00189MW01201GW014A0000Y4G4000W8I40020020SBjGpz3300e44200I0000Q@q +J2m10hc840800000Y00kIK804Q5uA1100eLG4040GX00H007010005PC_zq700q_l1OcPgig +gKfg50W@BggmNaPClegK5ScnCcPwV0ytYOcf410WMxVZ40000090000000KlS000mR000JWN +0c0Y0_18000y30G140W2O205u7WBW9WV0J0c000C10e000uUVfhUkYhnmuez4ofN2000Sk30 +0QSkYroSQBU3ITtWAtC001000W080002hBdm@o9CPj1G0000GW00G00000n3000GG000NoRm +fG9a7c1talqeS602W0Ok_4800004008vU6QfNYsjD0iz2Gy@x1G008CV3o1FXvrCOeV3Mvs0 +000GS00008804dN20a00000000W0iWzVA9F10G0002000WG0StF6400108000100W0000000 +e3@OelS300CR300WgRV3001800010081mvV9000W0880GK5NbnK54001AYA100840040W000 +0cLH0G00A0000e4000D04982W00G00000Y0051040W0C00000eAmW2400220080mW0000Y04 +0208002eOy4omtWvxb8AH62TVZ85Cu2U300080e00ekS3W00004G08WT3m3000O000000021 +08C00400WW010000050K0041000420200G1GW000001002030W00090100010W00KQt3tDY1 +040W469H000m2YCSKl10W000W00008O01G00O0000G100WG0GIG4ibO2400002G00400W801 +nk26qUl1LIAnfOB128000H0GCJ6y@V20H708000O000u@V3oKqWz6C8IG3sjiYBvrgrH3oMa +100mi050WxnqWo7D010000H600000020XEtFXHpa0000nvzB100410000000nZ@DOw@4O400 +0000000Kmm_6Ktf1e100820200W400a8014G040000H00oYqWAvIumCmw4rWrzP0Gh1Gi_6K +If100G00020000W1X00GQa6KGP5820023q9H000FUQ0I0044500000Hw_tWX5CGZ0eIAA608 +0000a802Y0052X024KW41A220G500OWusg6O400u18C_@7fi7C0240mtV9e800000000WC10 +0100WG00002eO0006WWC042441200eG08GG8Y1000H00000ecs0Puz0308Y0awAL00221WG0 +00082008000100mO042000Pu0008A502940004cXe00W020G040008040m0W000n08H0G800 +2448W042000080G00000m4002MrWpxO8z1a0400030000X000GG11000200C000WgG00GO00 +0Cm000800C0100O000400004080e02000GA100WX22000110O00000G0W00h@aGgcH1W0000 +S0Joi98G0700JOX086000Z@4W5WGW2X3GG0400420AG8000I00G0m020000010406G880JG2 +M20G2W40G800000002210000W04010U9agMQPW000200W0G0000lC0004G1K08W2004HI088 +W000012000G00e00W00081A00250240GW090y@l10G080400iagAFKr200A0000GM1000800 +04100XdG8GGw5000aSW_R0E0ix5X00m2H8G0004AZ40W02040000a4X000E0W04200zkXGGm +yB0e40000900006042000Wm90000e100u@VdaU07vV0000k3m@@C00Olu@V30001HG000aI0 +Y14a03c026C42NVA1W@10Z@1sbm9000G000W10102W3WV09000_1000000GeD000mR00WV0D +0D1_1O0q100W14e028G1WHW0WB01Wt0h0@0p100k300W@@DWC00091P0Y0o05qOm@@2106O0 +0000Cm0W0kP000WfS10W@@D0000Zk00WB8P00081G28444K2WCeGGeW000XGu@V9000yyhW1 +u100_@d40280RkHIWnO000NVGy7kdsZRg31004maf60100G08000100000WzOR0000G000W0 +0088GW0_@l10Y00o@c10Y02040GMd9X5fJ00R00400W_pIGWO0m@@F000ZeYx400WGCRA9tE +Rm2v6000000G1qAUC000G0500m@@68008u@V6W200a1j4000uuD08iWE3R77o6oX4XE3deRG +5w944j10100YL8XL@UG0G0m@@98G00eKT300ukr8730G2KgPNYEAD00W00000YyeX1100GcU +6S1l1jsQGWP6SKV200040qW1CyU204000005000G8wC304000WA1u@V300210H0G02O0u6w6 +0801uXx7G00G46l1G240EJlY96IOw130004aal11FOGR_C0W00u_73odFXEzD02AWmQv6000 +00088IFt6000W5RY020G00000B00W840We000002CGaGG08I008214G40000GK0080020010 +1408014Gkvt004G09zRmIw980028FV60G00ydU20202gNF140000W00G00Wy@l1rOQ000e03 +000dxR00G400000600040W44fW100kWk8F110W022001000eW0b0103000K002e00400000G +00010W2001X0GG0W23yP016GWavP0008Gty6aIF3jgRGJ@900040040uJh9aNV200W004W00 +G00000C0200W6@D0008040000W0100000D_O0208000009200S100g808Qyq00W30XwR000I +YIfP0400mCyOKEzL0000H004qb96W0000W800W00uoi4sltWF6Cedxq0mCMVzk4TGQGryC00 +W0OiV38420kJl10020008ey@F6hIOmmm510000aJ1mw@F0000PJS38008E5e102018100000 +0Z0000H00e9rWvw_V0000Za80efU9000Cbil180H1wstWjxI0G000008200G0G00XwJRZa24 +wKz40009B200ur@7480200L1008004100L1000040e0I000610K1000K4K0104G4H0000000 +G1OJwn00000al00100m2y60W01OhU6G4808W20018o05008020G01000aG462Y10000W810Y +0X0uVTp0D000800WI00W400WDsJ000G00028010YW0008400G0000021e0Y00884G00G0100 +2108100000b00WwzxAsV300yWG40W0a00H2sC000K00X208W020OGO000Cost0O0100bG0W1 +40eaI000O0GJmUaplJWcN0000002W88Zg4000KqJR204H00000W2W010e12010O4200XZRW0 +4K0G00GbnN1000mpuS2000CJ10W7zDeV63000000220G0YOhK6000GaG000G40aLVC000000 +a0gbeIuTVp00aC0e00008j210040008020O0000WK0W3000045110G00200410z18000qBm5 +02W0GhW8086XW0WW0W_90088@Iz_W10p000000uw0WZ@b00o4WCDB93FCpoCJpW9pAPfbbnC +9FZPI_1mlScoKcPmiX720PcHo00GPrOaTVH0010kis0000aU500000000t000C1E300ueaDG +1000g0e0C141u2O2v6u620mD404K80AWB0GWR0c0l1kXk1y300u60m@@L0H082H00G7E8100 +0000unww6O60000OUMs@6000c60bgLgK6000U0jA50Mc60m300iCD0mpm0000OnqF0000WMq +20y7l1pK@s0Se1eTyJk2Fd4oVeutDcytWDqV0000d_10WEpfAER3ooMY1O3PUT900S@k3ERl +AIoc@I0S91euV6c2IegStefU3k6CXomOujU3EA@XkuD0000_wVC010We1V3002GzXV2htdW0 +0048002ZYR0000XtEnW0W000GGWjoDeQV3gDsWa@Cu6xD00100G00enU3IMF1004000u7100 +001WK002020000880GGG014W88080000WW00011W008G000G000i6TBpSNHTn6qNN2fvOGOc +C0X00Ov@704000G000yL2000W00A0000G00G020G05K00808801030W0W000010Mui100080 +G000e000200mJs6aJN2@@@00G8mL7I8R13IVAXna310W0Gr_I0000cW000000080027Jd040 +8WT7D81@4kv9jm@h00WBHPoUiMF3leRmCpKzvl76mU0E3j20008XmRGEu900002G0a00C000 +G91lAm6000Ex200lkH200010GW000C1Yjoin_P00mDV7mOKtV200W00G02agl1tRPsN@F0UI +18hzAAgtWH_JG0W0040X0800mGOG00G41azmRU600Uyl20GW800404100K6c1800000CG0W1 +04800mIyZzwF3002s7zl20W1X0G8WotF10000K2I04G20G0X0uX4v0sf0qvV54200W110WWI +1ebV3000G00101X0WGOkIKW49F9HIZxO0000Xh00Gm@IO1402110Ky@6W00620GW8e03W_EJ +OK03gX@akh89Cc4Yxl200Z8X_N10e0040010W2000Y00040W08G80G4WdbJG000OrMErrl7W +Z80A_N200N9000ajY000O0848200Yd00WWqBeD0000WDiYZS0008m100yvl4O60Um30000c1 +u_@4000J30BJBEN3Y0VcmmLvjV900yESMS5000Y000_180a4u7u6G600WR0008000Wn000H0 +40G0GwHOKF4U0mC0000KPL0CZq0a6B1GBQ9D00WbfhMNem7Rnm00mzxZ5oFCxdp00WQ@Pvzu +G8sEZ33mKE0BYQs90JiE_900skJopZXZdPuP3saNboz218w2G@XdSRl1xebGcVU000G040G0 +00GXCyd1280G5@C00003O00mvy60250000W040WWdrJ0280G1pOy@@6000600000020PpU3Y +9SZvdI8hz78000DzF300OlZ@t00G0C40000014qbF3NadGQwC4vd1jcHIr_6KqjG0aO0MS8X +89P8aV3_liY2N31008O70EC9kJ00W82g_dM2JeuSa000ooA00urc6dItCK000@1ypoyUy0V2 +jbb3000nbvV8rrMERNYIea00A30081WVtv1041mBoF4syF00oMwxFA0a09viPGeOgy@V2bW@ +G@@60W_0O@V300HbEOV24200W000KCmCtZa04m00A000RTRpntF000WGd00GokF000muRV3_ +0t660008012G00000141088mXbj8000OIE900m5@yT2vWR004a8C0400001ZEtW7WteoTC00 +W0008102000H00XYsIufMLg7M20_10bAdm1u6GW00OcS3oOtWJ9W10qU3m1WKD040010210G +0axj1@@V20W10W00fNf@0000Cf000@@R0W10008008_6212Ila5G5RlaC00uC00Wt000P0E0 +C1aH00m41mR9j000OwB13wxd1mTB0v@R000GI00WV0P400_P0a5G5fvC@JGeEgy90HB06Tu@ +D0B20meTI1a6lkJ00010002y173Zqdm5rXK9l13sR0060W1@J00G1m5_6011G000000K0020 +02vuR01G000W004004UN760W03LxNHiEUqlV2ryRGxTCWJ000040000G018000000C001002 +08hV3G000W000ei7OsfCA00Z5HqxnLu_b8p@7wyVXG@Feq@1Iz@VM@t7s@xfzVUSylpV200M +6cjMB00080040Ma_X1jCeD_4I2t010G0xSl1GM2WD40xmU6_88XTcPO_IF000WuQ008fXhMW +lb9ePu2y42js000BaRvVrNs6CMj1NKpGovF0010udW7QGtWLHP0qU1mOyCasR20402circH9 +D0800010G000020400p8N20082@qRGJvFCHk1HsdGYz6000WnQ00Gmz6G004022e04000200 +0dNdmukp0O0000001080104000W0G00G0q0i1PnpGot900W4eXL664t00900pld0000000iY +@@R0088204W0GW120001iDU28000cYGYrzRPjtA2@mW@wsOGs70pF0y@F3d7a001WWIoj10Y +00004WZyDW000GNu210006D00mTuRS9jDxEdJOur5SUHW040MDFA0400zhpmhtvyzO2s400w +nlYy2u16082051WOyD8IQRkzd100L3@cxH7zmqV@3G400W0000300uPVU0ju04qP5HAmJbgH +nJ00O6fA_2Fdq_Z200uN6eOC91Ftlyp@@F0a_189cMI_d4C000NHi40006L200Z@Z1400000 +K0ZS7800ZtdTm8W5R000W10OW10000m00W__H20W2m@@OSIh1nXC30G00001W00204000ymW +G000ubB00KC_6008YkyV6040km50bfC4t10ioAB2X00W7C0le0z906y6cSpiv@@7oloWff21 +0008000QC100l2SII1BrncA00G000ePVyNWr842mg6WY3i9uVC0G080000008W4W00WzjJGG +04mJSCaPE32000W000S083202egxE11000000G1E004sN500W2EUp00440ftnGZFXSWO2DXn +0200mi4heiYDMPF1002S00000e80CTd10001GW02ysU280W0_@7cJ3C8eS3W201qPU2G0406 +sl20040Nd@mqZ9KWU2WwT00000z@e1m000gHF1004060041W00000Gfm5O0000000G9vV6E4 +mWu@JuoG6oOtWIsP0200JSa901000000jV10W9zO028WGXx9CCd4hpXH3QHz8F300K8EroZB +o_PalPgeJ229A0XznGbY6iGcGRj3F0WUdc@P0H0400Y820G402000Yypf21v1eJ3Gkh9000G +4W80W8Y0Wc1D0008qYV5DCBCY000cLb1000CO700ktwXC4Dud0vEeDXmKV00m_@@@9810080 +M30W0000100008qg7l5ch1ldA1O@1W@@D000GOyeC04H0uklV_@N50102bpoGFkF000WbM00 +m@@6W020000005G000000i008G0004vgDB7FJhmC0000iYu700ie@@l10008RUbXE0Cu@@t0 +004kCS50wN0_@d10GO20000q0jI0O00SaOFoKaDE000@@B1W004W000TAs5020WRaDO8P3Ab +s0Y0004400k7_100@xVFn0H0H400Y800Wp000MdadJTeUooX6ywy3WW60_@t00028000504G +0yvHQ@@@@@@@@@VT@bK40WRjR_a0010000808040G00W6DeE0100Vnp08o50000W00400202 +_Xz3hNMKMRmqBV2ryd0000Et1000000080GCEV2rzR042080m00fu_pLD5108AzK_4IgpW9X +CRs@M0700q5P5000051014WCX0001y000KtF322010080iJT2FDJOOuFyi6UH_x1mO1Wf@V0 +0084H08WUNR4R00mw@6W840uX43008Y000820G0m1B5DPlG0029@tc182G00G00G1000H00e +NV3U3dGGdD0@@R00a2A000G820W0000G800Y090004YWOp94000ii00WlUJ00G0000200006 +008G000003W0OVT210qD@@V207000W020WW0000PH1RF45lVWWC0wWFXQxC089200000G040 +3sh8M10WerJ00200u200WWyAnmr80W0y_KP8WR3000Wrz8UZ@N1mW6WVmJ000u6W820WR60n +mXNi7O4sJ2brR00002G002Dk_VpLay_@3Wf40klz@85_lEBXs@Fd7KD8jv7K60GKGh14008A +28XALDOKL3gctWnvD0002u@@I0280O@YJ_@dadjJ8@@400Kb30G0uXT30080K4W1fpoGot9a +Ik10280EzNYGn8Czw@F@_@op@Vyz@3l@@l@@lGv@7a_@0j@@Fy@dyd4000yC100AbJb6kC8Z +B9gQ@@85WPkV6_@Fam5G2000yu0dy@lD00846zcXSlFP1X700ej5E7300010010W0088DTC0 +0W04In39Lu1050WyrJuXTLgK@1ms20@@@W008WnmDu@@A0200in_9fGeIs3giik1TX3psu64 +YDX@@x7Hj3WerR4040GfyIW2008Ao9Btd100uMlMAAO13Wf7Q4020GxcF0000nh00my@AYG0 +0ws49004yUc1aNx818u3WLFQCEcAiB00i@lYjFL100PZo@LSzT3AsMY85QS7f4000yxV008e +v4y700S9za008Y2_F100vXnRdF000mHhyOyg7_lQ3000Wa700_lGhQhaOGB3_6nZLtU000nt +G9LSqi1Dx@Gonj0O00u@@S6V_10YA0dBSI8Bviwm33dPGKr9q103l_N1000Ac2007WWHIQF4 +hNB7uRGiOIaZF900H0szd100@azP@p7@Z14G18IS30210qBt3FSR000eWcnPucR32Ru1a000 +rqzGPT94qs9@@l100mLR20000000G0G8000Oik7_CtWK0e8AWGo_7c@@P000WHy@C000Ocd0 +00020X@@te7WDMntWS@2PYZV00mo@8XMhsX40O0WQt@FU@Y00qvi1LNW02W2tCXT5p14B0mQ +LWrTP2HAaJEJWX0X4QXJ6oYP600WF0001800000Y4W000med9a205H1So@@C0004n0OWnSe9 +G400GH00m@@m00Wr10002G00W60Ce_p4I1WYe0Ev@@YGW00y@V200ikQMbXRHzuaL3cPrWuU +V8509_@V60Y00@@R0418WOWP0Sj3GQmCS6y6j2pGAkFa205ZXTRTo60I0000000080400000 +W08b0000000K2W0mpOFqdR2000uGE80a5G200o4g3mWK0e8AWJUqz10800@sQmoj94jh10Im +W80000819u1M30IG20000gBW4Ewb1000aGBAX0002HH20Pt03w0mWK0e8AWJe800y@l1GK10 +0000GYGWI44Y400000G8I000000KH080000G412HU2XW8284PW80Y80Y80W0J428YWG89H8G +412X4000H4WG4000921WGACmPJ4IM0982W4m4X0HW0Fa205H1i1a10W@@Ju_26c8B7000m5c +jq@@ICdb1f4pGMh9081000000W40eE0Iu@VC004Zc5W17MO020HW01I850AY2Wa@@D0004cy +10000082uJ318100000e103yfX0yq7C00wR@@lB0031000Gm000q0W1@@33H8041200W_20_ +@t90a31007Gm9000Wyu0000089oJ08I2oX00WZ8900000EU2000874m100XpSY2m0000Eu00 +0I1W20a31440Ha00008Jp9BWGI5G5S008u400_@@@wy@VJy@pKtxFzFvS@JISvgbGN20GT@E +_@VWu@bs39Vj7WLA@Fbp@loleCAJubU3I1WYe0Gv8r@JzKYeFh8AWG_4sWrMxQxT3I1WYe0E +9fWhARsWE0O850AY20b@@f2008O70Ea205H1WIgm@Vgy@bI@@es@7A_@Hzk70G0G10000018 +00000m0W0006GA0K45m99kI502a0WG48240002HYW000GW482209mK0e8AWJ4800aAKK00IY +0GGY8100001980000GIaW0Ga4I1WYe0EH2000818d0I@FCr@@Yz@kS@VBu@1004Kxl7000Oc +nFG4808xyx1Oy4Wrd@V3UI0G030O00uD_D008kddjVhsR00W0WSxt00h0G91l1008000a000 +00GG1GPoR00W000G00v6_mL@900025c00G3vf5cm308000400008485o7Q@@100kOrDZ7001 +000010400QY4ZD_J0qg1mcxi1G00W00Gmnq6000G00200Y00G0040fJl10006z000VV_snq6 +00005H010WX8000W80G08000080028y@A008EbY@R000eUym0I100T0O00810005K00I0wv7 +3mFC0pzB700200008W000G0K00000GG0000000X00095WXQ00W@@J30e0000000A00020200 +0GG24WWO001MG0008084100YG7300mdjiN7400010040202G300086G100W0W0010080@@N1 +8a2W@@V300020048042z00H00O8000410m0100W50024YVFK1000QY300@@Bd@@500W000W0 +0zlA0y@l100WVy300y@V500uF_@@D0K0000Wi0K243e49M19Ii2SZO4a5GAW9WaOM09HY1u@ +@A02R0CO@RK1000m@0GGLVWWPc11Fy0FSu1ggALCpC2wV00ag00G_8Lu100eP@@@@@@@@@@q +@@@@@@5z@@@@q0Gisdl_@tp@@9WuL_F6WHR0_@tFG100x66IGfU4fm@JFS20000WmC0@@ZNW +YR4Gw3e500_@lE0020000WQcrWSrz000es@@@@@V800O2Ez4ZrIPO5YJwK@@BUzR3ZGMy5ZJ +VV0300G01KbKWe@@BAG00e6KDOHNai000CVxL0002Y3EX_bPOMO3ECG500gh1ZIrkn901W0e +ht72xP6W510XtILoj645S5dj4oolIW600u@@@@@FJP0W04W10_919000a6300_@t9G00G020 +000a000Ef8m@Y00Ghy@VK008X00080G00WY30m@@51o91esueK102G0I41000oYB5100We24 +OMpBvv3S24q3mBJHX00000W0KU@B1H00u@Vd01G00W000820GFTgyyl700ol_@Fgh7S20000 +e61W6zf208G000GX@_HwVVC2VY7100W20300060aFYb00WgUBt0@300_@10Ak0fnm@FCz@@Y +FS0040000W040000W08m@Y00a3K2NK0G000W00G200uPD66BW7G530@@RsYT9y@FC000eP00 +0y@lJ20a000011aW000X0m@@5100ez@@e0WO80020100W80G0W306Og9X0iT0y@lJ0G0G808 +0001W8hG30040qVqF000WvC00y@lJ0X40000000X0O09a00Wdjz8L000GW00000K0u@@Y0rS +0y@lJ008GeJ400nH800a02000040204100_@d7000q@@7b2Z00200H0C600025Y800u@VXMU +2f@@V0m00o@@B1eh1u@Vd000A0008yoJ6QYx7000aA500_@t988007yYn@@s00efDb_eG000 +CYg18280kXh80j7038rr@@2100WETje0001000yZV300000G50000ehgQy@700K2nmf5C08W +90B20k0m@@H10m000G4000u@CoB2000De00W7kfQDALUNF400Qs1wVr7oL4wlDWC20Mstlwc +bOz@7000mmD00u@Vd0010C3M2TSdGLOv000000GO_H1Hzjj13cbmFS945NE0_00_@FA1520W +22X8W810000Y080mjv6acQE0008ZZieEpV05204G00212000000800mq3UB@@B10Wsq7wZ20 +I000040H4008000E1cXiup1Wk1m@eHH401021WCm0pYNzIe7EU0000P900OqVd0e00cGX100 +000e02000004900a00W@@p10X@qhmH10002G1002GO000K20100k8n00080b8d30f4WbzT20 +05000020060v@R00ICOO000J8p3000AF200Dxx4OAX980310P8i02A0336m05e20G6G41009 +joSov@I000kuGwe00WW2WWn044K113W0W8I04860040010000041Ge@s02i08Jne0002CxP2 +00400010y@@Fy600IFAA0G000040cJm0Q000Vnbm@@s008FAPVd000C00WWK200800011H00 +002GIyN8Gm707x752G000W000040080CaJf1Lp9Kp_EXe00000mGCSB108tEPVd000l3GCr3 +0cP20Ez000mT_71014G0wF00000004G0000G1f0E38L0W@@Z2e000H5030606080C000OaXR +2DnP30002o000Dxx400SNh0Ocw0egzt@N12OWe7EX00KX_8Caf@B1Wk0WLpfg2EFYgCXnA99 +Va7QkKYtBJOt@bIA06003mXyvnDds0000PxXb0tw0SIdA70gIMu8bKW1w500cb8d@@P00W8G +x@680GW10001240W8SCOTM3odb700YeJC6ol9Cax860010_is00WW0W00G000WScf1Bfcmaq +y02T18I1UkLFXcrJW0000e000WW00vmZ4000eGSt8tT3YeFXgEt000G000IW@@D08002100G +0000f7L4000000_Rro6LJlFi3sC@@@00Z4WnmZ22W403G006008dzQmyw@0000Zh00mEgE10 +04000080u0mnhCOMOX00eyCP_I0004100WG4G5HWO021c00W000800GW004aqyFWCG0_@l80 +0GW08G000Y0CSb14YWO000S080Yu@5OIDl2Y300zm75008XGfUOoVU00uRdWRK8200cIt90W +D0pwZq1t6K4E3040GgcL8000Sq4002DVfqeDmI20mTY6800H8rSX00yB@rhJ0Y00MYdX2@B2 +401mo_E1040q@@08@@30000e05W@DF00ai@a0000500080C0K0G0mU65100@8a2d00WV0mN@ +1000WlE0F0000cX00JyN80ZC0@@NKu86O_10CzWbAukkcFj10WOt@@8DLF95kP3Wx7WT6_C8 +bb6@F1G00W4000_rtW_mD00008e00WouJO4FO000STe@CvN62G004W0G000W00150CQ_F000 +00pO0ysOH@gd0WW000A00jyz08W100200JxR30006S200BbHobXCyqO5hbRmTz6000105G00 +100020KGtP8400Oq@@Z208Y80G4G000044G0gtdXtPp1GH0mimHD5j40200AHsWfzd1004Tq +raaJzCfmp000GY9@v10GTPcCc1W80PokS0fD0iilJ0W001000iFX42000C200yR_C000GDC0 +0CtGBP_7200G8aW0H81000WG0D@l1000f000o0G00yFE3Mz7600lhxs6LlnLq6EF0@E0_@V3 +0G8000010020SMiAFUoJWzOu500u@VFG480SMDINxR30Wbzf4L9MnM00000a018Hy4G000i4 +VEWP502Vd400G41RX7000C5100RJ6YI0WWcoDOoVI00W82F000K02008WWMcbW020mg@m00e +BD7_e_Ro0G000vW@0030Wc5j1y61m@@U0W100008300GaC_@100_F00000yV1nPEZi00WAtu +4010000_waWcvM8OW000Svj7fvw1Gp2W9m5wISFEosWxUt8ZyD0004000Wb808mGA@S7y6h2 +B4000000DRn2srynC4oTEWl706qt900W0d7d0020WBkDOfPU000WHU00ed9R24730400jhRG +35C01008syS00uAqcOE3MBngrF000489_Y0MX0aAKK1GA100WWLkp1000jB00WEEr2W00ojy +900n0G800mAoCqx@908s_23rC820073d3eh1WLJ7h1V3URs6000mi500gNLherCegR3MspWK +aDOV@M004ekHXMvfo0I00081mCDfQ3el3W1Rr2000LUT9aQEFy300wemiC@p100xL4mN10K0 +OgN60W000008000Gm2fp4YxO080040e0s6V51sVIgvBL6F6004gdyd700WzfFF60_0Gh6000 +0W@3zt600C0fHt50001000G9YR00C3WCkj1z00GVsQv000000000ly30_P60000y000Kw@C0 +02jJ5gh1@B2mS0mWzEDrw9TOPmqfa0000BCS9MzsWRlP000GmIid80008iN66y7cvTh000bo +tkLyF_3v3ymQv2bkN8r@p0u70Wnv@4000_lAsC1V8NnRGOy60080000020022G000nXF30W@ +c1zT2G01mfUI080000G00001e@@j1qd0Gh88De@35c@G2@6i5sC000eH800qi@I00G0JxNqY +24DHX@Fau@2D_VGa@3Kv@@O_lFd@tYFDGCB0X_ZqOs2bnD61aoGL@F4tF39_RJC@510Wswky +DcCdXLp3PUxYMWNE40a0002040800e0000G00400004G00005MjJ2040GByX1000IA200vWx +4008WE2C0000uCV6CBl10088041100408pR9UXqWhlV8Ix400aMriD9Fssog590010Y08080 +O0400I000001G80802G00000602WVpV000WmclIW000uxR30tQ0iCm6bPOJQ_6G000OYR3Eq +t0000400G00003CuV81U@0000kqUHQp@7sPt00AI420G000Y804G04880uPVs00ujAm_h000 +45yl120W4W0G00000W0W0W8080220H0008w4@1Y00AJ@lXmo4WQOewEU30W0045l100WCO40 +0W0408Yz4wtFXp331000Qo_pyvF9000218000042000m6000H200000W0W00001Y0O46O004 +44l_L00H0100WG4LG0G0I08KE8OH400aB0820be000000Gqu_C0G000004mXaR0cD18xXh8Y +A00062040KeY2G50001G4860G90000X20Y0GxEF000Y8voD000WHG00eXRj0WKGH0000G08b +00000100LzRmO@IasT2X@N10W8ulmxQXR3U0mWJkJ0800GB1Uy_@3W0802FEA0000G1K0400 +0aDh18808800100004100GAt6000O0G03GQt9000GuLfD0000w900uUxeEXF100H00000HIa +0008000022G000WW20BhA1002Wrut000QOv@K18G000080020000R04000W2r1i9j1D_Q0Y0 +0WQshudTC03C0KCxIB@pW7H000Cn7Y0G0i100220Vr10K18_7Gf0m600WQoX76u000Dx7500 +0O400W201080L00Wn000W2000C000g0C341e200aFY1fT@0008ehan00G_Vo_N1WTL100WWN +U0G@J0W_@10zF20Qr0U100SZ200W4_h00Cm00C1Wn@h0qb2mWzZz2j4TH@mKnLyWj1000eiF +0000048QU30088Ssl1dMrIMrIyRT2XXp000GWthV8Zx42nU300800027BhEa3mDO2S6QYRZQ +vOOg3C2z26Gx10vr9nJN9yuD3JWpmSvR0140OOw7E4FXbhUu@y4000GSBE6000W000GXA00u +@Vg0G001002G01000009C0022500sxBXPsb000WGruR008g@aSRwLFXeWa0404000008003G +41005W44mk1dnR00108000W0W086YFXr1V0W00m4X90G200G0G00000WX10FNlqJT6q9l10e +02C0282e000030W0G002000400W00W0ac03x7k1000eVxD0000Cl00Wo6vfmY4_2t00G00x7 +O002080040008000011000ip6602004RV2FxRm9V60000G0W0mz_C0001G00000WTkLUif3@ +AUDt00G0Y044G0000004HeNy40W80WWWX40Y0110000080Z@N1000200G400W8U@@1WaC0NS +65G00G0O0W804G0W0001G000G40000WsrD00300008YRvP0080mX56y6l1PedG0@9000YNQ0 +0Gp_E900G0G0808200200Gl@P000G04a05dLQmI2F48l100100200SRF600QVVrBA0012805 +044080140001402O2WK_D000amBZO0010000GGzcO0sI1OpzeeaAY09W610OKHw5600G40Y0 +W2L100X8404HeOG0041800gMr7009000XWAgGCGE00KOlJA28808W2g2090H0CZ20GOIGGH0 +00IW01002kWGW00W840ehIV00010082Xc_D060004140820000W0p@F100v3fuF60a2000WG +NFOmbgRiQN2Dnz0GK5Wv_xQtL30o004KN2nUQGUkg000W8qie0000WG000014Gkl6CLc10e0 +00400iZg10WW00011ieP54G10W010aew300Id_@dA200AG010000eaGi1002W01000Y8KOmr +4wqfYqYPOfO60fS0yfSK@4R0002X@@J0r00mRoC80000480GFSd000Wsi00mFfFq@MB@@BXd +10C000QGuT00mZ@IakZ00B400t140q52007G0700egP200000LG005100@@@060GW@@h00W5 +z@@H100300060C080O0G0W000W1000G000W10003mC00060G00000Y4dP23_QW0G0W7NJ000 +HGJS6y@V20eH0_@t9002W_V30fl0g000u64D0000eB@1OZU0mDj1WF2XN000V4n@@Lqy73g0 +20000YkzS20000H300y@lP00402OoW@@nW020m@@L00OYz@VRw1FazmPeZN36XsW8btOSSC0 +mF0ieT2lYzGrp9CMx62000UABX5dz000WmMt6SVEC0008H600CcU20G00oJFXcyme7S6kMtW +lrDebl7wHdXMZPO7zD677300sktU65020202K840W00001GW00G4000C01010040E2aIFEXW +mVG010G@yO80000S83W000WRiD8jFU0002CGk100W01400200060G04Y040OG00JiQ0W040G +W400000G008KH@38W0W6Wp000039rB1000iM0COEZSWG08y@F30W02W0000280020104W02e +80300H000Ym000W0WW21U0400082XyR0G00WsoJ00OWnlyO0040000moU@y00288@R98K400 +8G01X0040G0W1wC0800W000eOMIuh@7gUmW7uDO3U36erWz@J04e0Gwl6a3f7D54200G0800 +0000400A0iRi100W008000H0W0000pT@9aul1bzBHNyF04W00084OFx6000WCtJ3krRcTwI0 +00WOSm9000040402G404G01W08W0000W00820400410W80WO4JHMHby6000200WGqEzFW040 +G00000YkW@@vfrz70010000Y0004000018WY9W92008000101W0000A00W9uJ0800mecUiFb +1Hxb0Oj3WBdmOfNLsh@18G10@@P00W452042RXR00W4WfoC0G0IG9X9qLV2bvB1042041G4o +K04000WrEV211AHsngW000010Gq@@6O21uYE20W8G024JJKW2aH9o8K8018Q5S3W80W00200 +W00000GWkwJOJC30004DOV20006m000O0Hc11YCZ200000DgtzdmckIi@V88I0000W010Y81 +04120410W800008G44000Xg8XCaWW08e0L000W84G0C0101YW1Y4100482WG204100G200ab +C3JiRmAo900GW2000i00041000A1000g20qjAFGX8Y0W045IF38G00100e69j10041wzt0G1 +00pPQmEuOa8k4000fkXq00004CM00_@F7080mv3aW0000200020G00210002GevV38080020 +0uTR38000SFx6@HB1e014G00080A000excMBF01G000c000G0000WrSZ600000G030401G90 +000008001X004G0G200H000Y2001pO0G00WccJOtwAYrn008010q10WA000QB0Os3U0300q7 +S2TQP0000KG0002W0000000DY000W0X410WCzD00W000a81000200K0_5@10W20vom085200 +008W0000000200W2ae0mdgUy@l7Y000002A0000000eGj@6W800OGV3gjA10W0aLmP00088G +00000I0c9M2410GHxp0a000000P200000A000GhlOS9_@N54500G207M5mWioD002G40CG40 +kQ0OuH4y@V4u@c14Z40GWr64Lc14080000CZO0088o4400000u0w@V600WJ00041Z4006820 +60000aH50GL0qWG2@@t200OV02OV0iAg4G00OLK1G0z3Oq@60K100W1e203030806000L000 +W200021002182848202W90cXdXJOD8Hy70g00003K100C6000C000C6000Q2GY@@LX8200H4 +G4Y8W8PbdW@1e240u@_wRqW000vXwh70@@10H480W620000076Oq@lAC000103410H000mjP +o_HP00OOGV3000GyfiAV1l10u0Wiw5wmV904X0Stc4N@RG3_6SOiA0008W500CMdD0KW0YBF +XOY_fbqD000000OZu@VC2lAaTsn01080WW8e3uD000GmS_90m00eZz4gITZq@b0eT3Gt1syE +e1vgdGnw900040GW00WW0000G0GA4GD4G008O0000040G0800400K0000010050uq_4oUEXQ +kD0000804004080TKR0000G000mG300ELdXqmLPkGCm1G019O027H4ZW204X0080I4cGGK00 +00G0e09CW00001W0XrR0W00004043yp00G0100I02004Zlt00100024W100000OUz@@400H0 +MJl1Nup0200WPrIOfX40W000020008WGAv6iXH200a000W5GG0554WA1Ya103Wm8017G41D1 +GG0000242G15mfFC020WHKy9SXF36G01011202080001100020004W0O001000wQ1Oe_4W1G +0000GG000nL@FyJN21@dW000010008G00cz@1GG000280020W0WG000I00WX0G2010G0OW00 +00100102010044WHyJ8h2300005xz38100_QsWKxD00002P10W8hXPzV6W00000G88UV6W00 +0000CG0843001a5zC0441028000001G00163pW1vDuMs4oMp000W8@yR00W0040000201Uup +000PTdl@0400mnCFPfzA000eG0000W00010WC0821Ttd0001G04a0@@RG0R6KNV2FsBnaO98 +1K00000WuL3W@@D0002HM@60X00uvSU00W8211040G200000200H2I000008210GG000GI26 +Srs30010Amb11000Y000WX0H00424W0000103000ec400kzFX4qD8VKIENP3008G0800get0 +00K0G000100W0G0Y00110000eZyP00H000m0WvXDOhN98K8WO000022000W0qvJb04e0Ggvy +e6XGWeO0YY82308000A0440m0032mGGo00W24908014200G200W8000X00Wg00003W000WQE +1m0GG40D@R00G18H00m45K60YCW000000l2G_@600C0vnT301GWiOd71fRmaeO0W0100400X +c028I4MYgcA881080051Y0GW00K0490001W8Ats0G0GG01000005STy30400410HWY2000C4 +W20W18407000uphpW5@D00450100XMk8vNdD0G0000W0f0y40400G000eaD3YWF100W0hNRW +02Gg5nPeUT6G4KI14411000000Us@@P00205GI0Wsz52110Gcz6000W0004sq76i4e1J@RGb +T6000H02W0GzfO00G2G120ms26ao@3@tLHudjC4i1Z2Pmue64rg1000G000G4Mj100e00080 +00m008W000G004m00NxRW040WRkC8ZV302000000Jp00GMjaiYaAW0464000W408G0W20G89 +001G4HQR0100WPQC8IA30G00G000W0G8W00008000b8RG8R64lj10H100008I00000mfStZC +4Gw9005200000G1K85vAAqt000W0a000AJkYiuC08100900bM6J0081GPS6KRU20WU00400Q +4000SG2m@@C00830000O0jy0060a600eFCu7V_7KWvAW10WTe30W640Uk00y5emD00W86W20 +000eW10O0000000Oa00ee00B40G5408xV6yI1000WUN00201000000CK2006nd100m6U0mhh +pYdmmDG0O0uPu646E3m00023@1WP000HWn_mCXjmD004Pe00001O6008A000X000exS6V640 +H000H089hG0G00qpE600WZ0Ogf0my3mHd70cGG0gW0WOW80X8000000K750F00uVV000@@d0 +00e0H0000G1HMTE10O210000mu7O0002mx10eQc1Gd300WW00_@t00eQ60000yX30EP@Ils@ +mes60G01ecVC_bM20400000e33@XHX_9ziDwCt00W01bHR0800XmwJ8wyAQrt00400000KZE +oWq_DeNk4MN_XszhG080W000WMnD00G000G0XOs81081Ihr6qC99RaR0Ok2WcVhu@V6czAXv +rVe8y7Uy@XAbV0001W000W2qJ0002mTx946F60400kRo0Z8G07adGky90G10eitM000GiOC3 +82020A059000G1140118000000400L400cNl1G000C801CdU2ZGX10X0WKvD0002Pzx9SIl1 +@mRGOy6050000040002ernbu@V3eA200000W0W20G00WbwD0004b8868414120aWK5009WW0 +070422000W000060H0008AW028VU60400100GOvT30484KmV2080200G002W00G000eB6X6y +D00G0000W28G00noPmUz90G000800ON_C0020uiU32epWjAC00CHGey6001A08Y0111c0QC1 +10Wn1W8WC80A000G000K200Y100W8280100W00ONx4Yat00200N_Omfy9G000iGV3000GhP0 +1ueT64000A000ijx46GmWZkVOvV6o@915600nyR0W123GW00G00W0Ge00008W0W000G00001 +W00O0Ayt01000zmPm4i6y0f1Z_RGJ@C8G00400180W00009s18OGGy6ijG2x1cmR@60e0GOF +_4cyF100046E008000H0W1OIV60020W4WeWW0W010020WW8G8HWBgp040W8V_dG9@9040000 +o0GCcU0Ye18OJIsktWpEJOTw7gLEXf@DWa300000020842HW8W2200010308G000Wid@POlU +3W000W300OQR980000000S8I3000n85008YfGkisWpqU8a@70W00iFl10080060W000G2001 +2032WJrDuIy4GG40izF3XxR0310WdTb04000G8W0000000c@0200KpN2@@@GB094DG2HXwX0 +00WLmDG02G4K00WnyDW80000G4Wsvh000C3H00WNFn0220G_z60kB8u3LFwwFXn9OeIU9EeF +10mg0ue8H0KH001231D19CG4X4YeeIG40W0108220000Z4H_@6020e10Y2W0824C0000O80_ +@@18W00TgOmd268DW0uWQCESF100200G0402000800i4V3sf8XFqJeYX400K01m8c04rGC4a +010607G03412W00122W045WP00WTsJ0010002408o88000LFZL2G00028288I410W0000WtL +Is6W000OjR92mt04100P@R00m0W_GIu226YRF110G0000088000m00O4U30002000OT@V3sS +pWlmJWK2100080085008422_@Xi0C0KI1W00040000WID0EQFX3SnuaT669tWOohugS30008 +000Y0200Icv6iZV2lw@080042200001e0200CeF6010i42G0000W6c00GL_9yBR5hzpmV@6q +ED6000Y0G00WW90240024400000248102lsWl8C00e0000400Q000q05W20W044HWm0m0000 +XYzV8eR3Gm00ill100AfkXFah_DOnu40X000000X0GWmuz9KzK2t_R0110008084G100H2b0 +0O0eHV300G3aWH2@bQW009034048I000W00EXi4000W000WMYi10gU0_hVZ0abOKW4AdN201 +087Yd0400WIxJuZS600G0000012040W0XU10W40a50wMkYyVC0zI0000S4000WS7W00000K1 +t6@yR0040400000840YmZX4wb00042H0yVF0GK2GXL50n48W@l7WT710FF20kf00000oCZ10 +i100S2000O0000ct000mp@R00WQ4000000qHE0000OZ7_@@10y2A0000iV00_mVB00m000e2 +0303WA04GCSC0G0m000WG2E60000600G630GC6O600OC0U_@10OO00000G50088000X000eX +5WLxJuCS9U1u1000X000WGGG0ycX43pRWW0004zDWU410Oa0@h22O2GOKd@1uPF1eEk703O0 +06Gax1004nGWS90GtH00Y9WZ000YA00H@@WKJ100um7S0mfK5WE200WUxP3_@@40Uj00G4Hq +V00W160ulV9000DYP01C3yA40000W00yoVCM_t300KR@oinUT81K020100mOtCaLi10008GG +24804GOrS64801afz30BT0U7t000027f@G5w64Z63Hfbmdw9atZ1ffdmqC64qT50002_zkYd +ZD8DZGsRVcpt9vI@400n08W008iR6YR91060228020080a9T20050_aFXouD000aqvt9CRg1 +Le@G8wCS903J_d020WWhgJ00800400G840000W000W01W0G58A400G1004K0lJR000G20080 +v6RmVT9SsI2D@dW8002000004A0o8t0GVC000020W00iJk100G0cOtWbOD0W09G_z6Cxj101 +0aYyF11080lHpmaI900226A0XZOG690048WAWW08G204G5200W5a0HKG12204221014000O4 +eK1nTx60018000GW00800800800W0002W00G400K02WW00CX08M8K000mRD0000220G10Gb0 +G01UP0I0400002A000Yyt04001WW00G0000018000W022040H000200Q4FXwvD8_x4Wn4G00 +eW25I01GW05404J200a15YW01Pc04mW38000242W28000WAG5si108004G00aLX1dib00W00 +GG000020808110A000Wi9000000W400W80Y0001004022HwzCaHh1RrRW0000X0G08000ZuB +XetCOLS3A_F10D048W0K000G000n2500uE098000W0W0rrP6000W2G00mi_6SHh14004wBC1 +i000201W40KX808m000008k50000B0040QaF184080000G02W00040eW8G@F6KVe1G200Qxo +0008008G0Etl200080X8Wgqt041G401W0X00I202Wa801c0400001GX2omJU604000W00GrX +6Kt@30010000WfU80uaV3cArWb_DOkT325pWGvDO1E6M8tWHih00100W800004G0Ga450Y0K +vP2B9O0G00040Gc0W002lA1820W5BQmAW6qdl10100_ft00001W0800G0OX800y4N6MBEXpo +V8oO3wxBXt@DOjS3I1W100W0001100180H00400W6G200010000H0W000804000K00H00ezv +D00082G00008200W809W000804fw@4_I@1W8A0ZiRGCkFqgE30020wWD18000rmQm@_6yBk4 +0G41004080100000a80000O100Y00oCs00WX8XXR004140W8000H0AwB18000820GYttWI@D +W804X800008000008T000ChL5FKRGM@C4P66Ln@WA21HX8A1040H0A9W006H50eG01650042 +400990H4828Y0044Im_@6eA020H0G441W00G0G000P00L0Eml1f@R004HaVsD000dDY0W002 +000060okF100084001Uid1a0028QG0cuq000001QRG2e60100OoU6W000H0Ye00uKYQGK1OC +Hf010T0HK00WYG0XYG80Hf400oWYWv04448AG0Y8x230K400WO0283202HW804000G04w@pW +44D002m30000mS54002HUuF10009xoRG0y6G0000808IQY60400W2000A00000G0Y000000O +iDf1pVRG09900f0100WKHRCSAW1N_dW0aIAI000bZd0100000907QPG@T9mC0000085f0810 +000000WXfK0S9d1@oP01W00100040083Jm0G2920G01G00HCll1081285000001OVS6_Vp00 +0W0t_R0G0200W2KFrb080001150Tsc00001W0Y00W80008WSjF30X00_cy100280W8001000 +0uxVLy442000000v0W1000WqXd101000W0004G000W1m@@600011000LByI0020eRW405K0X +m000Y0000e080A050207WI00y_l1G10000mHX1GY20q048004000m088W1GG0azk1B7O0000 +0Wmo000024mXKG05W10000mPN0w00e805Gd0qWOAC00540G05Y@@D000440W3WGuJ8qN367t +00840LsRGzbC0080040G285GmD@D020I0400mGYO01040G08940008f00c@t00W28TrP0028 +Wi9C0W01004240GW4G000100W3EK0uR234000000Ku@V340e1000800I01Y00b7pJeNO3000 +0100W81E3wVrWrKV0r00mR@6G24000002800Wi0CudV3840000w700000M8AWitO0H08mRy6 +a7l12100000qB0060xf000WRTq700000KUzt0004Ha0000X0aP2200Ih00W0000C004WeI00 +10m1G20020WM00W5wJuK23800XykV2A000002W0mtF3WO20L_@1FyI00W10z@N0A1z1qg100 +341000mFkB50000pR00J5K0W2C0KV20J1A000SW2A0W@V0W@pyu@600Cm00082u100C30041 +0008J00W2A0W@51L100p820@@p00p00020KW2WLXAW0y@l100GtX0000WEUuXVF0K100m0C3 +W1W10A0300GK1xQW000G1W1OE030O0L00Wn000Y1000L008Y0K1K10101G5008sU30G00082 +W0f2440CG500mQ000o100WeF000O600mCG5YCWABWd000G0100vCG6H8WA080H000G0Gux6i +Ad16302IWpW6xD0X00000880C00ncQWQ00Gf0e2400qWm14eXV@000S400y70c3A0Kr3yN1H +O00IX8A00H5000I0W_U30000Cwt@0000yl@04WJqJm100000egy@J000CC00yV70G4b1nGTU +Ruy00000ql0000000y000yovBXK0CueQ9MTAXnlh8QP3E0d10002H3cm_@90O81u3NCwXBdf +qD0104009000000Y020Qrt00W010020G020008G8vw4_RFX2xD0W00Gr@IGI0G00008C0020 +001Pmb0008080025dBX0G0Wspn8B_7wHtZTtV8Fy7Qd@100l0f@d0060WOuvPL_40000swl1 +G08400040W8WulQ3G004800W001G0080WKQCW00GmMr9SSk10081oEN2WsA0800002504Z43 +8000W010W000eA_70024q7V8000Y10W080020WO01G000O010W0q00G0008WWeIC30G00004 +2CqF30020r1e10000080G020000W4W0000G020TNPGqq6ePGWufz72VtWjoDupU30a00i@l1 +DvR0820WfoDG000WW0000G010001kor002008XWIW02O9AO400HG4X0E03I5000g2W4ea080 +040W00YG2200000410x2mWhmCuvV3G1000000G080OF@602W0ekT300CDKuk1W0086Ap0004 +0G00200K0G04m24400800220008000001GG0060240m4e6000K2G0W0008WY8C0H2Wq@@600 +0mWC2G10GKAO016a1A01103060X124W8e0660080W0W0Mjs0O040jpRm6w94fW1jsR000040 +0G0bAP00000mMD00400gMrW@@D0W0G00H00G00A4W20oyt00022BnbW20000m8200W00W600 +2600050050G0200WGW600100qqg1m001G40W0100G010a21E0000mGW00400GKnc101100GG +200G0G0802001W37JevT300GC05000C00G5x6y5f1g4O0UetWH7CubU6048801W08PE30001 +0540uHV6AX8XmdJ8N@4G40e010G410802G0005100000X0G0EgV20004MyE1c100zWpG7uI0 +041000mUJuLW000ORx7G4000H04e2xA00Y0G4H0uUO30008G048I0082041080000301Ga88 +0W000082000100G40v@R0Y80Wf@D00Y0GAiF0000i5x74yW0qTQ5e0006lF10W28PTR00W4W +ALbe9T60010awl12044oeB100G4Y000W0010400AbR60GO0q@l1GW80k9FX1xJ040Wm@wCC1 +_6LpRmKuC4wc1nh@GmzC82W8QuV3QcnWMsDWG000G000e000G00027pWAEC0020GOuLSaF30 +0OTRZlYFkC8MUC000Y000GSkQ30G2KCB_30gg8887n0WPYmWW48GH800W808AA0WG4003CW0 +0Y00H000YG0Y00W0YKt01W80Xdd0800W@3J0002m9w90MB10W00G0@Cq7l18008G0000004g +tV3000H000820o0000820820rrRW90000820GW408200SXT20Oq800H008182000060e0003 +p00X88m8GG4A00H1010Y0HY000000Y800000HW00C300000S410J@m00W0WFwDexV3000WnI +0AeoS90060100093_44G40KVW1GG40wqtW14CGW04G_x600Y4vEC6gDmWl@D8GV30200m800 +W00aGX_9CWN2tUZHQy900mP50C00W081W808hfRGAe6000X40100f82G0GA0a2A0000000e8 +W8G4800G0G040000fItt0GH08W020wKDXtcDW000ma_90400fZV30002VUg1zyR00G4Wa_D0 +000Ltv9q_E60rT0000400040810G9w60100uG13W00W0080G0002000oiqD0W400e01eYoC0 +00008W20040W000eQeZ100W004010G08000040G0800H001GGC800_dt0000Wt5P00060m40 +0hzRW18000A00ndB10W100008000mH70GW00200080P000400202200000W0G00000002I00 +3000C02G8080W080101na_90G1100200488001400400oZtWi_D0040W08GHmG0000G000W0 +0810W08000Y0028G4BvR0000ur_Jevj4o@MYgxC0010000Fv_RVugU34I40009000GY00G11 +G5080010_6BX@@D0020op_9800YeYTC802b00208eP3W0004Xl18I002apWoyJOe73048000 +0W8l@42UF1mw00A1000030G002104000W40000f0WiI000Gn5040000W20G0mM20E0fM0P10 +04GG8YV30W0We0020Ge0PA0CCml1O020TU00gZ2WstRi350WH40807Td0700000m6U1000mF +V1mFZ0Wx820000T300jvB1p0000003BcR0000G6100rwB1g0iR80000uA0seMYq_PeGV3UZF +100GpG6G0p1t01W1W2030C06000T000W20004000g0G0q1I4tWD@DWO60001mi4nPuRS3000 +WG0408s@400i4Uug1600YH04000c0eAy40Wm5G4W806040Y000P100C4000S100a1m0W0010 +E010006000mJ1m03W100020800000H000YC30pK50sO3gwxDCX05utR0ae20eN_2GwW1WI8W +@K50JG004vzU3010u040@dAG300_@X@@P0WRc30000@N50Z@d08o5WZztW8Y0000Weu@PuEX +7o@_40W08pvR04W0WFiJW000IMu9ygl19iOGt_F000WLL00qN_IqhV5@NJI5u60100258000 +W000G40bARmgN60110G00W0K00080G05UR0088eFjbe1y4Aap000v_XVRmfu64TW1XZR00G0 +08200rWpmDtOKJc17aR00G0a6NOuCc7Y_F10W00N2L110GWzmPeSU30_c0a3i4dwhI8v94Jk +12000_ko0G0010000100H4Tk100G00002802GW0e001e0W0lJ0004W011WqlVe_j40010ida +16702QHtWfyDutI3000W0008eIV3gQFXAyP8LW7sPF100010G8GW0440We0G40000200101H +00e001IX80060eX0802a1H0W248W2m1GW000006W0KZy60400GG0W00W2000022E00cbtWbu +D00mFqOx60W06us@70040cCl10040W0W0y5V2dUQ080020W00PmdmvyC0W0G1WKL804922YG +1240a0W8GW9GGGG145200X0ZO0200WAA0WUuD02000220WFwP010m02022004440000aO000 +8G000010X0WdkD002406G2W1rCuHD3kwnWitP0100mXL6SIV2Bqd000G44H00Ke4050X1G04 +K00H0ee017G0nW8XB4e08eWG02G18000001AK09010YKG0WaQl104a08020001W100004a2X +sdD00W000120000KS000040000009Hl44018yua1ZyRGa260e00u@@40OC00G800m100020W +pzJ8AP6W1m030K0K8010101040W00WW0G0880000050W00040G0m040000100KiZ10800Mst +001G0vapW020mGtD00W@IYO6084GfRi4001020G00000SFS6KxV200e0Asd10400ZSB10001 +00G88W8G0118IW49008000o4G4X00G41K0G80G8OG000000483H00Y0042W801H40Ga800GW +s6CkT200G410020010iNM302l0y596HmPmU@6SpI5@@N10414008X0G00010000222005uel +6G414100002W0nyQJ8IP300000W02I420GKdL0W000004Yl18WlBz8aDCoS5300m40001W00 +8bAV200001110004WeyU3C280yZI2040000021GW08eS900W0KVk100ci0GG0ar96TddG@sL +qy962020Ett0018GG0429400020000G02020eStD03000W80WYtD00C2GWW6W08OgCp7AME1 +0KE05lN10WGW9uJu956A6D40820O110434A14c1X64J4Waf841YY8100G2A4G0I9YGHmOxa6 +G0Y02KX820Y10IHK06W050GL4W4H08xw40W800018X02020m00000eTjM11000a000820G00 +00X4Y8wML90002aw36YGA01wW4W58A40A2442eG001Y00a808N8IGKZH0021H0G0YGY0OKP0 +Uns048I00G4802C410W0G40GGG_C0800G8002100000iX0W30Ug@XX@D0600mlg9Chi1p@@m +ko9KtF3000eC800yvV20G01UmzXkOJ0010000CWX@JuGT6Iyt0I10Gx@R0etJWZ@DuOy4Iwt +WmmD0000101GWftO000015000Y0b0p8X100040009W210YSE100200u200W10KUR270CG9J9 +KrM2Hu_mFa60AC00000EF0100W800W8G0W20W800u@S6G48000W88CS3gvFXnZDukw4glN2Y +04801W0808000G48_t4GG0Wa@l100W0p8q00OG001406XpWlmD8@@40006Kmg1J_R00WC720 +11jWRW00000C00lkR00a0WpUD8QV30038yzV2PWQGl06CrO5000GkmC10Y200050004001W0 +003GKx@601W00W40000G00HW4GG40G2081400iMK6Men00108009200000mp0QvV30140DbQ +2FVR00828H0000480ASH5000000I8gwt008X4lzdGhoIyXh1nYMHk@90a00o08r2000QkJqB +8000m002W00001004Ya0WH0D028rosm60008I00000S0WReP00a9GsS6q4l401mFz30T11LE +J00m01O280SJ10Sph0utR0mKJnt7c1kXA2Ye0W_NN00mL00030G5GyF1DJK20m0M5mJ00WJa +zl100W@f700G0u10WRi10l6000s3vVZ10V150aV0gfc0y3C0w760qv00Ggqd46k1e2m0W101 +G5000600GMSC0W0m85W4400WG0C0G0Y0W0W0We0Ce2x400020200WA000J1000hE000a10W8 +302H6uwz4I@t0cR004H0L0W0Y4_c1@ydW10004010PpbmSeIe2204c0eYT4P0HyWJK0m8y1W +8A00JG00_fT6fA0m0HKH150m@p0m@BOnR38200yXl1oUV0bF00qbU5_400kZcd2sben93caE +XdjPenV3cvFXEAyej@4008fjRDFfoLX0900W002I00000048WC00W060208G0W0G0WW4_ut0 +0W01fyRmQ_60100O2R3AttWm_Jek@405LGybM2RTnmgtC4PN5r@Rml@6akE3FDQ004OWzECW +400Gcw60001gXw4I77ZcFg0000JKy6i1NBJov10G8WqoDW000W000100482W006JtWkuD000 +8GPf9SNc1BnRGI_64SE3zoR00WeA02G0jn9HEyC42O5fxRGMWL0Y0WW6000WX8K030W00We4 +04b00W00W0W001020GmW00W800G801020002WG0emc1OO3T3G402SBb10GG86ht0WIF0vHp0 +008W5QC0200wky900002W8m00080O0G008WGY3Z10K21xy@002WG00002Q80G023Ge2HGn1W +W10e4C1i240X0GI100803L41G0M20154O000WW004n8eG210WK0008024G1W010cpt00GW00 +W20000K10C05000Cu20G88G008Y101080fA000844000015G008W0008HO0020000X002010 +0400P0000000a62080000800030XBdW002WW@J00X008cW01034OkK4D10CWW12q8I080280 +3884000043DYGm04c22C0H00G0m10cW2PG040025000240160000000W40M4s0800400G0G4 +m000e260W8W08000C000G0AK000002X020800K004G00PtQ000G000H00000G3Y0010G0F00 +020000822W000000uKHi1hvd000W00600002A0G000000Y0G5308008C4m84000G052094OV +234008W0000G004W00Y2zD0002000ImStDW0000400O0804220040000a24W000000GeYmDO +QV300W4C2h14P0000000800602K0W00X@@D0Z00m7_60000C8A6wyt0080000W1m000204G0 +2p0W0000H040001402202040OzO3Ivt00G8a00000e080004umN3W00aG4000100GKr90200 +00003J00XulJeKS60100mC00G20O0200n9xJuvQ300080001OWS3kvM20W0HG180000C0001 +20W000480018G4I8I00020004000080O00000G000C0G0000101G0G4004400080100000Wq +Ql1v_R0C100010008idE0NYlyDeRf7000OG0K4ukS3EKF1W000HNQ000Wo_qJ0011u9L60a0 +0000WKoJ6000WG00G4010XKMD00000c00100m0F4OW008240W0h_RGRd6800W8v@40eY4000 +Geqt700001021ArA6ImtWV1Demz40004CLg4000O0G00244G0001mdO9yEl12482G800G000 +104X01W00028000G040A20m100200mU46yNW10G40FGc1M008ZeM10a0WQ@D0500Giy6qlR2 +tjd0082eOvb04WW2K3U0WI2X80e1G0110W0820040G7PA22q4K54CG00HGa01W8G42XK4880 +4044KAKJ12J4286001180I00WXE08m11W8082P002000G080W00000EZ0440GH000L00GCx9 +0804410W5000H000I009IEst000q80O245X8H00Y009200W0000010q180C2100300000GQt +u98G2501mKW8286C2LCYWO2H0Ym8GWO0004W201028G46500G000800008HG59088CW40040 +44H0H800A00G1my@68200G0008000n8@D0GQW0200aLRb00043041008008820e200824000 +0YHM@60W0GS@V3G200iMV2tVpm2z900G4OA3300200W21O@V3000I9000uAO3Ixd1000GlXR +mG06000aQHS300009114eLS36rtWTnDW0G0W04000850G0I01W02020WCTA3YkF1G150fvY1 +0e0W_2COQQ3e400CIV20840c8BX7MDu@V6sLt000100044kLtWP5C00Ww3G000Y000ZZdGzw +6aAd101W00044j2Y100400W8G00e0WS00mJw6ypl100S0ogL200W8W04G0000G022408Wm_w +60W0120820I0W0C070000W12W0yyU2G0802Qt00K01O0004080000G082000GG100000MS6J +TtWYxDOwU3gvt0u0800600kwt04400O0000020018G1000HNf6qnh400000GC0020000X001 +02000052080W80080Hi0000004120000G1W00000I00W0G00000W4Wc08000GG000zIl1W08 +000H0H0000Y01mmw60000YH0G08W00GC00l@@008108010DMz0G886020ARqOmCw6Cri4m40 +02edXgmD0808GW36000000S3madL00000ib000G25080001W30W0mg40808f0000GBa901V2 +cm0z60408p04000Q100G0G000000H300000349ov_6K_g1W50040OWqpi400WT0u5H0GLFmk +000Y6O4rN00W6200u90w3C0q@L10SW00G10iW00YG400uR0V420U2H_F00ytN0O000Oz5000 +20WNB00000Z000n@p0Ok50000004G0Ih8X3oJW_20000u7mu0000WEq1WE2000000_1C0000 +KV1G0000G150G418ki4QTd10600nmP0040300WAXXRW000G1W1uC030oYB4WV0L0cWz0m180 +00c300G6000MQ0000P000K1G0O2Ygv66C00cn080n0Z1GYS600H100WE000C0O0C1m0W223t +W8wD0W10GXb6i@l140GTW1W8w15pN0Fy5KCI00_pN00Zx1G1500e0m@00W_x610000MT00Wr +y0Qr00qaJylD5OU30m@U0me40WDG00kp90000K4WcFC00Vf00V1000KxlVOW200WjnDeY@40 +Ogf0000Gz5Wtzy60W5000O08100100GLViBnxyCqvV20008WG014xz68008Mhc4WU50LWXHX +sFa7C3dscG7YOSZa1xvR00010400Wd_Rm3p6S@V240420G08000G0W10mzRCaJT2LeR0jH0W +kxyeOT6cNXXXqVuDN90200K@l100W0YSEXCoD85@7ohpWy7h002000WAjPrL9mtAEz5ZYfDW +00G8000cZsn0080a00014001z5dGwyC0002O4U30st2C8y9zbRGsO6CEi4Zsd000401440WH +0800400HWHW0202Gge4000fG00Gm00180140G0441m8800050W24G0W0W001UyV3WG00a@Z1 +00W00801KPl1W000000GA908000G0220W02CeF@704000104G10W0808000G02800w2CXfvJ +uS@700JG9818W01GWAAC030500C8G01G0J40W2I40WW010410IW09g40I0W400Y481XA2H25 +W0HAW0e0aG01YG002A20Y008O004G8A0I9t0000000eh4008H900G024002WW92IG010e008 +414012W00EZd180002081Af8XiwDed@7m1eZ2002WW1WZWqO28G01W0OK18mHG0e8K0808C0 +OG0800uG1Em301GG8cG50K81480qW000020180X02040040100K080X06C00glE10s514G20 +G018apj1xjQGA_60090W088040002W0000204W00014600000C28WwyJubR66zF18002Gb00 +0W0400WW100H404G9000m200G8W0001040mW0oTV600W00H011K440In02010441020G0A10 +1000020W00G000W4400r0i1620000Z00010W00G800W000018G000002041000400W000100 +040W0Ez@100G02000w_@Xc@J000G40140Z80000G40G600400W00010mW0W022Vpd0408092 +002254x_t01080nxO000W8050000Y8Mtt00G0000_A0020008C40280a020020000W0Ivt01 +02000G1410000W0W000SDy90800OX@4cZtWzcP000GW00810G4200H01YnG000800n0W8428 +000G0W80UAo0nCG00051ECt0800Y20H010WGivV2Tpd00202204W01004i310WC100000K00 +WAkVG004ng_602000W00maa60000042080080W880TrRmWk9aVS2G4a065m000m4jRO000W4 +0G090004000e004000080009YheD0400110038000Y0G080005xb11Dm00004b380BwR0010 +00a00Y000kVBXmrCWP08040000O64FwRGZe60801uHy4QQqWvsV081000020W0W800810200 +USk1410WW82n01004I840G80eO_D00O0400004200G0049200S0k18200Iqp0200000gWgcE +1G0040W8YcztWv4CuzV3400805XIeVR38W8e00009to480G04Qw3000AGK843002208I58a1 +2Y4406I4YCWIX00M1WW0204K8A0D3Haf2Me0XuG006c8402G10048002W02120W2W8001HW8 +G001000H040100GY0G0002000eQb82C300W0082Hm00H40H41qpR6WO02G008Y08000G408C +G40pG41XAG00W4W8W80e010OA02CC20G04A2H0W100G10000r9Rmd@6W0420hK84YGW88G2Y +aWO0516W021O10X4aYgX4W8G50820004WA02YH80410qW1Kn8MY9Gg0O828Y20W08Y80000H +082Y000W800W00G0G128000850G00W80X40O00104011W8000000H08000004100WH082W84 +1449O0W828ELs010X020G00HG0020GOGU3EFoW6yJ0K20mZD9Cyl121000400W025voT38W0 +X0008G0I90000G100KVob000002G10m0000WK0000000I958I0A0a0000yX71m0005008040 +WK2000Y0008rKH6G00000800008niuCO_Q6804000K0eDS3InL20202j_d0000G0006000G0 +0KW4Ak10X0000G8040000bWG_@C010010048000AW05000006Wq000000oAG00K0aLl10900 +0280W8m8uLE369tW9zJ8GE30500CBd15IQ000G4010K1pp00I0GW00aGYY00002SgX140018 +08000005W0G2000000W2W008000D21q3WV000G0040U0041100000WW0f1Q02mFb60W00000 +4eZ200000uyJ000G0u1000400W001500008u04G804GW004X0000060YFxDe2U3W02WLtW10 +G00001000220W00000020004JndW40H00804022000184xl10010H204P01H082A00G20gW0 +042524H0W20G00a28000H0000X@kP04800O00WWGm00000080021000200000_p0005AVqW2 +PI0920000084080nyRmttC0YW0OTy40200Kzk1W0G0_dF1000c2008_anWcpJOlN3kRF1450 +40004mF000900fuV6000av02000e0G6d608wd0010CM000WmAz000a_Ho004000Wi00000u5 +kB0000050GW_@D00q000O304901F0RGL@6G40001GGa180WnoV00l0eBY000Enhm710Kp4lw +501m900e10C1800WqeW2r0J014c0204J00C1800OA0CrKm400ueZGmy30WVV5GF200X@d00Y +0000m6s0mT0000JeP0Kc70840u1VWH40OavD0WTe3075C0cl00000ypv2000WH7T0mQg1WV0 +Gx@9000I1000Wfc2Gf2000000O600000O8xX40000f000b0K1e0K1WSmP00WA0C0C0g0W0K1 +00oYp0WP000g0L0O0Hb8U2000GD00Wv0C8p1H103i300e200GS000iF100CZ100i2CJ42W10 +CG400mO000p000YP000P0c1O0W0W024W102060T04I5W1300e5rPmY@900H410016cVf0@@w +7KK001W80wt80qeZ0e7HO0Ewm@_635i1WFeA0@G40O2G0e24qz04GH18mT41m@@0Wc300H00 +0OW868JLm0H0egP0SyV30m6F0GLF0000WP00WvoDmp30eT410L100000HiZ800W0wM40_5e0 +CV400AG1my5007Ibfc203i80hf6000004H42gqWnzPO@V302G0SZv3hHRm6_9G0G0usD9020 +0SXh1f_d0000yOwJehD6A_NYvyDe603gnt346002000WG00G000uOj440010001G200mp76K +jV2FARmgN6CkF600wj0G0046G25WPGw@IyrA3RVNHr@C80028Wy4WW00a2m3F@_mBnF4EU2z +zp08H2WVjPuHT9IV3ZRkh000000G2WjmJuU@70010i3l1DVRGM_901048yjD000G3S02uMz4 +ge330080xXdG@va000020G00100000140040NFs003809yRGLP9CrS2rXP000020204402G0 +8000G8W0028mv@600uX7W20O0y68GD0G0000014aVrz0002GEoF00000W20G2w60000IGG14 +0022000GW840110G10002G010G000005G004e05C00W0W000G1G020X24GW000sdtWe5I000 +010W20008D3td0Gf7WEGVewJ3oGmWP@D00W050020010M200W4100100020G14W000004800 +802ymWq@J000InA260000050180118G0WWW00900GG0G8004002G100400000H8080032001 +000n8q60801OM7300000G804082000020400000uyE40000040G000G020W0000Y8o9mW@@P +uM1300N0Skl1jxdmDJ6KiX4W00802W0G2W08MP3swt0G00800000AW8SIj1lePmV@C000G0W +0W810080308000O0Y02iyl100cL79F10E00dczmgw60G000W00800400G00WW4001000G006 +4G00000mipC8x13kNxXp_pfH0Zo8WnO42y0Q300uErwE39lpmDqC4N93xacGZbXa@WtJgR00 +100X000000edytWhbhe60CMULYkCYAAS3Izt000Zj9kAnu@6q8R5H18nc7N1008zKU302000 +ww0udy4YZzXhoPePV3Y2uXs0UuU4domq000W0000WLF00qWd1N1@muDFqql1H1yGR0CiZoCZ +jdG@EO4@l1000nhnmlq8814Y2mFCEzpz3ZKLHfL9qB@3tUdGVX9q8k1q400ItgYSwhe3QCQm +v@5W_F1f@FWQI000eI1m0UFtWqVD0W10G306Cy@30C00500043G200m0Q2GYahk20GcZ0904 +41IW000GGa84000241000008G402HPsp0H8041681H1mW09G440IWrRP6084I41GG4910000 +1HG0000GI4E004S29H088Gm900000uOCM30W8945m38IG08I20y3s@zez@ESBz@T2M200MdB +J0O3eU000Enxpa2C01l10GM0@__eQV@4m0G04gq31edmcMI4Ve4000eOA00Lcv6Z@RW008m3 +pJ8@pG_GsW5dDW010m1@6KAj1G40400080W000G80mI@C0Z008UO6Mn@1001TtzRmgw6a5G2 +dFoGsg6C9B3h@d020WWz@J8fjG00G2ywi12000t9sWe@DOt63kFT307E0x_RmLz6iaA60900 +0000G800O0BC_jFX6kDuHU30820Kwj12020sudXekJOyL30Y00qyU2xc91000AV100Fo_020 +0WECP000GmN29KIg4ppA10GG30380m080000020024G803000141A00000GG000K580001a0 +0000022RMR000I000W00HY0440000O0000W804020002015W00001W0000WcB808YtNJOl_4 +wlz140G008008G0008008sK3EiDXvzDuPV60040GG22GH44WA20G120AWI080SeW004GYE8I +4a8W00G50KA000045WWW11ImG0G09820144W0GG001WaW8G00004G0000G022000G010W08G +0000u6ZWsvD8AT30004G0088HN600G010800080000XWB4C8_130K0G800800a8mT@600W00 +000X0000000261We0GXW010G1X09a04EKn0200H80GW4aWW1X0200WX0e0a4000g0002020c +GW08262G0A080H0G044002a2008m00G001GG10088H00G22C000WYy000400YAwDO8S3srcX +OwJ030400K0Xl@D0W00mGu9i3l1PiRmMx600004e0400A404G00HYR000k202C0082W00308 +u1WuiU30GGL0G800000800G000W00I20m042MbR280000K00ysl100IKhUmWbVJG010mGv6y +cl10000180WG0008KV3040408e8egO30a008022G020mvK6SaS2010400Wa00B00Y0WY0400 +0a9000018000028404088000GWC00000cH001000G2182KG@9000300100W0WWlbD0HG0022 +0000000750_NsWWPPeaLF4100aHj1NzQ0013401002W80UME180004000010884H0021G100 +4e3KC00004W00GW0000100x@t00W1000000O80080Wu9w40000101404W002W00G20000WuC +9G0004000880008000W8000OU9_100000Gm0oVE14G40rAd000G00200@idmrq68GW0OrB3E +EE1401G00820804044W000O0900000200G800G00008W020W8004Y_eJ00004W08WKfJ0001 +0000JGG01vL@0220WSRheYw4001000041588200018100b3o000800G40810GW0000G000C0 +0010001m000000C0020W00020XWH0W42020W8WO000002402100006002810RNR002000048 +tvR001009000WAG000m0G803404000G4000G0LxR0000oiwD00G80020W5zD014Wv9E6qHk1 +4004sst09000W080M@t004a0AU220OC002084428800e2pG1Zc0W080830H0H1e001Y060HG +3ZW09G8W8C109GYK020Y840q00G21000C400100010244G820XG0A00054042000p6G500m0 +01K00G0W20100002220GW8080000807eR0W00WpRC0082W902048I4008C0000004900W408 +00800aW0009WA00KYj14460004ZWWPAXe1n0iGY94120208084f100W1WA4g0H20H3mi0008 +08XY01300GGK4WY40GX022001900058000W602X0006G00Y04G8022G0W0000mmX00070424 +0C2100410W808kP308000400eyT3W0000300040000400H0G4000410041n8410X8Y04W843 +0CAC00MXrWEuDG0000W10W7lJ000Wo7y6ykk1000esZtWsHC0H2000000085001080802CTU +200I08W00ash10nF1Y1W1G90800000W0G0G5000Y050Y0000W04040a000G000I0040Ha24G +0G00G0em000iIU2NfRGsb6000awcz400H0iAl1Tcdmhw9G0e0eoU30200000W28000214000 +2000W000KW0000G8002G0202240000O0481CZV2210002008100080GGi@60C0000W0200W8 +00088X0i01200a0020O1mF_6Kh96W801003XyOj19jO0200C000002740881A02100020100 +0H00483I1000001a0120000fW020000822000WaRS2200000KfH200fgR3gpt0w1G3LoRmO3 +6000WGG00aWm00810A02YO0Ce6W0A4W504GbH64QF31qR0000603G900X8G8000G48100040 +0G842100880000200G0G40040A100G0400840012011Y000W4W082000248I00G400W85041 +0000G0G40e1jR0eG204L0100G0024W00K0WGe00100W8zJ0400008200004IW08a68HW82Y0 +0208G41W@SD0000300420W00W400wtd10810000000M0CbY10W08Erd1000801800080800G +w_@440810IG0GB4500a40E0G400G0020HWe00080u0000IZHK200G2u00H000b08001oW003 +Wa88J02Q6o000G0P1O00SWCX00W0o50410W0WG0W0GMGlI9CJS2010040GWO00NL00u1FkA7 +eGC1YuVu0uEA0mBk2W@@00000@tH14Ha7ixE0I5G0q@H0eD500cGm7o15O1n1ThB0hILHUeP +Y_G74zV0Oc@0GLL1WPcHqpCZe@3000LKgAw@0GqPAZgKbAFy00_70G300@@p000u0@3G0_7W +eTu3HBpCWsV0y010eAL1G0l@dW80800K000eW2oXa100O0m0m0W101030006000010WK0I00 +5W1008A000G0000500WI0C0K0g0W1m000i7u0mKG5ee38GH7WEYE0T0T0w0QWq1q09A000aO +1LWYE004T0w8w0q1K2e3e089000m000G6000J044C040m0w040a1e082G1GK30WuEG7WLWEW +Q0C000O00005WG2C06000C0WWddD0m@1e05008eo@_zZA01Am180Vs00_Y70yS10u7mOcdCG +sx340O0WgW00mPU0KyP00C00FAOu@@0G540000G00eg08Y811IG0W0a21X1b085C44A88000 +W80X022X04Y94YKJvV01mU21G0oea100000e00Q3dXxnI001000A00800W40W20003qiF3@d +P00002G000nLQ0004000GWbaRG1@64th1HUnG9FFilK200spFoNY6@DutV3oJcXspJe_V60W +0Wyki400W40G0900000gG4GzL64vZ180800000000G100040GA0800008202TB100407ePG2 +JF0YE0OzV3W0G80008ObR300088000O4D3IXB10a0000a06coWx@D001WGat90000fNE66RF +10400F5Bnpx6W0400100m@nFiMk4000WbB00y@k1WG00UN0Z1iVOySCsbtW2MCm004060080 +1548100gXo080Gf1nPGCp6G20W00400048WOyI000Gnuw6ysi1bcR00e0000kP@@pW00WWnw +J0080Gsv6ahd1dJO0400Wz4Ce2T36idXGeDG00000W8m6eJGG0e800004048400100018W04 +000101000LW8L00200800G0K1G0G401OP0000X0W00800W00GG0GGe0000010a2lXR0mR300 +008004402000250GGW0C404Y0vD084W0W00002001vP0800WenCO7C30402yKj1000W41009 +800OUU300K40Y09mGI408A004W0242G2ma0I142844a65gG200G10O20200L08208G18G106 +0A10OG7yP0W0W0000168WG0444008K0F04000W010120008KM048000010G0000G0040T7Qm +EE6ylW188004120008Y010GW0a02K00008WA_Pt0H0W00G0WsxX10804021W100224I10204 +026921Zm0GO8W1o80WK20XW800H00O0W00092A8101WWG002W80W24mQwD001000KG0a0140 +9400q0K1W10000G0060000NZHAO000100033084GG80GSpd1fxR0000100W0602000m80008 +m00W0040WIVDW08GSTt6060008e0m@@604WW000eZ020XhxC000800040020200440J48004 +G00C00100324282G200804G2S0W00005AI1208X0W000G00G0W200GC00G0000o0200G0000 +0oY9022000G80100000080000900eehU30401040G1W080001000084000W00W04G01008WH +00Wb_DeII36LpWsvD0002GCE6W000402004H020b80Y00n808008000440Or590GH2OUH3am +W0iQi1000HG0000G10W8008800WoGImW00m8H6000W0201uJz6000YO5T66stWOADG482Gez +6yql1Vzam@@9O000208100000240000G48021LQf1H_P002WbE@C0027049m000mCWG4002O +20010G804140101040094011240400W0G0W0c0004zx00604W000011uRB3004OA1W00000W +4Y0W_sDG40400000410G@rnW00820801zpR0004WbtDuNJ30006084H020000Y8YJkI00K00 +4Hm88002820000WWCic100W0a0004wW100H0G000W0K8G0000080001024G6081G400000O3 +00020GGGH60860G009000Y8jq4IW9X5yb0000ubT60G00ONC3codXFNC00430W00X@@D04PW +ms@6W2000008008018101400G00002028Qq_400W00GG2010100200810A0020UCr0dG10Tg +Q00G40000ABiam@@F4UW1I010_@dX9yCez_46PD11440G2404XGa8008I80044W82gKiWa9G +8K10O0eI701210Xa982m29Y0W00Oe41W00G0002HZW8W6W842c01X00Rc882Ig249402C850 +480000ue30QY081Y84200LW09GC80080HW0O0000008860H000ndO020O2Y0WG000CW80H00 +0000492W0000W1H0W4C00W10080WG000G0W0G0W0000c0W8K02Y8011820YGA168X2iGI4WG +000a0W8C14Y4f200502GO0401W0G44Xo2e22GK4oebmYP040G05000mm04faW4004XX00O2W +4p8W8W8G1H0000fg400m4400Q0OK002491437We09400G0c006c3q0000H0O0W00000W0Y00 +20000W84100000280H4H400OeO3W000iLR2X2_0f0000060080000Q181f000000200A8000 +G840MRa1G9G0000140030000A4H3Mtm000H0000muj0GiXR2vkb018W802000GAYG40000f0 +0H00000G0K046020085P000000KG5000020008W000AXBXmUVW8000Y0042000dTR04G00W0 +000000100O000OOXt70I40000GO493sZb18W0000ejVarW4wIm000040040001W000gsr0W0 +0W000800800Y0X0HG1orP9Cff1H0pGxk90800PyG30W00A1G002501K2G0200002P3W001A8 +WX0W88o7G9G1G02G4q0W600C020800G040800G0100G040804q0G00000y50isd1I0DG0000 +9001000G00006W040P0R0208eW9D002W6800003002000YXBXwDC8mO600003W1402W00810 +00E04004GX00G0W0808401Ya41088200G084W0aOT205YG0800GW005081g0X0000Y0G040K +0G008G804WG80062000qK2G1We0048e1080m40n001000A08W02800e01bOp0200000G10W0 +GsWqW@@D01W0Gua6KU@3RAR000WwVQCG00040G1000W8W20000a8yJi178RGvs6WC048jO3M +ts02W00000YIUs000o08I228110aPY1000G0W4002O8J1000Wm1W@aDW0WK00208eGs1800e +W20200q0G0000O10010680100Q5qWTaJ000m00DN00_vPw20007G200000J010nY0GFY0020 +WFiJ4YI03CY00_dl0q5m0eEm0mEKGTcWWg_Z0CI00cfA08sL0yY80mL6uV07n05C10E20JD5 +Wc40007Q000000W1k20000@zr10000jn60AJ00W8Y0000Wv3UI8Qi70040y2m3ldPW000W70 +C0W101G703040LnmbW00085W1mAOC0oYx1O0g0m0W0e2008A0085W7Ibp0000CQ5000w000m +0q9ME6000WI000t1g0W0m007010003000u000G700WV0C0kW0wC06000W10002000c04whsW +eqJ000K500080140s1Wf00HC0mV@5W@_70C14@300OaWN00000WZ0qr30eD50G@kpL000Ym0 +0G1A08230WW2003e0ul_uLLTGyH00sx7WT@F030000o5q500f1Wl0b@n400qX8_2A0gW80e0 +0gdsWqyIeZw46WZXZgJ85W700000240e103kU@XitDe1H6_@t00m00xldm8PI0EC100040W0 +00W000FRdmMy94JU2000GkiEXCvJ0G20m@@L00201G801G2044W00FZ@mZ96imH200100400 +i9X1hORGg4I00009tK3Mj@XSrUueS3G002y@V5xPB100W0200WJfBn526y@F6jgZ10WAp@@b +eT@V0001068004040W70WA1J8ny400002021eeP300024Kj10I00g_FXsqDOFT3_at0WqD0n +mpGPvOiok1zjNn@yF00200WI08G400800180G1NYt008I0We0800G0008002A1m@@6020020 +0G4W91001000G2W00HW0W0209000X400000e001000WW001060009w1000W4G02WWcYgYUyJ +000eG8y9iET2tIame_6C9k1W8018055088020G9450e011S82XA90K0C068009W4WAG96W8S +92X40wus0G1000X5000C3YW2A00000eYW4G4000C0AGG0092a2G04W4800000Fc820QQ1t00 +010024001G0KMi1pxRmos90000AGP3G001KoV20G00sFp00G00thc01WGGn00401300IWK00 +0g04IGX0212CCW6000O011WIWP01I8G101000280W200848IG2G00G351H20000G0K82WGW0 +WW000000G4A8W2W80G01000sD0008208W0eO00W4hDOOV6W002iWV260G041000006OEQ3kS +n000OGFAbm2I600W00280WI40000WW8012L000100060Y00801K0W000W101070IG02Oc130 +0m002G20000804014G4G00OG840004100I8GC030000G00K00100GORC00IW0mn@6qja1tDQ +GR6Ua_l1H@Rmby9aPl1P7Q00WWG0820ZVR0041WPGC000H40G400410nIQ008200G0082400 +G000G20u2V30480iQi10861J1m000S1pyR0Y1040820rrR00G1W2xD0Y000400WY@De8S300 +W0000CBWH6stN23G08220GG4010000010GW8Z0006H00100aGa14@a100800W0Y0H01u_V30 +0022W000G0400C2000G00O40O008I410008210W40Y000YTI001488m00e9V34008qcl1880 +8QDx130000004UktW8MI00800001W5pDu4T6G0104ch140000W0402020I0090860200G9kd +0G00WY1D000W00108020W0W8m841000402A0000OG00W000001000GnDXG00W000G0H80G4B +8d0010iS@J89z4_EkYjIm008G00011302O400K0008G00GOyU30020Ga000080m8y9820000 +GWGr@6W040uso4080000Z00001000s@KQCOqJCWG400000G40GGivFS4l1dyB10080280W42 +308J48WA064X15080004n502G1G8gA40314WW1005500H8804W20W8G0G20G4004WG0G0X4G +90Pqf041G180044eI04L00H0400H40D5000AaW0Heg0If08O1400G80G001G00W0HW00X000 +320000W0820400249yV600Y8sHw3jjp00001830008m08Y0080W22X2KaP4030eWW06Y008o +08Y03Y4H00W2408W8Y0200800100H8100IWX12YPvD0630WA8H14WA00Y3Xe8BA23000000i +R42020402g21G00pKBa10G400080I0I020Y84080WU@D09Ym00400001e0H008Y800400g5E +3_3tWc0V0001mgz68004G000mJt6iuV2000O2@sWZzDe8y40WG000H0e3@4Y5F100GnaI400 +WG080eAAyD30000020WAjM380G0a2G20Gg00000100ag2E3EF2ZP_Je433008057d4pcdmsk +9i@T200008204bsV2000905000IG01H000200XTUJuXy4000WG60000G100040001KjtR000 +1G0000820Gsz1300248GGK0211940GW00G00000284mI00JO0WY1e0081A3c0EXciC02000W +0GC0W0000K0o_t0G020G0MG0W20W00400007dDG9G081mPWH00eCW0000W800000G5420000 +W0K400H80u_V3e0W6A0K06K080KG901400000O0000T0V2jc@W40I0W0000W40100f00E0W0 +G01W04e0lD0082moi6q_l10005WG04000404bm0400WKUDG4000WW4210G0010WC04000Ou1 +0X01201G01000GW0ITt000GG4100QJqW7XJW40GA0900KIa00088kVt00004G800_NN25000 +00106no00G40400200a0aRl15KOm6_6W8988IP6aW00SVv3ntO0G000mC6zG00400C0igl10 +0M0WG0W1683u0y400000M40G0m12wJ00001AT@R000WC00G002606YN20yFWUzF0000Vf220 +001e240eP50uv0203O00u04c000m1400000SqHByd00m14m030_X10GC100X100000eD5uPR +Am@_6W@zBW@vB082000W7900u01WU4169w9@v70Sj2V300W10000K618000egBzJ005K000y +0gMG10O60eA00icn6200GD06Wcx6300e200W1WA0106040400W4nD0830003GcOnD000eIiu +O00m54000630085O6mA8A0G06000400003000g000Y0e2u28204a2G20p000c1c14163048I +Eu6aij1Dko000u70c5K0KG1y@lVu@vD0SW0e@@0000400C50z82CPJ1_tz4yX80KH20G5000 +00006H00oq1CI00wu802F000W00Oa00m9dm89WWPgA0n40WK110K4000q2E100E10m0ZvHC8 +Ay4O600iy530000820H8200q1Y0000OcFwJ0WP0GKxF0002DV@4I@s00W00G020@mtWlLbG0 +01GL09Kcl10000VftWloD00007p10WzoEfviD6mE108080G00W0000G04000W0W00Y6zD012 +0000WmN@D0000oeq6K3a10400sp8XZDD8p032uF100LIn1a00000001GxRaGj@9arl1raRGp +rCCTF30800IzFXqlP80yAQsyXBMC8Jx4gcJ20hC0H6jHOmCK8U5NXomyx6000Ov@T3W010W0 +O00W00004002W2A0000W4G0aod10404QIs0000G8040YYtWjrDe3k4G004C1V2M100AbpWrl +JeMN3MI@XmeIe1FCobd140200W00124Ky4b10200048020W087V30O000800MH021W9482X0 +40080mb01220G000nWG1Au0vDOmN3040W290000GxE0H0YK@D008040W800102P8QGZy64bj +1XhNny_9SFe10001kht0201W00888O01800AGL2H020O4mG004X0e82N38a200G45WWAHeu3 +D00Y0282e44H00090C404400W0G0100Q2YK1A0K08g8_yt0W000WDC00W00ywi10140ILaXK +2s8c@72CAXWyD0G21200Q0402W80G148280WQ0M0W430W16ZCX480001X45eGO008I0003G2 +G9H8aG1050YIG2g80000040W0O00J810140e106YW010000012000iK720080124000G041O +00000C0XwmGSzISry3fuRml@6G000W00020G1000040088801GO0i089R30008204W8NU300 +W001004A020W101W0000450G408000222W88a1I40084Y140ost0010m200000qbExl1XKR0 +0W8Wy@DOgp46qqWR5z000Gns@90004G004W0I000088lZR00100900G2002802a9000440W0 +3100440HGa04X00GKEk101H0ktt0000324GHW80W0080Opo40M800041O_U3QdOZ08X1C022 +10802008eG00408090X08xV30608H00000006WW0G000GoK0000H00041128001XG4G080bR +O041109000000400600002bs10GJd6aK0I02141040000HW0G0040000m008000006W1402a +0W00100901W0ZxP02820000822O00020G040ODT32Ht0400W0002014H000000WE10G4eLwC +ONJCIq460W0020W0W080K6c1000002810240W800G1C6iyd100001001W800OMP30008ire1 +@zR000mchtJ04r2Gv@6qkK2ZFy304W1e485Y90X459G90W4011K0YWO24G1A2GO1G0h180HY +0W4W2A8YA8I080W80G814X04GY8010HHX0804K0G400013X0H0004I4Dg108B24c040000m8 +5000200e980G000DXKKa80W00WW04CG20G10YW8020G1040AOeG1f286K00nq0GWOG422043 +04HL82meAKW30WGK90K4y402X1216GLY000W2W01GHY0I0040W00GW00G820008iH0I45001 +40A1WkRyeDrMQRFXihDG028000011020JhW1000AA200840ec7F100G00G01000W10000mO2 +0G00WIFMwZC3__Y1000209000058SLT24300800WS4Q23cR0000ea_D8pV300200430u2R3i +500Cqp35ay30810W800000240W000GG004W00G4041W00280EXpW3@D000040GG624m0AGH0 +m0080W0001K415000W000804080400801O49300qX4gV29uuHHkd000W0G0042K4241m808W +0m82W0Ga804G008208Ke40a0800n0020W10W40042X2WG0Y4208pts0W0000028000n00001 +004002804530080040000Oq0OHU30900auIH00046eb104040004G480aok1@eR00a0WSyDu +fU3W500008HOX030G8a0000010W40008Cf105vRGw36iXx6@0ynTzF020c3WvW20000pxE2O +W14yM20IK100041020000c23sHaVzR44Z00T7@0k3H0e0H0ulsHXCTmLN1W7c1W@z70Bi30E +w800D4q1000EWU3000WwB0Gl30009r80uZ80000m7000OW1WESAAtj40G0OKVi1vWRW0K1m4 +uC8HS300e20003WA0LGiuC0u200W1m5G70304WEPZR0000240000C000G0Oa9w6TDt280iNz +0O7A0s21GtT1W@@3W00000060_dL0KrCyJa0W0N3n8108N90Gd30WS710rD2C3mHkZ8em820 +uLl0OE000000WvB00442LDZ0gQ60UJ10SL000amuF0FX80000g2W0IMQHv4000GKRV25t@00 +K0akbVOg@4Y6@1GV00hi6ID5j000G0W000C10WqzJG0400000G0G41Y099cuF11W001kPGhT +6Kos6000uHD00atF3p29qU@6K5E3VPQ04H0WYlj100QVV7p4lc7008000f0auU2Tod022aYK +KJ008G0080140000W2WwHN24000dlp0Ox6W2wO8hdY000W88500524W001K0eG04HG000000 +0G80H810208mPWC0G40GDg600200000A500020002A02MGF1IG00000092G00000Tx83WG80 +0WW00A10m5N2X02220W400800001M280W00W000kWY0r400eG4X011eA2G4GGX80W3XW85W0 +200I0X40808KI00WY28a0H0Y0W084WX406804144I0I4W00802a1000AU00098000188W85U +Fkov400G0GW200aA30101G8080X1a0e020K060800W80I06020Yg4L00m110Y6MG00004060 +880000H24002OOe08KmCG080WGW05H0G0W823W0000G8W07000iP300020000E01eG0G8O4N +@6VdT2040W4@D01200M1100W004W2W1G0uW00426502424080WW00080000I01800W00G4G0 +040m6080Qut00W00G0001080030G028081200000ig4004020ijS2lh94100H000100H4GG0 +4n400ui@40G2C3H1402800HW000W8000G00X0G00W009Y1000010G05080000G00080Y08G0 +P00Wy@D0460000jD020H0000W8H0q@@F9no02000001Px5Q00G004100GG421008aJW12800 +000H8400OLJ300H0_bf100Gaa400022000W000YOG04042400040086l089V3E3Ya6GLX882 +0Y002001002WO00O00200fUE6UUp00G00G0PMW100W0100W000H04000000201G00005000H +00X01W02020000GHl00KE1ClnxH2K6ipl10021000X001OgQ_4WX030080208000G804W00G +000G22W000G1cG00G0H0W0W44108002000Y10080010050000002gd9NYqGt86EI004K0YgY +1808208Y5W4100GAW4W80000000H82I6S42KX8W00Y000Y0B0WYg2M4i0e012XGA4aP02J40 +000m0041Q0WGY0054b420GGWW41018000eW68041GLHOm85510G0W8W8008e0i61YG422002 +n0X8a1Y0WYA8L1Y4000204140aW40g200G01K00X081g1KHK440OG0G04178Cq2KC322c9a0 +LC8182ahX414X8GK10000cM00kwt01004VeIIBjjqTl1xiQmgf9STl10Gg0000IaBh1dzd00 +22WquJW808W0000140000kZJPofS@DWO00Gvz6CSl4vwd0G05WdWP0200WH000C000G300gz +t000Y40004W0000S40Of0g0W1001000080802000X000800W00004081000004G0G0W241GG +0C0020nHq00040X10000G000W0004CwV2v_R00020000Oa6000080yqV2tPA482000C00210 +80WGG240Y0mG2000092008V2R000W8Y000011X494W0I380000A6A1G8W40008008180200G +020W8000e00i00WK000e004000mFb00600100nrLqWmXaXl1T5R0k10Wvsb8My4800000Y0O +PS30ZA0i4cJ0W10zVU0A7K0G1dzlN4uVp3mNW2Gzr1WNJ00m06TG00K145W000W860G4400Z +40W@l26FK5xe02gl00jN80gR20qZ80eSGf2dKm@WX0u040@x6080000ax000000W_@B0YXxg +mmD82S3W101030404000L000W2000p000O0c55W4XXRGsu900OfUkV340202080O47X000W0 +0Yx20GV5GsH4m3mA4nT01D40w@t10000e12u900m14010000@xB0JG70K180yH80W64uZFSt +@l0W@@6Wd_100040Au6_@GL4n71m560q@y3eL10003130yQ10000u7000000m5u9iidGVOZn +Dz6yyC60010In@10008c700gr3foQD0Y840400WFyD0200mR@6itl1pWR00040000WBqR002 +024202fa@Gfi9qwk100yWphZ4000WPAUoyb6i2t35@@GiUC0001u7eG0030a2r9L3T208GWW +gDeAS3cW@X4mJe@T300080WW08iV60X00W0008GT3gUt0000mi500QVFXzZH20444W202K81 +2WHWG5X04WK01emT30GH0G1W00X80108X0020GW0W0Ijt02A820002400W2W000180010W08 +8K0G00WIZs0006200100GWWa3l17rRm@m6ibfAHp_WAgA2I4K1YW0080o0W80G0KW000480H +0GW0ac20ommO40e0e341W0Y21H240908WHW3860e00X0b08108C0Gm05W054Y82WW20H0a00 +A010H400000pe00020000940W0400400G08ca_7G4GG608cG33A000LqGGD14O44I8340G0H +4WG0941uWGJH30W0OO8a8W802W4eG80G4Xe0G50Y20I000g802G000G21258I0212M4g0X00 +H610210000Wbi000008204080100000W80G0OqLU000W001WY00W0G013400W000W1WW0yqV +2G0e80G00W548000I0G14mD_DG100014C00B0000WW11B00200G0401000WWxD0800000F30 +4G0000G11000G0WuJV9o66600WPRuR00eW40WGGXdR0a04WXyD0o000H01WuODG4800K00YN +FC00100009802080K0W0a84G410200ma04G418Y001000MnI0001euQakyF1q000ttR00021 +080G08408000H4G40022W080mGjC008000G000a000W2H0W800H0Gm800046G000a00001gH +r0000W00Wevj0200H8Op03kXh8000d00W0000e00W102000W44902G400010448UZV20820W +00000W010C00G80eejJ02008GG0080G420486bt00400008900a8Sqi1p2Z480OI020Y@@P0 +4e1WoxD0GG0000030W0000Ga41W2Z00GeJU302202040G0011G0We7hC048000020WW40WGI +0s_t0GQ6G0000000H20000G10GFy210c12n10041G8180e024003CGXa0qG50000X1G530HG +0AY089Y2G1d004220G400W06WG301000W008H5Y0aQKA441X8a1PH006YYYP84f482Y04100 +0u434002YA1aH4eNN3EiN80012a16IK5Y43v0807nG04K820148e0qI0GG08c80620CY0100 +X00822H200fm0GY208C41bLEAn088Y8G00008Qe8201OK3WG8804I00230eWe000mvc6uG00 +0W00240h7L4000A1000PWd000e000W80G00Agc1I100nY@0000gW@J0G9am2f600e0000480 +0000NEK0000G800CqM2JxB4000nVpP00800GG2W82I0K002200001008200kmC100050006A +DmWRqP00G000002j204zwZqH@6018aX00W4YW000088dsd0001010WW60W10003W00000W8p +LF600004040000134G018Y80M_t00WG04000004KW0CC0X00000XB201G0W1080W00W00Cm_ +Y0001W040200G2YH40000000G1W000f000005000120G040Y100WW08kpk100G0020004120 +00202G00000A00G8G0a8100810180100400000TS0001Gi3l1G010QsNeaoDusx7G400a8k1 +W080000K@Nk1bBp00W4WAmP000XmXv600400000lOW540429dhNqRv6OU00q40O22_@A6m81 +GvVH0mBY00G100eX000C500u80OgK0m60uXP0Kg0000000v410q130eW1@x30hN10_1e04V8 +0eWsOE58mVVnX8A0W003004000Z5c2GTW1WV0Zv100@0pr_510WA00060g0CWSmV0W1W1G50 +304WPPnb0000G1W0GXXpGsu603WA8HS601b000WB000L0k000H100PxB40c20Y900YBE0y@6 +u2N1sX202A00q9x0GUY0mHDoEN8YZE2A0S20hw10Wnt0Sr00u02u@A8mFC80LG00D40W6G40 +zxE0wb2U1WZG32I10R0GDg1mK100GGO00000000d00000Zt2jKuyCqTH2@p@GP_64AU20mG0 +M_l200e2LrRp7@Rquf10004kgEXqqCuUV3QXpW0mPeNy4m0W0SWl1NwdGx@60kT0ONR9MYlY +AQLPgE6YAbaLwDuFMC0000B70086ALAi@aDuJOuS30G90Swf10001M1tWigPG020mUB60WG0 +g8_4gLtWKhD00mWyjyI00218PVU400028G0G000004C008G0004A0482W0a0010000020400 +480mX8G0002200W02n8190808a24058820g22e80W0G0102040010180000mQU00X0W84G4a +Nl1FS_Gy_p0W200H0I00A000e2X880M040I00100G00bGu12qG11AG2W4210000404I40A2e +02882OIW2010SWW02000031BO0YK00480YG26IGg2810300GK02000CK900000WG0100000H +tr6afi1Fsd32H00WY28O80048A0e09a02X0a90140G2DGH0W422a808e0400XH605W08G48Y +00i040X20100X0081020oGcHGS0001Hc0Wm02D29W00280C604000uKR0G80803Y1W0000W8 +5@Rm@q6qcgD00W0czs000010e20wyt000810C0A0KW00040210W0200000G04W10G0480m80 +0040W10WW0KC024m0U0002Y0GG0m0WG01iKk1W3B00000G040u_Oa00100H1m00W100000e0 +2Yv@R0020800010W0001008001isd400O10GGW040000081YW01000W00900e108UV308G8A +0W000004x1000G208204kZ_dxrV00400AW004000010G040OWmW00300a00800a90@NR0004 +4408460000210W000OXD348000G01040000402n04000480G400W8y4m000020000800008w +fYXScv108Y0G4X820101HP010004G400038W00Y0040000Y0000G100061008Y01W01Y0400 +0800cbyDG012WP881Y0004020Y0q00X800878_0rW6fD8A_V0G400Gm00Y0W000048820GK0 +0kUmW6wC02000G000020501W800200G00ed238300000WX9000G8021080e040W008m00008 +102000AWJ00000C000810103Z00GeA6qPFFu100f51CBG64n0215G0eA00WA0000C0F00W0G +cG0m1YGC4080m8G500290QG40cMC1aIWG8Y081G0G0KiWJ080XGGee86102GX00618C0O44X +20000051f003aA2400400OW121008fWVAyq08209W10WXu0JG40Y10024Xq160KH1aX0CG20 +1W8A01m0020mW01Y0886000m00I98YG0eAZ1650e0o400811180P6b6W8X2X1910YW18g200 +00T100Y2G08DW2180Y82W0820oUu70808DVRGYx90010uuV3YTZ112008000_Kr000G00GA0 +000800048Qs400H0q9N2a5A0A3t9WG00NVPG4u9m000W000GfQ9000Keq@4U0u08008c000K +400qfE30042000210000iK2W0000020KjfBnu_v0S0002GG00004C0W0PuR020G00010m8W0 +65sW6wD001W00004004G00011aZ89YmW2400Kil60W00001101G2GS0000008090080208QV +6Uv_710G000K44000005100400X10G20002020KW311840001200000W2W00000W080G2G05 +4000OAW200A0IW04WGGC00000A009H002111GbUQ00WaF10040200i200KIk1FsdGu_sC323 +X1d000100W000100I4k20140000g10404eV2T@Rmn890CpWyPr4gil80m000J40TY84v3Cgo +VZCl10a1T0WeA0GK10W7AZ8m72CqX3_N40g220Cpl1ug10mL3m@L0XFY83Ye00cfA0fwH0AG +40aWRS4J8eEr10Z80W2C0W52000JLA00Km0Gx4n@00W9kake4uCG0g0W0m001010XXd000g0 +O0G0Y2W0I1W106060p0CoYZ1100W1oPGOu900G7AXS3wZpWY_D81PU000400J01W@k36100n +71800000D400720q7O000du00000sG30000Lv10rq30k3H0CJgC3E0PgQK0S01W@_1WTS20@ +m00UH4E1V4y@L2ulV0qV@3eD100GiA10m5K0OcgWH00W8dO00000CG40BVMqFu9qTh11@d02 +00800006000O0004xF9000uqC00SpxCbylX810WPzD8NV3080Gijj10G00whB100W0Pwdm_v +9a1W100084004Se43006r_@tfDOP8NT3w_FXPmP8czA2tEXxrV0832myVE1GW1uOz4UWp000 +m0nmdmWv9S2W100W0g3FXvuJ0W10mcyC000WJR00G6UaSNVB8GH1080100004m02000021I4 +0001X080e0000Dn@4W3100000a008W24W040040000000e0001044002000WA00K00200000 +GS000WasTxISWCF00W24H1G1GW6480G0A60014080000024102G4mm0028282400W0A0H0X0 +0000Wm0C00O410400082004GG0G0G8G04L0G0040C30a900AvF1GJB80H41EbtWKaDuS@V0H +0YG1W1c0G11000830W0000008423800Y81640W084GG0008000W09005000DW210K8m00400 +008040002GW0010KKG0810Y2WfG000G01000008000Mj304RzRmWf6iAqF000GG00GGW1Wm0 +0W04G0Gm011W000W000G04W004Y20006010000G1W00084000000010W20e0080H0000K000 +01224uEj60O00On230OG000eW540WnVu6KpX4VsR3200G8028010GG040G4m00042Ym0400W +C0001004H0004120G0WCX0Zz@D0WW00006WvyDOft4000401080W00G6@60a40001W805000 +000WW046iJ8000O0000G280KPT200m08GG200040WW808242000Y0010010011W800000018 +0049008Y000G40000W804W00G4269mzmPG4a6OA80eqT30001anTH20W98GW0810c02G004Y +801820HFQ001W008W00H08UPt00004O820ocv1000820W0G0000020WG000Y1261010000HH +1Y0180000810K000020YTzy3000200XW010002002W408l_4G1000G0G00000K00XicC0800 +00002Zm00vOOmeq90180001000G008001WW10W1000wk1W04100G8001004820IYl8e24AA8 +K210Mq040c1C0CA2WX5K0oe0404f10382I1220A5e040m000004a000HG000WmC100820080 +000H0mC2C8A0Kb0p4182682CWMe848000WP3W80HO0090W80GYAOI808400aP@Fc1P1K15GX +0Wg011C8208010GK00GK880i08Y0Gn0I20cG61W002WP4C1E1g011mCa450821G8400G0004 +10aAj1Y00i0WG1GW130m0420A1000dJY884H481Ge80000280000G00GXX@3000WUqP000GW +4480000WVNamKS64vg182A0o6d111008AW4s3F1000WI0000By0CrhJ000D_VK2We500W04g +EFX@GCeY_70000200a000024W2Wi@D00T2mKs6G000OftVcv@120WOG600UYo0400020A802 +00000040W0420000014pcb01G0020010000T040qZh1CWG1G01HG0GW000Km4s900eUS@@7_ +7rWjz5204400004001G440X0G00G128O6G3WI000200041Z00002050400G00W00ixl10010 +0240X481000C091C0G2HGf@R0eG0I230000G8kX790094ZXB1c00WqkV040W2000e1VDufy4 +00i0CTF3dZR0000AC200duRG5n6qhtF0000iF00WC0Dd0pbgK_N58C140yXg0ex010J01WkZ +0c000b@UAO6000GD00mN04_80e12uL00mNWQ40000m1800420A840000eoZG000Wo@@2mE00 +Wl0000j040e_40WAGG500Wl_tA0000G010_F0XXB4C0CWmmV0W1eIYuCa5N208A04000qPl1 +00e200W0WA03GG04Gsu90KI08IS3W1000302uPFX0G40m810av2iBCGvVtW0CW2WPK5WkxV0 +B4006eL_1V8y@70eg@0u900m6_20G010YA0@100O280o6F10g10Ef008u6C1e3_pF0wA20KL +000iYxF0jQ00Q7H_700CZg00Wcz5ARQ3koc1000GLGPmX@X0810uw33s_d100yzdRZnWOsSh +V2nHPmitF00004010HIK6ynT2RydmKvO0MP0ORt7_@F1G080tRtIwuF40U500400041qGE6b +XN1000KW100HZNHrrgKW_3ThRmku9CaU2XZR0008W2jVO@yG00yKMck4Hh3pD@FW008WW220 +0002A2WWW0088G00201000I00000WYgI000W0G029000400GGQkt00080zspmwz6KVl1W_P0 +kMEawszeCWA000W8000eHH340000W8001H4GHW6WW0400W0G0zC8Y00Ot@7A1W1000eM700U +hF1G0000140QTqcX_b0W200104002830001G400I8100010010012W000400400WKLW1000I +G000000WO__40004DgF3002z@@FXr0Ce8rPAw@12001eW200X00030041000100Y4SD00000 +006WVzJ0G0Wm6T9Kwd4J@RGt@60CF08x1dI1p04820fEoW400000W000149W82Sbe10G4000 +Y0y@j7j_R00002D000vieovYgiwD3000G000m0200faS3G0008W00O3HL0GeXbSLK28006m@ +1008O4O000Y80Sye1008GY3f5mY80JS5501000200000HU4DXtYDW0000W001000H001W040 +0Cal15172000YQlUumUX0G0OG0A0W800mEw9000W20W80G4AO4W018081G090W5H02H00JPd +X08000W0000WKql@F1008KgOdW800G0H0W800WbvI00A0C12500G00824GK08X0001000400 +0G400WGTGJ2ms3WCkT20I1100800a0K7ylHSd9CCN8dlQmsed00048NwJ0200yGT5bcdGKv6 +010WucLI010000eVw5gM_tF400GXG80AW4G8B40006W0GBf9000G022000040G401O0e1G00 +WiJU85id0G52WzgQfW@G0G0X2YW00200000G091005zc000011004800004010000fOT3000 +ay0q6DiR00006l100ny030G1W9_t0zQG00G2a5cDO8_4IymW@_D0041IGMRihE300W004800 +0GawkgJ00HIW000OE_J00W70000m3L1mN@60W@10H000CGv50Eo@e750q10lxL0mm00_7@00 +WG@91mh1mcYH1i300m5O7008h__PG000e0W0q8mDOYU3ArtWctn00400000X6YCGJ00m@@d0 +0G60000GkOCanV5W00S0100yvd1j_p00JD50000atV00m60iuVB000gJfCg8hbOES3kIN200 +G0lBpG9uO04S08GjGEWpZO0muqhAe00000W2uwS30201aSM2l_p0400Y1uD8OS3000m2S000 +W80Gp_6001G8BT3QttWRfP8rV30024qfk1G004AAFXY_DOYw72KMYyrJ0W1WGPu90G048ez4 +66t00001T@R0080040000G00008Y2000vTl400104wk1pdRGHtIKAW10001Qvq00800LbdGP +v9470620000G10yuF33hpml@R0Kz18SU6g56ZzuJOHU66qh200GW002800W100200620mQv9 +8000y1Q30G000G20eoO3000120401400mh@60400000e0020420G00000p7o00008I600AVq +00W0GnpR008WG00004900_sJ2002G39RGbDO0402000200W00440084000GW08W000102100 +5000800410G0W022030W8820000W00104201020SOl100G2wap00604A000MbF100IW20K00 +00e_zK2Bpdmy_F80001400m@vC0G084040G8r600084202Gc46860W0C0W050040W804001Y +fF1070840W0008W0024W0H04000Y7PC0H02q@@600a00W400600849a0000001G0M@l1W5E2 +020000e00008Kby680040100GVP9yBl1@kP0000a8yDO3V30G008000eUQ3M@t00440VqR00 +W000m000W0G002408401000C4000000W000W0020rFh14000000Hqyk10020AsF10W000014 +opD1000em3001000Q00WOVV3k0D12400@@@0W0100008O0002oCXMUC8_V30Y0WS0j17@dmr +@94df15Ob0G00WfzD86A30008kHl7006c2iFXYhtuYT3cApW@pDOUt4Q_234100veZ100100 +00140000204qpW15@xXOrXWkwJ87hGobaXwFt8fV9sotWC@V04O0808a10000tyQGgGRWK00 +w8SLw2rWyyCO0z7U1bXIBCecK9sxd100440011YUoWB9z00GzLJQ6qkS8fZR008G40WW800n +000W0yIB600800W80W800uLW40GW0004000800G00W8PIm8G02f2G08G800Wq9Izt3Gq9080 +0YwKd4000W4100GI401X800Y010W12WP_PO8M6G00OylP500020008ccX10W080K580We8I8 +00Gg@U000W0z40C00WaExD8KXD400YW00000G0008020G4040G080W0CzL5nuR00W2090G0V +xOGR@L00f0Pq@J00CG4_M8jZRm4jF0W000042GCSUKLl1dpAnpn604D081yJ0IuWSsw90090 +kpzXSih000G40G0WazDG100G7X6C0y30G00001W49o9000OT100CuZ1tCZHa@6G110O9z7As +5390040A00AGnWxxm0100Y4G0W6wF10me70000WG00H7ZHYl902009EP600G047S5000o000 +009H00040006000Ga05Ezm0Op06W9AyjG0200O0a0vG_J0Gn0aEE600W@T000avl100W30O_ +u10W0o@00W2E0WN@t0000UmU6KSj1Fs72019800u900G0ywB0qFm3c100U_F1100m8G4HCGI +0CxD3LKQ0400WPwJ0W10003mC0006F@x10WcYmtCG0o0GLuLqTj1I2000C00iCW10808QisW +UjJePyJO600000_BCz4y0W@w3000Hl0GJtX0CT0u@@Jy5W@x70000V1GZy60Wl_ggRRYjdXk +G91000de10004W0nMhoAe9KQB6daRmCQ6qxl1puR0020100808000EBt0G0G00800E2@XM_J +G0000400014W0000000Wi2G028jV301220X00Oyw40020a4g40010smc1000101100020Szc +1@@dGK0C0010eST3gGmWLjVOe46_HNY2vC04X3Gdv60000a00GmYICG00G8ZvAE9tWNsJ010 +00000X6i9100040O0W0yCeIJ3gU630W001hd0000Uo04037aGyBdy@F32020Ma@X_JDe0Q3M +hF10400002010W000H000000800cmSD00080400GGG00000W00001015ebT3W00100200W0W +0000000YnhmR002000eW000001080qXl1000XQ68XPzIuF@40W00LfV2d8QmSz6yug10085H +00G0c052000WY0000040G0e808000W0500G0Hj16040W004018000X004000043040O0041W +000W1G00GW80GG0040004200000mXB0420100000110qul10900IEC140000WY0kjr0G00Gp +nR0400m0_D8iV6000Y00W000G00208000800470008GXu01000KHS@60G2060001YG000020 +0G0W080G00a1G001200W20230000g0K0m08WmW4G40e00008K022G1044001200000cS90WT +zDu023014mG00ee_U304010200G400unzI0W048Z86W0000W01ezD3oztWpsCuG@40030040 +04000004280000040GshF180005sdGrT9aU1300qd10I001000081mxg9qb@38080000400W +00480080000G10TtB1020WzxDueV3EstWZ@JOMULQzl2mj907ZRmxQa0H0408W0m5@6SNf18 +W00YGxa9c3fiCC000HH200O@@4a3H0W800O6T3Qf@1e000Fx@GrmdiqV2ZC7IZ@O00OzEiZ7 +w_730G0cG00WwUtW8_PW0W0mNWI4bkJW43GYXp00G409_RGpt6Cdi4W0112jtWNt91800mzo +C0010eteGgd73000S64206vt00GC0eI40G40Y4xl1RVdm@@6820G0M0W08000C1002I00MPn +WhADed63Eu@XSeJu28IcTnWJCCuyV900aAcol1410H000180020000HN0L00I4GH410cA1WP +1J00100120WtzbG000G279Czl1PnbGM_s0Gz1u@V3YQs0I9000000t4WXSnJW00000010900 +0000G27tWx59PfU3Yyca@3D8P@AwVrWoxD00G12G00WJvh00002S1002200n9And@U060000 +0G0000e7bgOuVI004OY0000010100148001W000e0004Dk1lEQmlt6KPC3G100oxtWcDt00I +0Gy_F0G00ekK6s@N200A0T@Z1e4F0141001000G0010000410G7u6CqV2reR0080000A0hzR +GH0Iqc_68I000000WC988Hy7QpN5000qm2a0000G05008vF3GM00000G1a00WG81WOuO0IWG +KeEC00GG8BE3oAjYHlQf9g4000u5_V500CH1000000ls10uELU50dqD00ieY0uf0y@V5G410 +1XG3CMT500WkIT@1G0o0Rxp0G6000JWC00WaMW7Z@gt00y30000000c10041C606G400mO00 +0m0000J00000cYXZ100WW20005WA000C08Bk76fZXZqZ2000jF00WOvC000eA0K100003O00 +0F@NYKFIGG00W4W4W@@D00F0mzx6avF30180I3lY9nb8QV3QU91100W0081M3N2005KrP@G3 +uL4S99xXnGnhL46h1n@RGOl980000080nl_6G11Gep23o2qWPqJ0Od0m7@Li_V2rbp00G002 +W80zeRGOw9apx6XyRGdw6Knk1NQd0W80WF@P0008000G18100ZjpGnu6000Wsa00mu@FCKv6 +400082014nz6dIdGFnRKnE30800U9FXFRD0020HUw6a@V2008tYVF44000Vbdm7yLyEl4Jjn +mwy902W000G008000080Gz@R000110041byb014100040W0W0400008410014mtx60SZ1elV +3sNsW3xP000Gm6p90K04uCG340000090O3V60040CtW1002000040mW20410W8000W0W0rsP +0024006002W006Ko080020C00X060e000020002844K0202000W08000030G00Gos9004200 +G400002W2K02000000Ki7l101W00000qbj1W048oOmWtwDGG0G0X0080410W0WWW110O0G00 +010mGl600020300GA760G00W00GA000204W040800000W400Y00AW0004000W0WO00W00W4W +9W00K000W0000A622K080400060W280W40cg@D00X4800010G000Y0000Kl@3k100a8IxpWx +xD08G000004I040DzR0I200000400W80O00W00W00O0240G0G000rxR000100801ZvR000KW +P2COT4C0W0000X08XV3UMtWZzJ8cV30808K_l10006kzt0mc0W9aRmCz9ChF300G2M_t003W +04G00W0000G0010210180Wy_D000W00a2WxxC0010m7qUisk1040000W02GG08cK60008002 +10014mjI64qy3g600EmOZqzDePQ3I9910020PW@0010000W00W00UVaa7lb00I0041000010 +0100c8M20C9bLlvn0y6yTl1Xxd0600W1qVu3V36nX4080YG4C1gM@1G00W0000spsWvDJOt@ +40@60Kkl19xdmZPFKLE3W00W6@CXJpP008WGC76qWL23l4oAxI00G0CwG3gb_1000S_100__ +d12A00bm@041008000W020cFcX8vh0G00nTrIy7F30W00000aG4H00H41qfR900a00012WO4 +00eK302D80m2000O00urT600GLz963W000kTdXYrD000W00GM00100NXPmu@64_W1@xp0001 +8e0084180_fB40GC0zuBHO49S9X17jp08r7W1rP0016mWx6igk101400G50qjc180000400Y +W00000C2808WP5O004GmQ_60410ur_7QuN208W0BERm_@CG8e000GWmhDIaVi1w000Q1FXSm +De9i70WKI10082200LWS9mC08WKI1nCyIGA00G02cmv@F0002fv@7W020KM@3000O0004ESk +100400020iHE300efp@@XgoJeuO3sKmWp@J0K00200004288010HxCNYarbuF@A0002Kk_3G +4100GG0ajF6WeN0QdCXKSCG00eGV_9G000000640080X00G000K041Y1092WWW800010K4m1 +8080s@t008I03CYHP@O4q@31tRGzF64Co30000pl81400GJ5dmGn9yDg1000a_Pr000044WI +4002G0W40uKU6cet0m0000W006VtWOuIeLU6sWt00IKC0010u000q@l10O4000000s4200iq +20I0WEEU0000000K5W000008OcqtWHyD00X0IM760002101000eF00009O1s0W800g5000Z0 +08W0G0qV0W02nKo@F1X000DbRmx_L4zV2ID500G0F180ZG70U__@CO50000000034000000C +rK0000eg70Oy@40SL0an@60C3000000006m00gIf16000000hEm@@F001H000W0600GVL50N +ud0120WZxVeWM34WC000P0ei@400m400040J00Wi000c20000008O00000Kf7G6O900C5W1P +8P0008eILD0000C200S6W00CWB00mO000n0I24JW4Dk0CH_1M3e3m5G9ABWI4v00m@@6Gu20 +001uMFSv0W000004Hk26000Ha000G_H90000000Qt@@CG70000Y0mP7C000A0000W7K0G@zW +WoC31fgAFGLL_707yF04S6p0uXH_xHMvLa@@3LzdmkL6qtl1R@@0071W@@b0000q1j6i@l1@ +jQGqqFiHc1NbdGdt60000008AmEu6000G0480mwz9K2Q2BqR0402WRmJ8uV3m00008000008 +mq36SHj10004000W5CO2lTQ000010W00DvRmOu64Ck13TPmLSLqgE30010w@r01G00000Ws@ +d10480r@d010WWGuJ020W0000WDCDuMT3QHtWerD0040G6X640E30G00o7FXxqJeZy4_itW0 +1OeBv7cUsWZuOeUu7c8UZGyDG000W801WSdP0Ch3mgr6yAF300001400qAk1baO0000mEnhu +WV3YdpW8kD00A0008000g00Pmb0G000410WW004120080HG0000a10000084010100G000G4 +8ij4sysWr_D010G0W00e@NDGd40GoT6KeS27wRG4z6SJk1200100W08002Ol03gjwX1fDelR +30000ENl1W8W0WH4G8e000010W0G08110W24W0400G008A04W01000A101080240G0001820 +4K0n4j6W8I80W0010000H0040000GG000W0000GsPKx60020G0004080WWeDujw42rEXcqDO +@K6sgmW2lDeJE300WHqIR24W0IGA82YGG00000008X202C1WW4100G018501mC1000Y00322 +0I0GG0000810200110W10200WY01W0280010010a00109WWHD0uo9mMz600080800GZ@6G40 +08S93EUt00W0040080220qWd1vUdGF090100G0W000W0009200O0000100m10eX@40Y040G0 +000050000088G0n@R00X0WZwD00a8mDI9iwV2Jsd000W0000Ca7000080qtl1W0042_MYJRD +8zU3IooWKnb080GmZp90X040W04Il@F08Z0000411000W10000W00004100GW00W2100G0G4 +09pd000WWChb00GKH2@F00W00040Gnt60030OqU3W800SAT2lSRGZJ9Sod1zy@Ge@6i0a1pi +d0300010W001000002ypK2VNP0082P0414xKpm2wCO2q18aV3G480ikM2D_RmYz6aAW10G0C +Ql_XtrPuQl42wEX2pVexF36r8XcZDO313W000aUi10820krEXPmP0000YT00WmdaW1400400 +WLNI0WG0GtsLaEV2ZOPmPB602W0100200o0W9eV04W1GLZ68J00ewU6W000004GfAC3sZ9X_ +_P00mn_6gLKEV2Fmam0zUCYk100015402108008810Y000G120zyR000CA2088Y00WK20882 +04102A308440C01082Y001430X0G041C0OAA0Y00TbdmmwC0YD0uqy46atWAbD00J0G4I6G8 +0810003028W1_bOWi4800804024002002a000Ge8W49GWG1aVE3G0We4G041H1040o000G40 +8W0028000CG0W8000G141000G1Y08Xub0018WuqP0000gU00Wl@IOTT30001OW0000000Y18 +000G4082004304EN2VyR000280G02xabGiy6011000W001G0008808K00owv110G3@@R0102 +71002@@RWG0Gg1rDWK0OMsxCa_j1@@R00WcivyPO8TCMj_100W04020sjDXOuIuJt408K0C8 +F3rHRmcf9SSY19CPmK@600CG000008W2Ys_h0K32IzYF4Ga1H29nw@C00G0POW4w2B100200 +00W0W301m0m0Z02000GWe0P0080002W0000G0G000100100004400200K100G0001G011006 +1ewJ6smd1L2003tdG_W6Cgk7Foc01W0c2dIuCT3kBq0002b0208XWA00010w@@40210Sul10 +00YG0004gl1Y00000080G000210W00000G04rMM10W5WYtbO4QF0800qYg10W01_qFXr@J00 +0GaG00G0W00Y000_mbX9@D0400000G0GM208WG40ID000aG1020000u0000zI0200OAG2100 +uAT90B545_F300I00000cqy3bDpGTy600W8o40008OWeg@Duw7300r2000010m4jKJX6zLmF +000hO500y@l1g2GD00000W1WkQV30800000UOkC34nVe0000GLG1Obr6qmV587A0R2mWy@V0 +00UeC0eHD4H10u40QW@XdfDGL008470G0200Pg@0G10K30086G70AvoWx@D000600040OGO0 +G0W1m500G600080000200WV048LWz0W0c100Y200GwXY6Kog1F@d00WzycfC00YGOF0F0003 +00060C00W6SU0830004G600W870C000G420000G4mT00000C00080000SA0_lA0000C500i@ +V20O60fQ60Im0goBeqi00u5W080@@d00086p000AulV0WpA0G4K0WTZ00000V100b3yGx@60 +WNA0000WVO0mjlJWg700Dre810m6TwlngrFKzlD@@d0W08Wf4JO@2623tWms3140GmQuLKnj +40800a00G0G80idy4QAdXAmDOns4oWzXPRD0208GV@C00OlVp@A4001iKV2lTR000040a00X +OPmLuIaOS200100003qdM2hOJoBf94NW4PhR0u25Wrxh0010KauIO000OEuGsTt0004W7ndm +axFCDU2HAyGMv6SBb1tlp0000m85guLU3EZF10200pkRmUyFyPc1HAmmx@6ajf1000XMIEXl +fC00a00GO1K0e0GWG00YNt0000WG0G00AG00G0LGW411W80Yj_D001Y082GWXOD0000Jq498 +0GGGG0000G000230DNn00402440020W8ELFXsMOeDz4QUt0000G00900001Cai1W0043@r01 +0G40EY00KG1000020500W3040YK0Ke88WGW180OK0044W208000080C024800O002uUG3401 +400000m_10028010m0PmPW20041K008840W0010001eTS300WG0X02uSR3008004W0W04000 +20an@JO@@423t042WWvXR0H0140e40004000420WY0YG0G10108m0u0G0GW1010X216GX090 +GAG0GK38GWW9CG520G84WW18400O0000420m08012GX0000001680120W008020W2W00LA00 +0I01W80I00X0W00040W001000880O4X10K00biR0000GG0G8000080CGyyV200G090000004 +O8@46kdXizD0002010Y0aK00000Y0W02q3l1000927q0020000400280q6l18010s5C100jG +BtR0200Wy@D0084GWl6qh@3000CG0W0SHj1JeGIER94_V28210gba14000W0W800Y0Kwl104 +0004YH00W0O@U32vD100000iU0c@g201000080040000020W180180082W800041020Ssz30 +100_8uX@@P04600000008W802000X00W8G028082W0GC000042G000H0G4004010W0G4WR9C +OyM300000O8001001G00000mqbyR0001WGnDeK83kzt00W8GZtRGcBCqWQB0001000WC9W1G +000010Gq3l100011040140500000K0OW1wD8IV300010180004W0044WiDD00WvxxwIqth10 +0200000202O0GG0e004XWMCOWDF6TxX3oPO7A3000200X0uoz4G040010000GW2102WtqDuG +Q30000200800O4GiK60aP10000I@C600100Y00mYQ6ySl12810EmtWS9OefA3Ix1ZWzJ8KU3 +G2W0G80000001Ie104W100E000100800c090m4G042a0G0eYa04YW10a82X88K1Y000GG40G +84000W0WY80YAI8YG800000eu50000W0ikk1jNQ00Y0012G4G40011000900G01GY02162W0 +0H6d0Y40WupVe_V3wOYXVsIm000000W81600282G000402Y210JG2002000HW0X80miG1000 +buoL301G00H40000002mW8441G4I00Ift000QoJPQ0000200GWNrR0024W3VD0YI900GW226 +1Gee04G29000100H41mpzIq@@6Hqd0008WLsC0W00m5S64_l10G80004020WWQt932@E1G20 +0420WIot0WG60Fmd08100G140jpQGu_FylR5BZRGL1X4xl10G20YlqWA@D8xV3W80003000G +400800WXmJOPV3G80m000X00010000AT1G09_p0808Xgzhu8MCECdX0pbOP@400A000C0W0Y +0000WWUdDOV@40810y@l10C28cmr0W2000004W004yMZ100oC6y_XgkOes336fVZxzPe5V3s +@yXFyDed3301IICof10085gwt0GG008Y0027s000028000wTA10WGGW80000W200000ai3Gf +zC0000faP30002XG80CxV9W400K1z61xBH5x60aG0008n200W0X0m2008g9100W400H80000 +Q1000G8W01000i0810OHCW400000z0000018Q3m040GA000mO8804_j1z@R0O1003WC8hoom +H@9aJf400I0gZdX0pVu@V30G1p0m21000000EB00000u6Y20000egP0qBn0eG01GEwGC21aT +W000aSM200m3f20gwWgUG0FK50Fv40UE000AII8V30uE2000LS200AY20Kcm18Lt1G7c1K00 +WAo_@XUlD00L1GirF4E630C008S1O0y3s301u70003000W00005006A0C0M1p100u200a400 +WG000W000ZA02040L0G0G000c300GA000eP0OMV300X088080H00Wa0000300040008080m0 +G001WHg064pk12020MfdXfnD02Y8mEAIq@F3K0mKW2000WD0upL300300G4W0WCG00tH4G00 +0cV0H0000OWZ0qEJ1eh50G@dnK000_nFA0000cn30_2000252001BO0tGu1p0m6s00000000 +60@060Ef9_v55iR4EecQ0OH10mC18iSOAs730W0WHZh20G0000Fs@diHb@F4I_3HiQGOzC00 +W0a00mGVt6KQk1NZpml@F0820OYs400098042OZLC01U04wk709000G0800404004W000019 +O0hNQ0400W1ZDeiU3MDAXukP0800mzwI00080G000000m_tJ00G0006802WW0HsA100W0000 +iPpQmxeO000WI000W040WKvJ84T30004K@T51tQ0400WQkDOqqDgkN5004pluV2W0000G000 +82akfFXquDe5v40800kGR200GWIip000a20G00G1W00G002002W00G04020KWG1802a4Cj10 +0G04C00y0b10001G04Gz@l14010ojt0X000dlOmQQ90G0W00G00004G0214dWR0014G00O00 +80G0101q7k19lR00e00W20004200A0000G0g2h400G08a00G010W0m10OW1MtcRW088055K0 +0e444ZK004a02100a002814G002WX8G800000001KW02W0202000W10K0Y8000001W200144 +002000Oz280G000WG10mwV9ahC30002000aKU33X4cm8o90008eCG6080KG8040928Wf0G0a +G50800W0W04A0804920117GOXA0WeO0580W4G00800088GA82G42000G0G0124cg10000906 +2000201K0005000m0000gi08080000WGWGGw@60G0WOI13MLt0G480002G0200yNh1Dpd000 +GOW0C0002010m000002903Gnh9KHV2008000G14Bk18W107Gt0002004208G0m0G08010008 +0WWkzD02GGW00G0201KPxRmc_60Y0008000Gv5WLrDeG86Awb1001W2024002Wq@l10008Ub +pWWzPesU6AZF100400400000820110W00041G0G00000m000GC2000080X0020004G40002G +000H400W0G0GR@CG00m000W80001000SA60W6vya6jJ8LwGc9t000010X84000eC0j10040W +W08Kdk14XG0DX008WC1014104100380YG00W00W4102W8E5300a0ysd100000mOaCX83bMl1 +0Y0WLu21000n6_6yZz3080K00GG0G00W0080WW0WY5C0400m@g602118j@40C20W8G000o00 +02600W80jAQ0eU7WtkJeo4XAbd10X000010YSn0X80c0084a1a0004YG000uXq6m881W0m0W +C90WL6D00200482WaJCeDV38I20qui1M7W00400W80WeXLUASc14100XNR0C0G0020004400 +50X8O4500Y4W0300X8p004G245C28eAAcW0G0800H46eCGA0040040G8G00504020H820nGL +8Y1004X0HY1030000mM008G000Y0010S2Z70We0INNYnPhuX63008000G2W88K41GK149810 +1LS4eeWGmX402GGYHG4050C5000KmS4iWPH2108800L5000K0GGKc1C1a0KX0Wg0G0C200L4 +H0WG0001000ij1m04004100W0001e62W00WpVVO6EF0082GAA100100WG0004088I800WP02 +410uJm40080G8000a020WW2002G00GW0000W14040000040GAG100ppR000W8G0008W0fgHy +afuh0042mT@6y1g1@@d0101100G8fzR00200O000002ZW00G1081wyV3I1m040049vR04E10 +00cKpnP0001W2zD000Ip9M60GG08y33g1E70020rYp0020000W080044G02Knl1rcP000001 +020@uRGkc6isj10012n80G0WG010W0009002W05X2O0W000mi10HmQ0100WXwmu@@4Mi@Xg@ +J00411000020040WW8GA00Cql1WK000OH08G00OO13000222000I04mda6GW80e1_4kut020 +08aK0I108GmG10G408040201020XFQWu1004000a001gIuasnhG400008I210042001hOd10 +88rg102GY2mg6040Yj002009100000WG0420W1Gg100maM0WWVuD0i0400G844500G015000 +0GB500000h00000Y2000c91I9000WI1020mQT6q0TBVzp002001008WW1000000mFm00kWYE +000S150xQR00i2GZ00HQiXEm02FR00ZM40wk0000M1e008Om1mg10WW30W00000O70_@F0S7 +HeGr@vEY0GY100Y000Y0000kRG08u0yJz900GN0000006O8FUCKLLHwF00OXU38S1G0W1y30 +0e3000K000X200JD0L0AWi0G0O000q10088000m00Wv703WA06000g000910084868O0i0K1 +K1X1e204WA00mO000m000W2V0000100I70200WEhzSIN@L0W1000083G10a@@PG10000s000 +_It0myp0WXE0Q9WWy240Y00GH50WoF000WL02G02M_1ig04u7m0O4w0GzPb0hO50yN0MzlVu +V0TDm00a800u@000W_C17dg@tWbEDe9CXw_t00F10@nUIQ_6KTD6PEdmXuF0X00W0000420W +dhPOKt4coF11000beRGle64cT2LlRWE0000400dfNHW0Cig_33hR000020400zvQGV@CadE3 +RiJIg@CCXU2H@d000DYe@DOwM9oBFXntD8nZ7oRFXW3g8HR300004qf1DTQmF@94wE35hpmi +x6qzE3bTR0en7WUv_vcK900010e080G02mjy6O0G2KW400001100000G100010000aucU300 +4X0GW8uQF6U3pW_pVmF2200W00GW0W@eRmH@9iNV29ZQGbz9a3d1F8pmTy6SNS2000K01210 +002I05080880408W28040e400We2G0X00G2100411210Ge0000W0GG0180001000G1000YQ0 +r04G20200040GWiQl1005000yV4Il15_dGHq9C5D3lC@008WWCpJ0000K70600080G400280 +0081G6Wa0GWC4G1W1G02W0G4043e18200WW002G20c05080H20202W0W000GW02IX801400u +Lr6avl1W0010802iUO2a00005fGaPe1RVzGFu9iAc1rMdGV_68m00eMo40041b@S2LrpGcy9 +051W0020400WqpaJ0048mL060000200G00080C000hmR00G0XIGJ8pV3000mYO10G0000800 +mByVuqw72kUZSfDeIV3002804000Y680G00YoxJedV3wc_12200W010G0H2GG0W8kVF00OVc +Ec1JTUoD_6800W00000808YG@JOfx702000204uzV3W00W0000WW0100104080008H0Mtt00 +200404001W0isV2W4H06@l2p254pYEp4y6q_l102G0MyA1000GG0WG00000410000020Y000 +064Y040cwM210007tb02W000008nTdmwxF000Wbw00GCJaqlz60080svc110059XdW00H400 +012040ckt0200449006ns00002020W6ApZSyD00GULnu9iL66F1BnvD9ioD3bnp080Ye1LD0 +W0G00c08G0G1Y000D10H00G0W0J000000H80Hm01eKWm00006gA730G40awk4FvR00K2000W +895GIx@60300000408Y0W0EJ0G80mUi6020000004049OYGaA400W0120Ga80GWO5806C000 +400A0000008W80uoV344000040G0H4b1100G010200W0008jWe400W0000WwOI0uZ8R00Y00 +2400W00001408000BBQmGX6008000I1000W800a0W0204000CIf1tTRGXt9000I00W0Ky@60 +0G08uvA020000ujTD@M000G100W00005e00nmmD000G00W200410000ewjF1000HJtR0801W +muD8gV6AytW8@DW0G0GX@6aBo6W5O0YoOc0hCuVT36vt0W100vWd0KG0YsdDe6U3000e0244 +y8R30H00002400H400O200040K24000G0qUl10C00swl2000qk2W0QD_ahTD000X00000GG0 +1@6PG706qYk1R7bGtmC4ql100A0W04G00W0020AGf5601100020000040eO059bG5YL00WD6 +400000GX9OUOY_AAltWHuDuhX4_XFX6yD0000YQ0008n208W000m0008oa080maG010000I0 +1000G80O0000a0000810W0000WfG_mFXrEt0Wl3mEqd044000042000H008G000OX0W01mGW +50820031WK0C00G2000WI00000WB0P80C300c2K000000U@300c9000GqgIbPoK6@30LcP6H +@m3YMr74zV08w3Fm3@1Wgg20@30qFzZ1000K3200000050A0yKSH@@RW00GS701e68B0KWE0 +00C00043A00C000S1m0u2a5e1WBG106W20015006008k0C0O0O0K0m0e00Gf@FaeU2008T3V +pZ@@P000i0W9000JGI0Y0c0qc91eD16GR0C2q4O4m4m889W00J0HJ00u@@4000S10000H41W +fW2G103WSm00000r000000@1000e3C00__10807W10W8kpT5C30A4mQ@bWxk3Gy@60EO0O2S +L0WWXBC02NOF0Fy6UO2_0y4yWX9O6cHm3mpm7WdPO60pmCW@6knUrC020G8@V3AyNY6yb000 +07V00Wq1b8z1agANY6yVG004mvz6yZV2dRdGas900WtVsVI_rFXf8OO_V3UyEXnpDeYV62ij +Y4_D01004000000GGrzdmE@9iok40pL0oJ3ZerJOt@7c2FXatOOKt4ot4ZgoPedy7_SdXKqJ +eet4000G7S59v6LHf_O08W0M00B0W1G10WW08400W01gS@f1G110gxt00000008G0100200a +020GOeUIyol1LsR0200000qWz7uHk@FCci1bRRmx@FSgb1000G4001CTl10009000000W6X8 +0G0f21401013lR00200W0a00200G0W04VA3zlRGtkF04000OL3Gi6OyCSB0204W000800002 +m82O2W4003060008m800004m8SCC030226240800Elt0000mW02801000O008WG3AxtWG@De +rV60X0020003d00GjsCiiT27_MHa2CK@S2Pnd001GWr@D010Amzv60G00G000uOg60G40eXV +3oHs0100000114004MwT5Jrb0000000bIvHZ42e0000000W040008CRk100GX80022m8420H +0088W0GW000G00Jcr00m00H07ox@90WJ1eJOI82004wlA02886No0080cG00G04G00004000 +G1124WRvJ000Gmao9aKc700Wfn100qUCFXeB100300040G40G0082KXl180000120asl1Y01 +00000G0H0O2@4sxr000m4tgZ100viHczuhU3MhfYlsz01WavH@60W00Gc00mEoIqXl1@QRGY +@6iwE60lU0Un4cBvz00204002021W8W0HW82nAO041a01Ce8003880eaW008GHA2010004G4 +60W02000G00000Y0CPE3HrB1000Qh1001sx1Y0WaDVQ101222m20Y00G410040m0W4GGceW0 +0C800H8G0W000010Yayl1000G00H00020W0W00H000000YlFaGe_F00ekCKTI0090bmUB281 +0Ibr0210300I04204000GODz78040i_V20G0ec_V3WX20BX7IaXp4Yl18K0Ck4tW2_J8uV30 +1000H009PdJ000mh40082yGACaa0Xm000AGcy6SF@30G006rtWTkD00000100ee@h00GOGer +mSLoChpRmXt9ygL20008004GW401O5VFopsWa2Mg4C3m004ath10f6G00Jz040000C5pF@C0 +008Y440mMV90083ePBC000WhR00OtQIsh9Xdx313004Z0K00m0GCQWg003C0WL30W20B0kxk +UxD0y@@7zr70Tm00m7000000ew@0000C0eAGL@300XbZ100Olz@z000O00000341W_000wfM +508o0W0m00107030006000p10W4uC001W1020YqzD0000Y1000183GA02080L000808hLC0J +U0K4U8000HIAT60P400006m00RG10500004i0C00eA00e36cy@10ewE00004nC0Ey@6U500A +3dg2bPu5D9g0GXgt81CJ3meRX00000070m5jmqxz3RkQGpEg0040eqS3000mH2008BS9cBFX +6hPupS6Q4lY0_n8wy4AnEXA0K8fjDAyF1mVD0jWrII1aaFE3XsdmtVIiTk77Vd0000MM000@ +@B1120WzsV8zz7wut30W0200001022G24040480840GG00C00G01008SMR2G0106SpWLbPuV +qA004C_YgA7M@m3zC800W82@4000WCKV20800gKa104204041A0kYL5t0yf0mzxIaal10800 +4G00yWl13wRmNq6ClE60W00oStWm2C0W000044020WW40G101WGKvl184W80H00SJh1TtP00 +00G0W00tzBHex9000WWB00GbyFS3t30G00Es9XMwDuII90G000200y@@4IOt00401JbRGzv6 +0080ioV6WG00ax56Rw@000VvPwt8dU9sgdXnIJOw@4I@tWzGJutV600WW000Wej63MI36GRB +07StIS@6G000PxD3k6NY3tD0000vY@602000G80W800WUxD8gwAQIq300muq000_@l500K0@ +xdGq@6SnD3DzRGC86yul1000108000840021WI0n9SKUB00o4EDV680W0G001ZwtWcvDOh_4 +EO4300028004Y9t6mX105yC30000800GfyK100YX58I08000H0004W000G04GWA4W411uaS6 +oK@4000aQ000sXl500Y80G0W0a0124H0W000000WWC2Cu@@48080100O01020280WvrC0G0G +409040420200041H0yZ7C00s4Ip0620000G0102040000204G200GaSvP8tU38880G000OOD +3_1BXtxD85W4UpN50M20xrRZW00W@wDe4u70080E@X1TERGo@60080ulE32zV6000um600As +tcR_t8eyA_O4cu@J00mNq@@jy_89b6@GFq9aKGE0lO0EN7cmxz0045200a0E0G4Xlp0021Wp +HDeOvP0000hL00OS4LU1uY@_P00PEj3mJIPOMc00WXDyV00000Wo0OEH1uEt1WXS0G0003Ta +zl4hmPGgvRu900O5QOK0008002M004C000uRgb0884g10843e300G6GB_E100O00GW0W100G +600uMy7I0F400iRVb33020206040408cCsWfnV000mC0m3F0000O600c@F10WA0whF0gJcan +@h00@0mCST9080exU3Ag@XOmVOw@D0000pN00eCSF0001a7f1zUs200001W0008008000yKU +28002A6@41W0012O0000000hBNcZnWy6yAZ1RSpGU094Fk1FfpGNuFqsI2LqR0G00XyBF100 +40100018009sR00KLWNPnu_RC4W004g53rwv1221W3rPe606Ajz400GeY400Izt300W05kdG +wdgyCM2n_dGl@6ivj1bwdmWzCy2C600UBlg9aZ_D000800W0W30600G00010mP9Dukw4014G +yhk4004GgedX_qPW000mw_Cabk7scO0krVcjsP0040GUxCSxF3RmPmj@6q1G2ndnmbtFiyV5 +000Vv000Cs3C00G0d7d11004ruBHZ@64dl4PFins@O008vAxXMMrtWj_De4J3EstW20POYpk +Q6miC3OEcX@Vfu@ME_Vb4iJzd100477pXnPzCa2m3HqbJI1o100WO9P6w0mXtdN2OL3GCSya +Ck7ZWLnIOLC7s60000RVdXK1uvpw4YXlYK0e8AWG28DXSuV8L0UQht0I42YG000000821000 +008XG00WK0e8A0FYK0ZK1u9a062Gw@@dW@5x7MosWK0e8AWG0000000Wo300mysL4DGEon0W +01OS20002480000876W000ZpSI1WYe0suwU6000G7VV20220I58dG2O8Di@FJx@ou_VCl@ZK +l500bJ9jhoKkXS2xL0oP0g6Ge2hp9Wn@@dy@0IhWz00GBd@lIw@vN@JriC00108LQ3I1WYe0 +ge8V600040000qt00GJiyVhdG@QR0OZ3WLpfgIV6AvcXK0e8iSFW00004N0OUTgG200q1W3f +0GHK0LK9W4002mpXdA0880T0uGA0K4506L281m00WQb@VMw@Zr_@Nn@lLz@NbNE00O000105 +000004W00000030200O0f0GHK0I0m008UP6I181GEF0xux402a0WG48240002HYW000GW482 +209mK0e8A090IW0y@l1004Yg4mWK0C0a60mW@E10892019Y400004aW000009H220HI850AY +2G2Ya00@@R0008eA1C8503090vW00m18S200007S0000872m10WWpS8850AY2W4Wm90@@@@@ +@@@@@@@@@@Qt@dM_@dj@VPz@Fs@@@@@@xy@tk@@@@@@@@@@@@@vo@@j_@@@@@Vu@@@@S2s10 +u@@400W0y@@@@@d300Tm@@J0000KUu@Vd_@rn@@S_@@@@@@@@@@@@@@@@@@@@hx@Nyl12000 +w0mXX@910WnJUKCq8W1z1eIe_CW0008fWh0T_0KTW495CJi1s4Qs9A6000000000Wu@@C100 +oLzW4@@V8400W@@D00E1mA0IiPn3LJGo@@Q10003B00Gx59SNW1h44u@@60010u@V300OGK2 +N85G48O3100G48G820I0y11120W000000GqPG2@@Z70002y1W0hUO000Ga@@J00W0Gu34_@l +100ua9000G000OHZ4Er810402@@V88W5W@@n000Xm@@4200Ws804041G000000004hq81011 +0nAg800k520008184H20000009Vd400640W09H000GQ642Es08daD2fuG01000005_@dJ000 +jpuaGIgC00208xZ7_@VFG8900020cQvXAID00W8q@@@@@FC00mh90000W2su@V60OZDa2m3@ +@l7004WLPCuvA6I1GYmUs3WL18Lp0000mC@@pW10CJg000@@@@@@aaAJ2Wb80_@@@fC42000 +000bW@@tB4w40020W000u7w44000q5e10080K00008y3O4736aE100G00808400000W0000G +W00000100@vnp3LF0000SiG30021i@c1BWR0020004G0A000000800D0000000GW08W0W000 +GHD20KCe1G000000Sa7W1h1aGDS25Ka400802Lp04G20LLn010004GG000000030G0400000 +012G04440006Im0080G00uXD30G100000G12WGFY9qSN2hSTr_R9azW1RmP0008aUmJW0000 +eH6WfmDOBS3Aao0000mPFa00000W0G0@@Jb020WawC000G40000041G00000W100H0000W00 +000H00600000000G190208002082000020O000000208900WG4010G0100TKOmva904Y085G +a00G09IY0080410GE5000001044104y@l10G40000H2000w1E30GeA92000A0000020000a0 +042000m00I0Y000W0010PA2004010W80G0G08tU3000YySFI400000022G0YS0i480H0001W +4O0O04491I0020004Art0WS100G01W008004O1W000G0402G000100WG008040eiJ60001z@ +VK0002W0100000044120100010GG304W0C0jKe144000G80000WZ2Y0oUf6G40001000G200 +0W006100_4r00Ga80010gJee@@V00210c10GA8208HLQ0K4a000eGDGW20084a24neA2Y08I +480H85n0K2A000005k410GWXG00HYYWmY8022Y84G4905d8040i0GG0q4G00Kg9000002020 +410001002W04HGN81WW0090050AA20400420g0820G0170E46W828021G9a8O2002000800H +0000HD21A010G0I00180WOGW41200Y8048K0g0G2CH1W804e00G4W800YyLOugqYEdqWQ4J8 +WI300KCSFv300G000002B00Ou33_@@10880f0mmG0IiQaGpic00004001000Ge0G20acB3G0 +00O400008i30G000I0W@@JGC00mgc6GA0Ww@@k800W0000G030040200200040400800GH80 +00eu@@F0Wo00040A000KW1000WG0gz91I0G002W0Y4mW@@DG0064WO0004000002R669W20G +W00GG0A800W82H8H010040040zTQ0O00W@@JmR10400e000W00W40000064i10088000002W +G0004n@@90480u@@bobnWJZJ001W00001G200l@nm@@600er0800mE4F00001000HjY9y@l1 +00I0_@t9J10WI1mD900PwWF_W3Cq10LK000O70ui00eg7WXCFmf2WW7c1GTS4Ww6000ls60m +3L1WVOWFdS24zG4kf00jI80Qd80qaJ0eT4v300m976g500L000G0K1m001020300WA000G10 +00L000C0g0G0O0W0W000W1000G000mC0000G000e2W0W145020ZO0C0000e00085W1W08A0M +fn000800082EQWX@@@10H08tH0000m7KD30002LP60VGL06eQ0e2G0uXK1000mAXG50000ND +00p40004M0000000YH00EK64J0Lcv10Ur00Cpf00gf00071KPg20EA0ND0WEw80TE100mK00 +00Gy@@o1Ce18WM9_@lH000KU600AfKY9T9v@@q00iBMWc4@@390f2Wlss8IXesPF100G42W0 +0_Ms08G00W0000400qXg1tbRGAg9000WqE100000000H00W00010G00W0200000208000G08 +00Nxs00W00G0002JvXsrd128000W0X@@D000Y410000W01ppP0410004008800000WW000I0 +4000004042K200000ilDwZ10418Imt0802005810HG00WGW2G1040040W0GG08e004G0Kal1 +0004sIs00800Htt200W04000Htd04WO00000a0010G00000910000200G200000080004000 +O00G00AWH00000Wv14swr0802000G0010a060W00142200040A6W0m0000G00120010000HW +9lP0100Gi_Cavy930b0000O3000h_RG0G604000010200000600W0W0Q_d1R300040000m20 +0108pV300GG000W2000weS90G08a0G0H5@2Lfj1G0400000Y21000004Aa0WI6C0000009OY +prI87U304000040000tF0000G00Y80H00G00qVi100001W040011elo4W020amV2G00000W8 +iol1pyR0Y00W0QQ1Y0W0C10WJbOu7Q3_dn00820005H8I000G00O@834EU000040GY00G00W +omDG400000G000G00W8WO018I4W8002WoKx9SVl180000K21KXb171g200W0X0000140gVt0 +0G0Y04000030082000821Y00mXeD8R930001080400002000Es32WY100MCmWA3Cu@V3010G +WW0WG02W8a0W8G200nx@00G400080W00W0G0Wi7n3dn6240G00200W0040Y8000XG0ao000G +W80A417MRm_x9G000OiE3000v2G080G0000082000YvhR00Y80002GG010G0GGCSk10Gm0E7 +c100WCPyd0000XMIyu@V6G5o000G008082W029008WG4c8C30G9A080Wo80W0X8WC10000H0 +0041W0n0041W0W00220001000Hw80449000D2018218AYVxR0H811KG80K4ZX04AYGae8Xo0 +G3C40Y@@D000K400000G4H0e0W0W400W8G080Ye800W46OuGJF0G982X8m004AA4GG480002 +W11482580imWYO4804100004G4W8820H0W0e2286Y80O0000GwJ608Ke0XH04X800W000882 +01r@RWGA0841G58C24HG008g08OTJ3_@t00009Y00W011008C0y943k@i52800XVRGpe9K_6 +30G00EMt0Y0002200GG80000wE@V3aW00yDc4ViR0e0GW05zuCkM000W04W0eL03_@d1b000 +4100UqtWSrD0004uw@60kq1G2000000gQmOuX43W0KWiQd10010kYl24000rfsoix60G0O00 +4GWa06000000081got000040WG000110G000GGAm4uC000WLR0W0O0W002G0XDp0100CG01X +0G01Anm00001XsB1008008844002MgK50O000002e0001WW00400mlx6W0000ie0Gvo6SMk1 +GG0B000802000G004104000Qc0481wjp000H40000008H8800002000aa4Y01000180G000K +02u@V9We09002e00008800W1qR1H1Wqaw6qfk10G00000W00W0OwK3kKN20l20Y004002000 +0a8mK6kEoWxuD8G036KkY0vau@@D00058200G0A8oF890m6R00lW2v5G5w21WEc00b900000 +0GK10WL3GK20mDA0G0000000007e30ey300OWoyCq1O050xwV000000Wg0iHD00WI16LT00J +nXRA0WtK00T4W@@D00PU000m0tK0WUU00z100K17C0001e20003000e000010006020C0C0G +1O000Y20004000G000840105W8000C0uiJ300qG2000K000A1m001e200020kyqWK0C06060 +L0eW01Iu@V30O000W0meNS3_Yp04W00vaUYT4100WmL60mgC3WTZ10LL60Ef9SPL1yQ1Gug1 +08J800iEPIT3SoXg000GWSY0eJ50GT300WXv80u7O0GLpmk00WFYO40000we30DQD0QtC0qX +gK3Lpu4RCoL60GuAEq00000000Df1000WlW2W00000O00UO600GDS5000Wf0eBQXQpg20008 +9@nGZiLiKY1dC3JOodW000u_vAo2tWafD00mlGs@Fy0Y15KR0004WVbD0W00uCPOq5z6zb3p +YUO06L0OVWGUZscPi99IdD0810K_l1000uj600inE3HYRmt_6ipk1O10000G0CRt3tSNn7sO +STz3R_R000800500BQR02200L0a00000008010C0AJy70004_5d1440000010W20eJS300W1 +0O40001Gmpi6qBB300W0Qfs00008zI@Gbs6KBU29URGGv6002W060K040004G4000Y0wkn00 +0W80XWWG0000H20400001KM2000X000eGG000m000180mij60IbH00410200204010081004 +08002004K412W000502G080W00042WW08400G0110004G00G0I000A2m040002081411G00G +0G000040G00GG5H9yPE3XaR000G00KW000W0W8000O2000000608000140GG1000A00i0W00 +002218004W00G0cy910008000O41100H0G0W2201200O0G0FfRW02420430W0W1C08020000 +2G40080048W0rjR0E0000G01210080C0G10800020200WTnDu@yA0002000G89C3oOd1W000 +XsO02090202000XW100W0X002G88000080W01@@R000zh@7OG02020800200088W2capWjfD +0G08m@@6CBj100WI002000002020Izx900200300GZy9i6u3XqRmEL60WW000GW80Y08a14G +BhP00140082Y000W0W8W0400680000005G0000G0001000Ua000H00a09YV8COdD32dn0041 +0G40G00W04md1G0G0AFtWnYJ000W80Y002000W00W50882010G0820c0200G40@tRGanF000 +04HY00W0a100G0000614400000W000400G402004110_@tW3rJG0000001000GCy4000008G +40c0YGW8040900a8C10Y01G1824000920W04aZqDG200010aWYvC00G0OPk602080022GSH6 +0H100200vg_90H018YS3IDW10W0GzEp04G001W0WG420gut000a404GAG0010102gzU32Pt0 +00ob080KYvr01000W00W0000202000064W00100026044MZsWi_D00800082000GGG421G00 +08204100O2WG0110000YHWoytW4EPud@40800G00016000080001WG4004EytWLzC004O300 +000WW0410200020100W0800102WV8D0ueZGQz600W200e00G00WW8DOUH3IstWq5COTM3000 +PMTf1G000XW90KwW14202EkF100I00G000482DNc10G00G400iNW1002500Y0W004I00G2O8 +A2im8Y001412GA2024n0p0W84128I404H4G0IG401HKW04W010000106000unVWOy@l1Y116 +4me0H6W8290AA60088814004HGG1534G020004A8W8W4W800G00mCY0000m4Y0CX041G8210 +CG00GKZ00bG01ZI5eW0051WY40020404W0000HW0Is69qvj100Kc100m0L414I8WW840GW82 +WeWe0n8G51a805028Y01G0H4C6G0YAGOG0200248G020YG0m00000al000iA28K5YL90H04G +0KO008Y05G0m0u2eI00me9008G1018W00H21804020W00W088G04H00gA04O01010KH40e2I +4G5201HW02K102AGWX1uM6CsZ_10C500040_QCXAmD8lV3G880y3U2DtR08B00000W04W080 +00S1d1r@R000080a0KXaO0160WIyD8Sy4w5tWRlJeaS3000Qy3T2TbcmIxLKOk18000cLEX7 +UC000W000WYBJV00004E1m00G00RMQ0eG00W41000000m20KyO2G04G61rW_@P000C0000H8 +0000000150Wy@l19TRGcgLyLg11jb00e1WAtD02000MG2mC@D044010000W84001W0e80000 +GCOuV300e61GG000e0A0W0400W00401kVt0I8Gm000a00m00020410000O0ezcO0001W001G +6204003I004CczP2xYR0G00WhtDuvT3g@s0G0W0G00000900080H0010040G00000G840000 +28014000WG001Y00C0X0WK0201K81O9V60ts0G40008240X300a1e1W00000040G080b04G0 +O600304G40X000n3xDeoU3oip0b8PW0G00K049124G20G10200800h09tR040000W02jAwHL +@6000K86E3w_a10W42zVd00006P2G90000m002000G01000Y00f@oD80t40004LAt3PpP010 +00W0G0NvQGdhC020Ta30YA0W600yrVE0000mTp0mN1u@V30WeZ000A00C3WL70GT82WUf00T +j0tvb2QI2PidG0a8F0GXs0m3b1081fJ000ND040000yH40yH000qX020pN00000uk24000EG +540W0E0W0U0WlK00t92LX26_q008Y200WQ00W000000Gx410oKbEqn8Jf60xG70Eg10y3O00 +W6PUz90S09Z_22WmK50G5WANC04000H08W8zJ0W000000XmsC8yx44000A0C0C6E6I1m0O04 +1m0W0G400aml1062000W100H706WA0T08WOvC8Cy40Y0H1W0499M3Mcs01W0W2020I5WX0vC +WA04Gsu9y@l4g2Gz90000WPEK60007c260Ud80qH10Oc70m9dmf5sWDs04Ai108c00O2H00m +C0qv1y40RWRG00ZJ9R0000I2s10Cq10jQ0COJ1_G47SZ20y650OcW2mKb20L0Yl08yj4000Y +0mFC00000voy000m4cg60n4200mP0qB50e7H0AZEoL6OYZS4W5OA0diRVRUKMYEbJ000hSUQ +Bb9zCT@d0100YFmD0004HgSF02Z1e_i4A3dXfeCuhwGsZsWWWCOTyPcUZ4000m_2006_dd1a +dv5xA00204oj100024101ysD300W96Ws000G0jcd008000002ZxuH3uFS8T2ZVd0008WbvD8 +3L64010yxk120W0Ius00G100G00W00020828EC30G0W00a0G040nc@6G10000Q30000002WG +200WYhtW7hD0G40GYW90W0YG000QTq902W0eHS305e020GLey_4cRd181007kOmvy6080O40 +00402080G00OWWY0001080G200C4WW08I0G18WWW8K00000A001480000WG012A0e0G04006 +400800000EQ4080g8084G01Y0000143020001H08gW0GGGG17WWY881e802081G8000080I0 +8004eKE303IXypW1zqb002X014408O04YJs000006000xEm0000W0e40wxt080GX20000W20 +206000W2000SG0CG10OGC810G8W02W0e000003W00h0030omp02m0000qk80W0I0820n0202 +0402G1000000W01800401W80004GJ0Y0W00E08300000mW0800000A0180008Ge0000I1EsR +3gas0K008a000IDAXm7b040GmrR6e200000W00100508GFXRG4v9W0040004W00G00HW45sa +0ex4000060020obp0W0W00G2G00W4G440aWm01W20W2kC0400yJy643d12040IImWQoDu@V3 +W0100W0Ku@@AWO000WG00I001804YJ@P00W0Gvr60G0WuNQ300G00aCW00000H4120000040 +8000G1200I0o020CB0000040200180001100W40000041m880n0G0Y40W0m004000n0G0O60 +Ga000W80004140O2mnt6iMY10W80AotW@@P00410020WfBD00G202I80C40GIa0Wost00Y00 +O40000000H0I000180100O0414I000000MUe1xvR00Y5axJJ0aY02W00000GcG000cAt0000 +G00m0001002W000400C00G00X8@@R0H0G080W0000W3Kq0P2108220QtqWarP8Nx4a2O001G +0CsD3000c0I0W000auKd68W100G0012400W410004008100W00KW00W041Wz_D0qNW00WW00 +41000400G02Ga010W0000200O0W0020K0WG0Crk1hrRm0Z68801OaR340W0004Y0008WGG0W +@@D0222GCtI000eu_V3WW80100GG00W00000e040rad0O080Wa00G00G0100I000000a0000 +GY000PoR0000Er340XbomrS9G04GOiz48108qFj1000000HHq8W101W0GW830080G006000O +Ga10080000W01q7S2DEd008I4A00o6OGWH0MGG82G40i02Y0W2W210G900820120586020XW +GG5b02g026C030W0HHG48b0000W814X8080000m0X182a80We00K083G5G0028280W4120m0 +2100O60nK1YWeHG43eG4W0m040Y2112p00H8YOc0G0YI6G0G0582CA0H4H066H4WCZKY0020 +0448HazFG4000G0000m0053080Q0K9m0H8GYg00040H30000050004X8141a0YG4i88O04G0 +IWY0W84WK148G080WgAIHy60G000mq3C0003010n20414182Jae000C7000405K43A5W900C +41H000404WAG0805088000GK4H8084101W00GaA04W80We80W0G8020G01E3Gg200422rZM1 +fW000W20jWd01000800K@wR0008X5nD0008e44800a0W7V@0000G635000010G2081000008 +5eW800080W200A2NYwuCOdo4o@s0000GbaR002Gg@MbOxV3000W08Y2PkS60000100e1000M +E@9040000300G42WIOb00mR1060WHlP00800W000c000O4A0gIF100037vRGWS6KPF30890_ +@t08020Y000Y_EXTMI00G00W30001400A8800X00408OHv4G0X01C02000Gm0_9ane108200 +000041WuTV30@W010J0100W8800G00444K4000W4I400W8W0W040100000203g_b1G440J3d +010000W3080A000080G1W0W32104WazpJuEJ300000520G0W200000W8W40004W4n00000a0 +000GW08W0080010000m0000500A00X0qjUJeWT3W0000000rG1G400HO0000Y0100004010W +G2a080000WG0Y0G00W400qcd100W00K4000082400000Ob9wD00m02000490108080W0H200 +201Ia4HEuF0000000GF00GWotDugV30004q_M2DWmmu6C43N2002ho281Z000000O5000WKK +Wy0t7sRoW9@DuZG3YJtWovOOd63QS9100e60000kbs00m404150Dw808JLUs01Kl20y650O_ +60mT82000WhGWA00040G50GF10iRH102g0mCL1000WPU010000c3A0XF000wb1000000r130 +Sj0YF2KCp30Y1Y00690e9d0GlKmRmKZNLW0GPA0MH80UDe04V80G1YOkCT0Km0X7c1WtH40T +N00gw10Sr0Sw76uZ8WmL308H500L000@@R0G40WGLJ0000a200G1O6W28A0YXB100K100G0G +5W101028xD60O0g4373000On60008200W1G4YB030O0N00Wn000WbAW12WA05060aD730041 +W1W1G4028WE60K1O0W0Y2060HU06y@l4000W0GK1008Z0JVW10OI5V15WEv40Te000G70qv1 +ee9duBASm6s0Gc04WPg30VH10c3K6m44C7K00M8U@t000qjG0WY40Wv05L000l82C0000m0H +005G00M80Gp50S00W1kA2pK50Sb00j3K02V40000Cd3L100W0HU0mJe0Wk320tH40K12u600 +8Y2W0000We24fG2Zmro@zU00i18ymGEsEdTsDutv7I5FXxsD04000080W6yb0001qG_6000W +tD00mtvIiSf10001U3EXe1g8oQ90048qtTENzZ100sqCzSwCX7YKO32000W0020040qpc1zm +@0000YahC00000GI6Wtuh0200W04008011vuoG3caW08W8wX70W00000WeHz40H04q7g1W02 +0002080A80040X800G10G0200W0GG000800G0Gmky60021000091000000W0W00Vxq00100W +020W400000G1008400L000WG8C04waF100402W0001002W00Os13kFDXjyDu8U60024W0020 +80G02080000G0W0000040020G08W808010G01G01W8ee8000G090W0W0684K022f00H0GC90 +18X80W000W4000000q240G110140H4480AI8GWGG004040E80BO8G800224X050KG0440W00 +0Wa0A0G4400W0K11000e02WW0101000835b2YW8W0204900K00000a800011880WS@J0184H +pH602000WG0040000482K0G2GW84Y121G01m01G400900W0m00H102B43G04mW00A2G8X060 +3000100W0X000008_W0GG10W0084050I301Y0m0080KGGG05080A4240G00G04G000WW180G +04G901W0000884080050K0O80300Y01150G08WW400HZT6W20W8EJ90WW000408nN9Eqt00C +370G00C0W000000008400MWf@D00OGGsf90R000004W0O02W000hrQ000W4X02C00000G0u8 +0Y5W0W8C200W57C00002G0200800b@R000G1We08G0000G04aik15z_002000Y0000W0W00G +000eeWz4ops00008G0001W00201IObE3_uE1e00YhtR0010000VI1uR00300GG4W00081820 +itZ1JQR02001040800001400040000G4082000G4400G002G00140G008Il_60100eyO9Map +04080jLRmvr900001W000G000Y0G0080011000W830W4100400e8e18040aX00qyV22KR0_P +m0010G000W0G030801u1R302800G0000e8080880a0020000W0088W4eJ830002W80408p04 +20G0240X000H8210020Wekf4a400KcQ27iRmk@9Ctl100H2000800G09a@4000GGaGG0Y000 +200WyxD00001000sdsCWH800400WGFC020200W08040W000KW94000C00004100108WG8002 +2W002W8pG0000W8020000WFwR0O008000020W8EcvXVuD8fP60020Keh1GW80000400W20Y0 +00600040200014100Wa75309680W0000WR30044A000040G0WW06mo0000WxQQ0W0G00W000 +A0282H0800aeHG300010004uKV3c_t00G4002WC1G0G000GK2G0G5fC01002000012G00W10 +0010480000004012800030X0G0G01WZ0W00I4X040440G4ueg0W00K8IK0W401W80000802Y +G2GW0000Eo2W4a112820L00KAA4W4HWGG0120W01IH840M3088EA6WGXP0000G42O504Y404 +068oH4000YW8020a8g0W02180WG2W108Im110WJGnI0GC0KWG10W@@DG900000GO000700WW +08G000O00W000008G040048000003I02u08WG0WOK8048G2b00L5000000GL048269Ge8IWA +YW1000020820000a011048008Y88G21000I00K20401X0081W0G800uW410020C0K3Hg92e0 +052XWGW01a040Oo4HGY804000YG2OaXC3I0Ga020IW4XH000G000K582AOcX9mD000800W81 +4000W2100000G0eG00020040204W0Xjb0eA0G0800aA00U6N200Pq0G400G0000100W0000a +2A0a00foR0I00Wn@DWK20o6R6qDV2BaR000A10800ZHb0000AW000ZwdmMy9000800W00W00 +2000020A0420WqEl101000210yPk1G040cus04420VFpGW@60QU1010002W20W424Lad000W +cdyJ00002G40WxKIeJT6e000qhU2000eoMlYR_DG0000002uuzJ0Y000404WMBC08G14000I +G0Y60Z0A44002400000G08000C000VzR0000UA308HWd0808G2026041HQyt0008BW000008 +1020CG0G00021000GW0GCW000204104A21Gxf60000W882084WWPsPej_402004Pk10020si +t0008800G04P0Wkzk10050W8208108GG2GX009WWPD8zU30400HO5000WlF009208Y56000G +24100W00824mwx90001000801IZ0W049040WG090iQk10000008400204001W808XJXJ00G2 +02K4WSta00a912000Y00GrsR08B1040038300W00WSml1000O50030fKeEvfA0_I0aGk100W +KYXB1e0009vp0o200000A0040AYFXmnJ0800nf_9qHt3EF00000G7uZ4FyR000u30AA00y33 +y@g1We72mD5088000Hj100i10zgA0eQL_n30ygN10802eA0008ql80wmC000We0z500Jb2tW +0mE00WfQ60pq10000U001000AG1H0W2Y0W840W7c10FK5d200UOc30000WXE0ag30eFm0GPg +oys9YgCZ8@0607GD0kJLp9800000K1m@@600H600020P04011m082008300G4000010003G4 +0LGK0m0g000P10087000A100G1O6WPlPGsu6000Zki534064h0H0G1S100K1WSnD00020C0C +080W1K100oYpWmnP8cS64060f0C0G0K1GOuC0Wh50000W260mUfJ0c0008nuVV00mPud000G +000W14H1H00HCKVh100IC00000WCLn3L1WPU0md@D0efK00tK00000k100sQs000000C20jQ +00wy0_GN8uC141010W24103e0WDg10pq1VP22gw110m90e_20O_r0mCL1WPgYfm21S3XAW52 +00rC00J008jUFc_U6h300bXWHdrvS2l1JbdGQP6q4N504106aFXSlPuwS600aSNJS2jQVo9U +I4d_31TN10G0W9pD87SF28wXc@D0Sb1mwu2LhN5zjdm4b9qjd40041QUtWU9Pebz7000WJA0 +08LW7Ur_Xvun82S6sat30WW0d_R0808WwaCONS6004G00W00000wwg6G1W00G00OL@60G8W0 +W00000v_W@D00G40002mNdD0WG0GEK6000aeWB30001000W0W820000mI1C0WA00000000Q0 +9ZdG9w9qCV200e0omtWjXD000100008000834c0K080b040F5R0000800L00100404000014 +4W00W00XBzD0Gv08000010080020420G06828iJ301110068G80010W090100002W04WG000 +WeFF3044020e08py4MTt0a0H0W280EcbXq_JeNF300W08000g5N301000008600G1000cntJ +0000100W4004000AG0100202040K00000UP34000010040200e1W003W006001W00OGWW00G +00e04000Oe1W@@D0CG0nVW6040040010W100004CVTa0000c6uDOv@40000Y000W000mFy6S +6l10400kDb1440WZRR0000O020e400WC0005@W1ved000yg@@D8CR30400yMV200m0W002Cf +a100WG08W00a000GW0IMl60m10eyV68100004020W2ODZEr9WJv4mPS2@@6n@jPy@Q8@dco@ +dnyVPE@VsiGTxLnB@9KYj4000C000GgT00ONROAOBathJ0010G5uCq@C3bdpm4tC80000000 +4208WVJJ00GNLmo6SjD6l_dm4cCSdj4bdDJpvRSSU29VR0G@5WfqFv6TF2TJYEuIehS6W400 +a3d44400VwFXBqJ8IE3000Y000WtX000020Wponunx7sks00G00NixnWt6iGc1pldGgQ6Kml +1FJR00400020G000G0838002W0H00020W00004040GW0400m1800A00W000007P008W02000 +0W1yV030Y40qCS2250YX4000029000WWW000Wg000X0G2vo080G0022080H0Aq000WW2G@n6 +08000101IHzF088208W4000000WG0XUR00880000808000K0000G4200000120448G008WKY +Gr00CG0080e004000400W00C0000UP2H0X80G000540102fG50C0W000G4g0W040G0G400G0 +4GWA5002400XWKiu6G00WeR0304201W10PTv40W8080000008WG00WywJuEV3000G0G2000m +0GMi6000002420000020W480G00SW0048220080X10008G06aK0G300WaGW8WV34000000W4 +v4G2Q002000Ce88888D20AGm0008YA0W00100G160C4H0eY1WW000W2610000002a1028000 +44W800W101228We00X0004m0000m008W00200mWC0400sQF10000802W8G00qS@3N7Omz@90 +02G40100I10G0I80G000810000201W28806000L00000P010088000050mjx64cl101W0020 +G0100K08000401100W04000y0000000W0040000108004040100M8h1phdmw@9a4v3H@RW0W +0WgsJ00C0mcy64oh1W80nO020Swl1082WRzt0W8c000000lAGK9e1WC2Y100J0pH00000044 +2000O00001080001180800000G20140G2441I000H00W00aGf_9G008unF30mW00HH000800 +008WyUJ8zVF080000m0uTU3G600KDh1VvR08000004W000W000XIM02048A04000H40b0000 +0e0m011000021G8060820W040860400W01000104010000Y0040W8820GG0WY0000021010x +@R00m8WvOJG001mtcI4tl1fKM1002WGYD0W0W1GG018a1Y2080_pr0400000cO0009CF9300 +0800G02G400G0a00Z8Z6WDW008W00010100YW0000GH0401O0S3gkE11W804000000Hyck1m +000kD@XBaJ0G00mtt6a4U2G1000030iRl1002004G00000m0180E0102W00G00002580809G +000X400WllD0200240002O0040200001G400009084010104000400808MhY100W801A1040 +0X800nFx6yIk1Y0010064byi1phamUz6000G0200oJq9q7i1004cE9t0Y002Y0810A02G30g +GGOC4WAK4022645W80000IAL50I0GW9860LH0I41H80280W4000KG1Y2n02G45G40eO40AWW +0IB015048GG30008860Y419p004200Y0000I84WK1080W0aYYW0W00G20240G4O400vcRW00 +0nHxJu8U3Urt00W00G8G0000010066000W014000K1q1q0DZ02X00O0HW0220WGW0X00AY20 +081G1W000Gr000XGJX000OK04ZW0WWX6421Z14BS08K084eeI8G5HH224c024W0W0GWg1H86 +0WD3710H410Y041X18282IW6420G1WYW4000804X18GH0GWC000fG100W0008DK3QtNYVkD0 +0000e0C0041000A80G20Ssj1010e02000800eCP305K0q9l10fUf80014zO200A010020018 +00A9800HWEIP0001000819050J3m0100WTZV00W00008WowJefM60004TmF300B0cpt04100 +00W1gLE10050JmO002000W8000080E888140f1@40080001W2810020102020l_d03000520 +044A0Yoz100WXt@@Wd088O20C45000GO0ziF302000G40aFg1Rxd01400G0H84001001W100 +0020820G002W00000G1011SVg100a510W00W0G2400100W06GW0W000c9t004005XRGh1600 +4K01000X004Y020GGW840W0W020OC136W9Xr@D01G0WI280Y000dxR00100000mp_R040W00 +000W040s7tW7iDG00100802WG80nyR000402a0I001a880WG0W400000mc30W4100010e000 +4Pk100G1080W20000208020000001W08X000082GKuM53020G000208000004203000A10c_ +t0C008058100z7WWG81A08GmrOCdk1004O100XWzG08w13sKt0W090lhp0O10WVsD0CK7Ghh +64ul1W4200000We00OWU3wNt00800diRGCx90081uXT3wOtWdwDOUPF0u@g00008mK3Qgd1x +41000eJS2W8200C340ggC0qT8Cz@UZe7S00F0mPU0Wg200WgI10uXK10HOGC7I56822FC30t +K00w_L0CG108Y1u@D5mVVrWh60Gw21WQ460bV00wy0_100CD840000mDA0mDD3WfA50dq4Lv +E4wB8gqH70aXg00c000m300W50k000H100PxRGyw60W000W001000100GG00001004Z00861 +C1e0I201W1008A000W000WA00040g0C0G0G0e200I1m0WMF000m000e2mCWAWA04Ges90m00 +0W1e203G50406nRdW00085W1G88A0ouEXqlD04040C080G0001TR0000G@h100000C500KdW +4000L100m2GL0uyV30mzk100AmTt0008604A004J00000Y2KK000Y0C0100B00A000uC8G0e +030KZD0i2YmCcX1KW1Ww03WwO00ra60k91cNLPSr0AOgQ0uNA0mNY108a100i1W0OA0e3C0G +D0eEO0aE000000Gx820000T1120000QIG0wUNSjKiG2NRl10Ws_Lvs8JW7c_MbMmJ0280Gpy +9C6_3@ARmiy94FV2GC00UvF10G0WW00001g001000210KN@601020000qxupCZjArupGMrCK +Uk19vdmZr90000kc63cjUZV0_eHTFGW00CjU27zp000040200z9bGYuCaVc1ROR00G04G000 +WmH0G000G040SZQ3G008ygi1z4mpz@6aNU240000G100G002000X000W5tD0000Kov64kJ2n +MR024204H004W400100I008a0001000Kd100K0100000042WeAS30020X40002800000G0W0 +2G200040002I1eU_4g5q00Y00NGn0010WkbC8jV30000800G0004Ghy6ikk100W0C0048G00 +uA130m000m00000W4040WvkC0220mSt60WWGOXQ3400000GB1012W00120010800W2an0000 +1W8a000X4020440W028220010008GA4G1400110X10mBv60020HC40000W00810pwp000IWs +pP8@S3006008000W34mv5600000G080600408000WG0000007000008W00G008300G0H8W40 +00000K1000100G7E00OW1AFt002000G0O00000eH0X4140W000GWK0046G808000090HWCW1 +00Wm2C0C002000000WC20W0AuFXA_JOeV38W0000000140GKE6iCV20W40gitW_hIuqc4400 +0G0G00040mHB6G008W0200000OZ3WG00080400r@V2FyR02001102000W0400G00010003G3 +w6CSH20C042Rn08008DjRGNy900X18cE6024000Y0f@V3s4pWs@DW80020001W00pbAQ0G00 +52080lsR0H82000000400180000841Y008H40000G40002004004Y0emS3wzx10W20W41000 +0000WXu@V3W02YayU25sBnYV6CDW1008G000000C10000WW00W0Gn000010Ya8082040001G +0045d100004Py0030G400G0800010W800I141208G04001000Y00G0004H0000010G00OYG3 +8Y080000400cmmdRKEX10I406@F1P0002240WG00yS530W0000H0apW1000WYqt01000001a +90000a80nG2020e000000u60WW004G00000I00WG0G101040W08280yCQ20008_Zt0020900 +2K00o1000G42G0nd@6K6K2XYo000WWeBDeOV36OqWKxVeUV3000I_@030000002Hysl1LMO0 +000000qGvsR010000101W002snd10402d_R00G00G0G200G0Mgo020000291W000yMA6YI40 +802Y4Pl1G00W11Ka82H0140500000C2007Hcm356G04100400001001200I5H48p0OK2G004 +W8WOX8404J00000Nd0A604H0W01YY0828220O841G1WWXW010G1002402W0041H0000a0000 +2G5e1i0018IWeuG0042K01GI000430001000200200EIb1a0W0000G080W002Y08Y08HG015 +0102400K1000041QO@400Y00a80G8402Y0W0W00W4080GW80020000400G4D209W0W0GG004 +40000dD510IGa04e400W3GW8fQ12GO6C00C1G002000820G000820Ym0604104WH01Y00W2I +W22W0OD8W2S424cG000088000G0044kF3a2W0W00000e08SH38B0080040404O@hL0000ubH +3koY100L200G0000GH0000Y0000WJ520000GW040K02I0000I150000M000hsomhP9SkB348 +00wwoWOua00O5myi6W800000G0000G00200W40QQrWf8D8GC9gzr00G407@Qmql6C5g10l5G +C10905iG00G00000AW00Cr7Qm5X6qy33Ryo0e004W00019XnU060000ae000000We8D00840 +0C0W@@h00840200040000O1040Y1G2124004Y164420040828000GBD200G000Z00010000K +nGi8800800O473w7Z1u0C000224000CdY10W30G000KaR500K80010Cxg100000YG0W082uu +O38000W8q0u@V9018020G14W2008002382arvQW082WBAD00GB20250GG44W0080000800W0 +9W4020020H000200wVp0000e00D00041TKi120081X0A00Y0GA0WOe49y@V2000w3VZX@@D0 +04D12G0WTRDu@@Aw1qWAuC0IX0000O40C0000IG000a200000NGMel600040G0000WDWABDu +@V6G400z@F3G090A7yX@@P00G38011W@@D00x0000GLV1GrduP0200000CW@@R000uB00uB0 +0000G4O000000A20G15GgC3Woy00jJ4FGr3_a180Zg0y4d0Ot000WPgA0mW20aB60W6ReBCa +n6s0G00D080000000W9D0e2G0rKtfP000O60UeA0qH705WEOb461071WFY0GZI0WoF000007 +@@F3000YL004Z0t04091W0G0WdhD00YE000C0w000NhbGYJ6000m0010002GaFiD0000a20W +O5G5nA8B040N040d100W110008000I1Y0a2Y201G500OC0Aps000O00041W100apg1PnP003 +03WA06080LYXB110003KNH@q6Wed08D130uE0ui20000uSfd60000GT0WgS00WOWIO8R30GH +10000WY00000O20000we30D9000g40000000m1204H10uaC8Y2000518OA3ew10000UmC100 +00uO40uv60GLb10wHWv@06S154UeA0_H49mTIJuXS6U2rYdmttC0000o300G8sL4JE6@@BKo +J6y9k1vYRm0v6qTS2Nd@mXg6qZU2Vad0001W2mbu4S3c1VcHtO0008muCOy@F6WIN0_PGbtm +3v@VLsPtWtiCO6k4W400qPU2Dfd00002j200DppG@m9K0F3PZRm9yXCDU5ZipGcz6SKO2W20 +000W09W00eGO3G000syk10008010020000W0G0020000tj800Y1C002014ibU3800000040Y +a04000G0881W00580000G00400800510000005K0Mdt00040VyOGU_O08W00W000200aekD0 +G000020WZjJ00W400100000GG210ANt0010G28e10G000042m800000201008G0000B90001 +2ewR34GH4002W0810mcp60e2001Y4mEz6002025240080240216W000G00W00008n00G08Wh +xPOB@4YjqWKXD00GWuZxFiml10W6G000G044vuaV3800020G0eA130WW3sJk14026000Wx31 +0mCm0080G03g80AC004WG0Y00004184G00010004G20Qzt0000G6G2G00G002O6eQU3000m0 +O0WW000GZq9aUb40040I@t02000tGPmQQ60000uJD3ExF1001080001e00G008000GHh0C00 +OOkr@4kyF100W0e00000W420E2yrR3410O0Y00002W0020080C2PWPG_@6ybV27upmOaa010 +0080Wu0@9S@839yR00o00YW00G0000C78y@l1ZLOms_6002i8Ni4GX0000O0000W8082WAZb +0008GZJCy3PB5qPm9@6SEj10020Myt0010000010108G400200Cdj0820410008000200041 +0Y000W002G00024YO0s400040W800mSJ60W88200a00010G08054omocy004GK000OB@CG00 +1W00WX00G0i840G0800010000i9kV3UPF1W004W0000GG0000G00W00040WfyDOtT30800SJ +l10010000GyIAC@hBHmpIS6Y1nEa00000m920xoR000W200102200ccmWjFC00400000evBC +000101H0Wf1J0200Gva9q@_67nZ100G8H808000GG44041j13ud008001mC0480Gm804G008 +1000dq1X00G24004000700W902H020W040W000eW045AJ0800WX0aG001G10G41WH4010040 +041WGW00C0GW3BC8vuA_Az401004X0040005sk100W80200W4W0080G50000qC1848641020 +00GZ5101000004009010I0W0H04Im00aeWQ659W8210a494038_@Y108640GH1YeW49v730G +01000GW0200W00WGzP0000Lsy94JZ74G004W00SnF30300YzY1G900JzR0WSN000Wi0000k@ +t008W00000100030859Rk72apWNmP85tJga6Z41suvS30200000W20009701000W0000O0G2 +G01000880002400G80thbGq@60000H200WCG0WnR3foy4IHFXFDo110402G2000pX0040000 +e3W000040GRw608001800204000020G000M7r00m0G000WQMtWKLIesOFols300A0VTN1W10 +0W2100008002WI04004000O33W6tD0G000028200fe0000W04W00G20200900WWIoCOp6300 +1G4uk1Zid0WL0W3HU00G2002XqRk3fjjDQjAXEuC00003A8R2400GM10WUdtW4uC0010GYu9 +yXk1Lm9nuz6KESE00G04v50w@V05000JgPC000dq408gJ0e0J00i0uZ80ua0008b5000000W +D00G1C0KG410g0WDs0Wx030pF00WG6_v330CW0uv10q0N100c200c20Ai10000lxT00et@40 +0WEo10000dSIu@900Y900000c00Wep3H000W041m4GJO5@400W1020AWA00We00001000FT0 +0W830023WC040L080Y000XXd0030304WAHWd00004200W2G401060aAm3Zdn304HC0000O6O +0wxd17g200mXRK0W0u80040008S00Wk@J00e0000OEG1OEg2mFW1Wle0O000TS4D0000KV10 +KJ000000GtH007cXL210S15WRhDpVss00WZfyjbsA0fxCP040000l5W8qPeAyGQXRc4nb8C9 +O8D00KfWSHAq200YoGvPOFlGghZa7OCunUF8002Kll14W00Eut00G0W0001xit00G00Nxo0O +y1WQshu7_72hsWCwJ0100uIxUKKZ1VYnGhm9yPl1vXQ0000000K0G200weFX6tCOaT3Ikt00 +00miA04kX@100G02e000000O0208Pu70001KWG2zzdmS2F00W04000280GWY@J0200GJq9a_ +V2dwR008G00205v5cG_Q6SqM2@@R000tN2G00HJdmQ@90W00000KGs_90004W028m2u9izj1 +3@dm6apG040O9m7000GCtH2jWdGfs602B0uXI9G01CKQq6z3PmQbO00W8eZdAMVrWexb8ar4 +g@tWk@V0H000000kZvD83Q66fEXLvD8mT30002Cwl101H4pZ_XPuauZaPsvS304iMTgnGw@F +000e000400Y0W7BCW81001000Y0020010W8041G44PjIUYyEXY5aO5T3IQF1WZ309ZpG_eI0 +00H00100000GWW000208a0I0W0004800Gcw600K0e6w70020aQNE@jdG2vC000WKL00ma39y +Bp6dwP0W00280000W1090H01W40EGV3Q3qWowJGW800010W_9aO4YA840WK1T20W60EcFX@v +DuvQ300u00W004000nIw9Shg17Nd000G809I182400Wm40000XEWG541002eW24108G0GAEY +l1jd_GqY6i1Q8tNRG5hIqml1PFm0Wu2WnDDOEZ4MSd1Y020000100G0G088I00mC5100L4W8 +OAWG03CH04cYH401008100800nBBnzt9i1G3NSpmkW9ipj1W200Ywt0040000A06dd100NGH +YRGR@6KFd1f_@0040mcQCOjK36@t0I500VURG7tOauy3N18H2Y6K4m37ydmwyC0cu0eES3se +RZEzD00020081WHzD8XS3G8004kM5@gN100Ga_OOOCtA4400S_c1rWRmH@6000WBjN3U2D10 +00840W0UAM200C00004400000u140080G41ZUxOOia7AGUZLZJ86E9000Gidk1W00000G0a0 +h1000000i5Sqe400I0_zd10W60zSR000H8400a2W0XW8000A0K0AW2P1uI00001000H34Fa5 +N5TUdWG00Wp1C0f00IOu6aDE300000E2GU6H2W000o2mWByV8Cy4IoF1000rpU_300WO0604 +VITYN00Wdxs0A000KOW80CmL0CdP0O_10mFmm30EXFY800i10kQ00jw00w330000SrZwIW00 +0uN23oYR30400000HQaxXNvC0091GlG900G0htV300H4NEd4004127dXmmD0O6W102mimmz8 +xM3KCp0yZTE00Wi00000WP00W0008s7G0K60r6YHq@Cu80FK60EgHw0GEeB4C0WZ0mR90mF0 +OQi9JCdaGoPuaMCADFXlmD00I0GvtOW04000GZrMQHDDhAljV2GG5WHtYgzz4AUtW4ltu@U3 +6iDXquJeE_4002400007S00Gt3NbGl1G0004G00yrU5hORmGl64xl1Ntp0A04WfuC00mwNyx +C4oJ2zuBntwj05002G0H2a0HWrkDeD@4400XKdG2028Wgyn0100GhfRmat60400eOV3Ago00 +0000hE0UvF10040000WIHsW9_Ju0y4wbba@kI00G010XWG0600lrp000W00810ludmcx6009 +00800met9Ckk1400000X04Ek10000C7004eN2042080W0_ql15xdm1u9SZfAH@R04G000001 +2800c0mWkCV0002uh@600200W00OzhI0080u_7300mud6x9twto6V6iz@31TLnO@a02e1OEJ +d0G48000WvnjD2y6600GOnpbJkcU04008PpGs4Bav_D00WxQ0fH100Zu7UIkVL5m@E0htLHf +wv00H00WY01842000G04200Qad10020T2p0G0001200Lt72000kcaV0080GkjviNP20084wa +tWaOB20W@MJ4sCzF6ZmP01I00200020G0YOVZllC00G1GLpU000004T0GHaC0A008fWVstt0 +00080004wkEXTnnu3RI0000vQ00uFR6cnxXf@d10W4GoR9y@V2BURG9@I05G1vf@G00uzMm@ +300G1kAx7W010@@B1880qI9o1CK2mK4LS2TE00o000006Ow3vWBnky6a0d4nLKXF10WMvVm0 +85IQp@0001qT0000Y7yChJ005KGKfya8TK0WG00W82W800uEL60Y00afg49mnmP@R0Qt1e8w +7a400WO00u@xS0W30Q7H0000yHWsBX400etjF10meCEE976rI0mCSVl1n9RGJSOiyl15Tl1O +c5W3ldvLRO4W00SOU8bgY1000Mo300NlGI2y2r479nyN100AjK@DG040m9vE1X0082R3AdrZ +3f81uq3moLK1000G00W0808W@@D0W400000800G0080WgB_XBt21000Qe@6Kbk1rlfoH_U80 +0000040060eNnJuMS3_MtW_wPOU86s_7300TLpOdm0P8z@l1GG00EvFXhuDetV3MER606A0j +KsLv@Uq479000eyD00Kqvd08MxRHHhjI_fv@40M_0qKAUxsHIM_64GwItv0308WaK@D81TF0 +0SFbc1Uxih2u64WaUew5jG000GDT@9000mSE00KlhJrQdGATXG000Cwy7Y_l200K7v0JLCs6 +iIf7000W040YqeB90tG06xvg@@F1e00GqzU000WJ600GpoE5_s99YNHA@L00m2A2w0ZAD4mO +10RLJLuRa00Y900040c00WRpz0000Vim6igjk9aKKSRm0001uHw4w_t0WA501CFphPmqxi4R +lRmPxF4dM5000W3xXgVmh8kj7MksWOVV0002GmRCqwl1008k2U@gbKQvNbAwxE1mR00b2yJh +fLyPE3Ri7oIoU000W9a23gyFXDS@P_V30G8W0000PbF30014iyj19zPGAXUiwN500cAFIzXl +@Qv@VC8340K@j1Te@GAXLiDD69id0037WcrVedwYUeF100G0W0000800yLSE0000PE00y6F3 +3QZ4201WFvb8u4R000X7HvL2000kje800002J20sRfho_V8UzP000GcKGKh@R0G00W0SH20G +WPywH10G0002WHTpLaQT8F_N1ex5WSuf200G200G8H000NmPJaxFaaA9HS3pNsFq4xF00mYo +2Zg@@DOyj4M3z7GMC03f650G0WuROOhU34000FDaD000e8C00CxPK02000X20iCF3080WcdF +700bY1Px400WC0000WG4023Fa9@L14a2mYtE1W00qBe0000uz@@PW20KW20000e001ARJemB +r_V2200WGW80SOF3XXZHd@a008mF3Tg00W7000000F0mqw512S0Oiz0@st3000CvCPmiy7Eo +j4400000Gp5M_99QFJ9n81y0183yJIAGhHrt00100000GK200@CJLVrCKmmF00i12XRfx@D0 +00YGKxFysk1jfBHpRd0AA18ipwQUJ5000KM0002nDA3004R@p0400YrDu10mnT8SEL5gzXXV +rxgq200WilVUwnoWWu130m0mW@v000WMc00GXzZr4BF00QNIsVi6uD0008Le@s0Y71u@@n00 +04X880u7ERYAag8un0001nRes000E9lb@@@F1WK00008K0W00yGbD6300Qmv@@@P0u700000 +ZJ@dPDWQ_7w@gwtRzQC2Wa400fjNmPsPwOaK990q60_gpl@yhRQx4QDNYJxV8wpJ00SwcZuL +FaQG60908G0OGROIwF1mVC0XX751400000040088000KGl1FndmwiC4xV8FyR00008a100XX +JLy@F000XOBzS00qPTh7Uv_hImUKz@F6VD@mg@d0002br00G1fTbs@300H0Yx5600n19fE60 +82WtU@foin8200yKW1HdF3000uOXZ20W0mffCq@l49D3300O_6EYQAK60W0Gyl@3rcF3eI4W +bzZw9S30G80K5k40200s376000mnh7rAg6COB30040gus00044b_F300WmSZD32e0mc@v00Q +1uVoe008WKiU28I006kN25000zAg20002i000LHpsxwp008u87_e00m4000002WCG5mF0W00 +0000106000004lxtIa2fTPBaLUopv@I00e6PhSswBlYEDt8CS30Yo0y2UKTHdGI1aSyV8000 +uL9004rWMzDDpRyI008v9m_tYWU6Ww80Fj1JZwv46kD000WS700qwFRDT9ndwX00GuBIXeAP +BAGN00JX@VKw@@4@@Dv@@@@@dy@@FZ@Vpw@lC@@9xBAmc3W@@L1820orTo10009ZxDYn_jj@ +n00mOt@@@d_@3000IguU60004Y200AGRZN4pvhrG2E@X7xbO0z400qoMKzLRzMn5kOaml400 +0GMGF10H00@@d0006WAv2vWsV2AyasLVmf00mMU8LCt@Bf_9000Eu100VDcpVW@7fm@ZzJ50 +03eZOcvY_t07O0qSAFhR_VSqk20o1O6QLoyfhiM@@gs@hwz@r_l2W10G70EiQVZ0m0000000 +a0100000H80000004X0G4800KlVK004E100007E0000a32u00WmPE800SI1u1S200@@R00m1 +We0I0000Xa00000W8I00000E22000GE4880491vG00m100wb_@Tj@@V@w00iQzgd1rcR9R0z +100000DR00o2udK0d0002y60WaKmOR9cGQY6Kd830012_@t000KGX@N100Fu0xrAcI340004 +qOE@fQ0e75Wm4xgZHX000GJV008c3m6vqZ7NJOFJ9_qq000XKnJc6X00WiWg0000O4eI4IA3 +WCQ0sT8gmJD8_K3wFrW2KJ0084mH0CyZw30004sIDXtKJ00005p10W@@T202GGyfC0010e0L +3UGrWWUDefN60810apQ20W00sIrWiXP00G6u@@fDSh1xZ8nWiOSxQ20o60_@FD0002BuoGpi +9qXh18000G008aVS5u600_@VC0W80Fz_mU6Ly@V508AZ_@NKG020F7am@@T1W00002000G00 +0G40082000H0CQC3VSNnsm60000Ex13E84C0410402000008G00ed03IAW100G000040008S +Wy3BTR000rD0000000H_@VC00G0FkOmt0C000202000410WUet0yy3mR0IapCO@@@my39qR_ +3PdR0000UFB00@@h8G000X00000004200qvT200oF1240y@lS1XdGQtIqPF3vsR0u4cXnkJu +XxkQBtWHzD00GYm54Xykk10200000mZ@00eKS9g_dgHtVutT30100SiV20008Ga04KSU2000 +Ystt000UTJbmGl7c5yEC00T60W160000uy13AbKhwbIO8@76ft08000G00008000a100000H +306aiV2S0000Y00Ka2OLoOW200W@@h00u10G0uLl2uVZ_N1009YT@sxOV6_6230100WZC067 +ZX@_VuQg4ciNboxVOZh4_ml20008G0000020SQ53fv@0000Kh200D74Iv_jqo@3ZQPGI1Oy@ +l14001YzV300dLpE5I4TBrzj4WW00_@F40CB0PXBnrS94Bt6@@xn2_ICZl15FZHbO6id@304 +000004000W9CS9MyZajtOeGXPE3Z10800018WYKV300qiXebmZr64423xzvHzks4CJ2@@d04 +002G080xTY11000mE40J2cmFZ9iyp6pSdGC0LazG8rLUIlXC00006a00GCyRKPFX00q4BeA4 +0010Rcxdeh6as4s00e0mb21200WLz00Gz9BDy9L00kq9000Slf1DOWnvS6a0vF@@p3WN5YVD +D8mkAkemWY0CuNVy0000hZ00eRr4Y2NYAEDuwrV0002Kf0F00sr@@t00050bXf500100W00Z +Vb3GN6W2y8vZYz000WvQ08esAy_@@4007_dTyp@@a00Y4eUkGgr13G0000HSGY1CXEu@FyD3 +kas600nx0000000420000011mtqRKaoU0c20seE13000XQN100ON@300@@@MTkCe00W00000 +002Y6FxI004GGugy@@300SQQ67cUnJuGv4w1zX2pb8f0Lc6j2mJ70@@t2048WelVOS8XE_F4 +C008bRv4020W_ky0010I1wU008WOjT600ijVsRKFQR0088WMzmeWCO0LU0ShFLnrNnr_6000 +G0K00mYqg00005700GV4Hr6uC@@pGs39SRk100Aa@PUifT_FQe@VMw@cf_VPh@J6x@ZrGzbT +3y000SXp@duz@p@5Ly@35_ZnKy9K@T27JdGjz600eYVkWtcuMYBo31mk2m5nX80000240mfT +KzbE9000mJZlY@@@fkR3_U@Xxsn0A00GXpU00muAwzG0G00KMN29uMKMzOyzE6WdK03iFXwj +tuFrMA67ZCyVOOI64200000GexsA000mx400O9VC000W_@V20082_NF10001HiR0W00W0@he +YRF000aqw_3000AwT730G0000o4A5EXKuDe1U6wdbdWm99p@7_nqWq@b0aA1mEia080W8Wu4 +g6E10010DTB4041Wopz0000oweOqyVHroN40W9rhszOeV30G008200uTxJQvFXAqL1082mr@ +R0M@1OjVF0028240099UL2qdX2lRvlPC000mh80087cPwUCaXnLX000000We1ht00m5oB@Uy +il18G0WEMN500GW@@RGG4ayuV20I40gm63WaD0N@l1W0100G0H010W04W4z@VNngdGqrL8O0 +0ueweQzscmon00Gy@ZsH1004ugLCcyt60jD0j@J5401WoxH2000bz10Wa@n000a000008100 +3xNtXu900WJuDvS_pTfNwz0Sz1GE_U00yKbw00W700XcEW95vJg@9XX@n0000fD00WyqyG0G +0myym000LP4NUk_@100De3WBNg@m0aJ1u@@G00O0008GehOLMxt9000uK600gOCdP_@@@V60 +1f0y@F90081UfMYbnjPX_7wxdX0vh0000jf00WvS2viQ6ce@XousuxkPM_l20035n_rIL_6K +cl1jyp0000YxjF9QZP0rG0CGaA@8qICSX4tr9000Oh7daVoD0200mv@60020Owi4QSC10500 +jsjqy@C00eux@@D00W8qU96Xtp38G0WlyRHam2m@@R0G80e205Ycd10100W400MTZXFNq20G +ezf@U000G030004000H0009vRmKIFqzl1TrtImbm0eu0em9F00GGCqV20004U4r080000W00 +0G08Cq@C000XG002TAOBM300kvp3W4410K4W0nC0Kk430200G400W040Oxo4MwNbwKW100nr +@@R00I4100G0100XZRJG0000I00204W0W008sYQccRDurZM00000mn1ebLI0WW00A0Y00000 +0WA1009000AeIFo69000h7Qm@8g000WjFQI2@r00060f7QWG0000004o000_@t60GG80W10_ +@N500JzDOU20052eWi3Wm0G4W00W0Y4aX4402P07W0G0nQQJYXdy@l1Wb60_@t3001W00000 +a00A12840W08W00oLND01010G04Yt9L1000HKn60008o000GObg00009MOIW0G0000W50820 +03100W80048000i00GWGCBoD_@t30C30C300_@@400@MTdw100uFtT500280fF00y@l1_@50 +000@xR01u@@P0o00001W0008Iagj0kM0u@@D0O000W0mOeT30K24ZW4961CHi2I284m5GIC9 +Wa8n0SFoC@@F3S10W@@n000ylC00000Cp0400000pGGLLXWggYPKL5pCJ6_701zm30AJ0000 +00e@m30000gnHUo@@p4Ol1hhzp@zd4xg7PTpG0iF0s@0uWnMYItWiqJ0800GHo@Cmk4fS@00 +00E5000fBlqmesy@l700uw_JVc3kIOVU301005cWD@@V2et3W7r99ylz000m53dA7vRmlvUK +mD93C230Wrk7A0RdVX0GM0q_x95uRG4bXyfQK000mJsVZ@@zWW00GvzCKWl1blI50WWbqCpf +RU6QtsWL8kQkA3Q_N5000Ankpmjx94dPN_600_U6fQDk200OsEzpayV28200Eot08000W0W0 +000HK02LWlB0ApU600200G400W000800erT3YrtfiPVmj00GR@Bb9CIp@p000@kGr@vm@7_K +Gh_T@4y00mZVmSyF6W800k6EV0KF09kC30_@lIzVmV@1G_nQ1700edUOEt@XLoJuIi4Ent90 +014Zzl40kR60U00@NJRdvXS3l7000mZAqZotSwUSR00yRNJ_@f2Xaf10WczHQB_@@wHKJ000 +@TasF7@tJo@xiyVED@Vpp@r4z@CJ@7Jr@lSzV_nvafe4v_RpBQ@Vnfe000m0700K6TZd@B10 +0@Xk@OBpl@pUu@A7@Voo@Zyy@7J@lnr@Niz@4V@@mu@BS_@@@n0200m@@900OHfNSH1930aF +kAF@R601000G00@@d00006a200x5R@83E1eF1e4UyMd_4000yXKmmX@810G0u@@b00GVz@@L +J5GII7d0Uc1OP@@7j@@G@@@u_@B_@@@@@@@@@@@@@@@@lsjn_@d18002D0OG70Eq1t3ZWp00 +0bX@@RvISIW010SrS5r0amfpX4Sm30k40_@t900W020000002iCd400W000020010e103Ers +02020T0amms6Cjj4G300_@NbKvOu@@PM_Mb_wO00m5y@@KH0G010000020aVpOO306oe@XEw +z0a42GZVK1048000IGauCW00002000010mKnDOMSL000GoK00u@@e0W00Td03z8QGIY600W0 +f3HO00GbMs8L0001400090408nn4002000108pn4MTaXi721G72m@@Q100YeBU9004H49VB0 +00GCC00y@lM00020G4G00H0u@@4000Y0000G600Ga@g0WmkTz@h00Y04Me100W0IA8X3O89z +V90zK0StlMlqy000We@@J0043m@@OG00Gun56000mczmLfEO020W00G4G2C20_PD100G4P5O +G04CybY4Vzm000zqi7q2000a800e@4OW88200002H0G0000800G0iow90kV0kxNB00WKa240 +_@@100bKF0O00000G000@@720006r200@@h5e0140100W0A0EAWXwFX100tLx8Q100K08201 +00GXFIJ0C400002G20100000004Gy@@9WO20_@@AH00071R0K08mvgI8DO3000ealRBM100_ +@NB000z20W1W4A0y@l10009000000w100000GW400028@@J200Gp@@l20@5100u0p82WW160 +2BE1Td1000WT3C66Gm20@@V5mC000OWP00Wr000Wd2038mC00GG000W0000JGyPI0G00evw7 +000Gm200u@Vg000Pw300003yGwpC003C000S1L100ZC2Wk400_@N2x000F0Sm@@C00e0e0Ss +IRhYeKa8Cy400010000Ir00Gso5z@@3G8002i3ZWqme2SC00yXNgMBbZpmTtU80008uD6wQh +Y63810000mz2W_gpfAwDEW3ZOvguQT3cnXX6xI000G00008g300v26I9xjCZk1H3oGwW9000 +1u_T3Ywn010020000020A00G0OB56002044V20080008a7jzCPRdG8TOSH@3G200MpF10Y00 +0200X0W0900G8X960W00iW860EA0gyNhjvD0008m1X900002H0080WH0W0810O0026d1W001 +bxN1000Sf000Vh360m0WXyh00240040001m0BMQm4w90W00O5T6004SdzWJZNQGdP60H00u@ +VF00G0bTV208W00e00qHK2000041Y0y@l10h60s@dgxoCGa00mePL004H00000W0CY19D0Y0 +80210010204180G4000008I0H0W4H080000001H000nOJ00eP@e_rI28000G0000G41y@l10 +GC0G480qCg180000040820W8SJ3410Y0400G0000n00WQDD00WFKY2K1W8GOhUCsL8XXID00 +840H08YQEn008008000Gv00fKI5Y8Y8000HNrb0040008409FO0Y00000WC00H20G4Y0Y000 +0KC104XH40008404G0G00041000G42O20408Ga100000H100WeY7OkUb4Y0002000ELJ2000 +HHhPW8200002K000401HH010m0004e900152I4000Y80041P0G4004XYY8000G02W0000Suy +uQK@@Rm_R6y@l1R0q00a2A0000080e02048000W0G204W40G100W400o3W100W0foP0000e8 +lIu@VakGLYOKVOEL3W00C000H0000oni600K000020G00WvuI0KW0YX000005000g8@mDA40 +40h0RGDjC000m1040W0G00020W4000G01W20011080004W00008G840Yir00800WWC8G0u02 +0240820m@@604L1Ozue41404Kd1@@@0W00Y9VJ00220G4000410400000Y01e00ATM301058 +000G080XW0000000G1W8100mYL00u@VdGM00000Gv@V6000KJ0221900m@@600O300000KWC +0000WO000gSs0W00000WGo3W1000rHPP000ua@@T200q00Tr50Uz1Q000yA00y@l40G50000 +r300r7000000SIP0000uaP00002000400030@330OW1cn03eA0vWP00GKH1eS100Gm40@@75 +G400WPW800WnoqY170000WBWG000m0Y000Z1000300G800G41G4W1G40A0600We0004100v1 +O0mCOC08WP000L000W200WGU00m@@H100g200000W1c@@V00Pk30000HK5WK500W7y0Wgg00 +000k42000Sm4W0mt50WF0000000Uj308JVyA0_OW708002mF100mE@@@KLYf4000140804bv +3vUB1W0GW@@b0O93mVhWbkj1LRR0100WkpDuUK9g_43000SS100scEXoo3v_vAcgE1G400rd +Z140000m00TiBHkta00GGEpz4EGpWtRj9tz7AQ530100fZRmFgLaVD6Wv50EdkbteQ9gL3UY +t0W000W200100AI800008G10O000081@SRGZy6CUF6Jbgonzm0002200G008A00440000a0G +C0100200H020W00800020W80H00820A100KW0W801G0000W0AGt004000e000G11y4V200mH +F_tc7MD0400nfjC000WOXU60020AG200X0000800q0100WK080W0O8H8000C011G1400L482 +4002I0884G00000AWW5vOW020m_@90811uXUR008W00001001qOOXiKb102000000@_V2818 +0Mrt04000huRGXl90002eRw400200000MS00GdvEDv@3010024c1000G00080q8ajhN21_lH +B@6000wErSj4940qwF39nR00508o004TTR04G0a@ZD00040A240080GW010UysWWxPmGt1my +wTjgT2W000000PW00000I0mm_60210000420Y020G00Y828000GiUV2rYp0000GM100nRLKB +uCyad1dWA100YiOpD0200081000G00G0040008UvK2XaOmhz94Eb100wRoJCgutC00000g02 +000WG00001004Scf10W800002X0GA608D400C280002010W0Y186000XKW08048W41000G02 +6FXvsD04o3mo_HH4G48s@701GW0000000K3C002A7HK040L11480014G0H04OY020000YO2K +W04009000020WG0G000G4000100WWG00000003q00Wmj@f7L900G0ixk100A1_UtWq@D0K00 +48G042050G0I2cur0100004W0000G1A2G00410e00WepD00X00210WqXD00Wl@@@y0804000 +K0002WtgJuaq70080000We8y4a010H01010e800G000KG8000i000PKnl10mG0W0K00YG08z +V900F0Cx8F004000Y00200G600mIz9SjE300600000T6k10WW000040800002GW41I028240 +808G0SW302000004084H2H01CPG0c4tW78D000G0000Al100zJo300G3e1K0@@p0018W_@D0 +00WI_x6C@K2PmR082002088I0010400Kok10H0G808WGWGWG0G08000800W8RxQ00100G000 +00A4xL@70001Nvp000WesZDurO300P20W0028a100002Z00Gv@R083010083W400cDt02000 +000O1W000mGW4200mAmI0g50u@@S000m20001uX0GFqC0000KtP000LPtZ@P000Wl300W9sD +G68000su10Ev@Y0Fy41Uu10pCZggY7LLD2CpS4m3_8OcPHmCpWNLLfAL@3Um710_720100mo +C00u@Vd000WI000X0g0Y1W004010001000m000H4004H0P8g080W0e200e200GKG00H50003 +WA4L060f0g020H1408280Ga20001G50202G404W4Wj100EMwlz7400W8010k9d104H4J@NXx +H006P6000XQC3WZ8003qC0se30000SYco0001mKL1mZg2WtF30NH50000vo_0G65WnmDh9SC +o2dXmcC0000001GWehP8L8RwqnWEtmuaS6sl_XNpV89S6A3FX7nDu@y400mC4E76FZ6oYw9q +fk1G000EAFXhoJOQT6w1@aclDueT60Vz0aKWDL_LnHvay3V200800040yjE3JSnGmUCWO00u +@@P000C000GwqT6QC@102G0002001200210e6F3ItcX9uJ8kh4001CqwZ1trdGsz900WGOHM +OsitW8vtuW03G000yUl1nwd000800H00000044G110G02001mPz9Kuk100W0040GKiQ2lTP0 +W0000NB0TolHTXRifZ1FvRGl@64MF304G0cvt00010DsR040000G0W0000000120110m00Gz +M9y9d1RtRGr_9KtU2000Oq500iE@6HzpGQ@9KOJ2fQPGd@942l1rwR002010000W900syW10 +0020001kAmWkwP002000080080W80G00G40Szl19_R0GW0000AO9XXKf@Ui7U50800G100CF +@30002gQd10000W_O02MF7082080H0I5HbByb0G000000400801eR000800W02J@@000OamU +o1Y08mS5aiPV2jnp000080410ZrRmRz9W2000400GsuCCml100i_Is7cotDes@4QiGY6wJ00 +0G008WWG_9fHU3kGtWozD0022mWg90kC18fWPEytWonDeJpDMPzXvyP0W000000G01020000 +00212W80001200W0WOhC0040W050Ww7D0000V5UFy@V808A00000G400W00WGaE60000100G +oAtL00080108000WWX0a00H400G80001000H0AOt000202W404GG0ai33000000iPKlrC000 +4000H100020X8400Gek7me_n7k4t0G20KDmpG0kC0WGaQ@U3kcx1W770xJQZ041WBAmeFy7U +uDXlIg8MS60081Kak4000GHD00SzVE0040Ej53000npvpWG000GG00r_R0050WUTnW10W2W8 +0eFVV000BNlwv04088iL3wfD40408Z8NH0lI80018_U3wzb10v60rbRJaU9Kfl1X@Anww6W8 +10OUV3Y5sW_uD00040W010500000102Pc1GGl0804OMky1000q1kzm@@d00810000uFc9ivx +6X9d005KWipm8RPF00Wiz@FCs@F0000CW8010000W_14000000WP0cwN200o000W0cZX1Y80 +0000H0010a5d17oPW10000W10hxR0004000_10082W100KGY13pR0OE2WCFXH000m4b9qfj1 +4100gwt60Y900000CI000000m4208C1000000m050000mzQQ2000mn900yL_CUv10wvU6008 +0LjjHk794Yz300emkajenE2vXx4040000G00800200000Y00biH2eS7W5ng8UwAw_E100G00 +004UJdXE0OuPeAU3UZHqa8IS600007UX1bidmwu9iqk4d6p0020W_wO8pk76A030020002W0 +0002001AVM36_d400Jj9xxKGNR0200OQT301X000608F93EfE12000XUQ0804WYtDuIw40vU +14wb796@Gdx6SaE6nVRG8y98000200K4400WDQCOrO300G00W04uZM3UiFXbuD0140W000Ww +zDej23_@tWePiPZR3_@t300W000G00mW40000W400GY_6G0100008112OWXxb00044400W75 +CuSV3Mtt000I5RegIB_9Ssl1T2aGx@90010100GqeYIyyF3NlR000020CG0Bepm5w6001000 +G00200000800010Ivt0W282PFGIcu5jml1010400404PD3LjRmIzO0000FUzPIhlYRhbeZoD +m410qjk10042Elt00GW0FxZ106lwazH20020804ao_z0X00404G20000002ZFj8100G0lpi1 +0000Gp50TdYKR6IaUF300040020sxl10008040200081004G0_6aBN5hNQ0000Ot3005sNq7 +_6KCA62800002000Q81000488101024Gb0000W008211W00W200Yunh0I0000100009a9xBq +KY601000014nqI64tV504W0W00H0016100GC2GH080004080QJq00W004800YQ530FF0zsYa +010aN9sOOS6C000000awRy40900qE_300006281000a1LLnUus0A0AegIIQqtWBzDO4T3a00 +000WIO6@A010000OMv9ubgTrW1Tnu7P60000n0w0000W2W0400023000000822X008KU62ap +001000f406iN808013CjHo@600IeOzs7co8XXnJO_gAQtJYozj1401mFna0000wt830G0014 +w100W0YH00W4tO8iP60W0We0020Ge000WOkmH_fFS60WW01mG0Oj@DCG000016H00MW10K15 +0OW20000G10qe46x@d0Ge5Wfh7BXV3ISn05W10003000m000nD004L0L8Y0H100rOA10E000 +006000m100muR00erSXG8000028200a4WM0uLih00Y820000D4900000C140cTV24K00800p +0002OeG32@l200VsnhZ4y2000_0y4y00oQEXNxD0G08mZ@F00m0Qa962Uq00140400G6@l20 +JB0hyCJC@U0054ggy4000C00X0utU300W1ypk1fSlH8n64FE3000GousWzrnBsxDMGF100Pc +9x7500G1O00WtzdW200ZdsD0000Y080WaizO9wA0zP0CoiJ000010G4qHl100080W0K00118 +XN302000GW0u_J929UZaKDGJ00mbyCiU79@URmAsISLc10WW06ot0W004RTdGWQ6W80001G0 +0G0000GG0zsdGey60008PR@400020W018@@400mn@@VK0a00k_d1GW04nsd00W8a@@D8w@40 +208K_l1hEn00G0W4WDG00800000Gc30vd@Gosj4Tk4000400A4000000W20W08008W00G000 +0G0GO000GH0Gz@6qjV2XopGXoO0000N100GnRpS7D6To_0800YPV9vtVF00mYTKNKN@Rmww6 +aw@3pqMHh_6iE_66OI06I9jqxJe0V6YmFXt_n00002f10Wj@YQBV62VFXnZVeaV3YutWvZy0 +0Wju7XHLpl1000W6wt04810z_d0010eeZVeZT6oR730y003A6L@_604010002mt@6KcF3jpa +Gv89800meN_D00009L00eWKd80000I0000200018208b00WG410020G0K000GA0G40040002 +80W800KOl1004XW80H08002G0WuTfO00WBF9re000X0000080a10030W0200e00Aft00800A +000020G020A00005800C000K40c200O4a2W1Trbmx@F0Wr1OoLd0W0m0C8m01100m0y0001W +4073004aH0W06001C00GE0H038043004W22fCK0Y1CCa2G1B2GW0400e1402GW8fWA000mmL +00uNfeG40HI8L2060a60K0D08GQ88000A40aUg1080e000X0W48040G00G00GW100400a840 +0000G200Hu@L00GX84Nd0004900044E0W800G0020YWi0008Gu5YW00020100WDRD0i808WW +C4mM0Y00oM0W0820012000B4008O62018N1Gi4WrUT20L5j@08QPcHL_3WgKbALfge@70Hhg +@XMLg000LGdpjWRqllD050GC00WO0P0n0o0g1a1K0e3e004000830000W80J000W0C1K2I2e +41CG92O2I4m7m4W98B0H0J0AnW00Y07_V500AA3cLh6@D0000e@00W@@DmV0000EeAL9u3UG +m3LbW@101Fq1Lz70Uu17KLL44Uu1uX0C_V5Wt306DZG0G00ZAY1000gGAE9JGFEuNYZ@D8hP +3g5zXWpD8UV3wtt00a80d0y0000010G0ZyR00WDW01yu@V3W008yPU5v3KHsv9000G8a06IO +@XSrDOYW4k_V30K70954200G00G0GLp5oNuCCOE3VwjH4a9SUG2000GYKW10004000eD100K +XdGNrBnW2IaDK2Hgw1W02eVzD0G00mMx6008830000080eqdIObSCUEJ5G00G8W000140000 +04002020Y0G00070Cmlr645V22A0000000Y008VY42vFXI_D8g_404q0SBl1PERm@@9Syj1f +zRm_cRiil4000WM_dXJ@Pu2U30400zwl78142YatWFVD0000fM00000W001800000W0G0Otz +4sBoWg_2PjU9W000004G020140000W00A0000X0G000G0ehU3UXB108e0hfc0040WM_JeAT9 +00mPT_l13iwH8_gqX@FY000kzN2W_E0r@R00048000100W0lSnlUnb000C0600WwCRyzN900 +uea@@3W0W8Mxxd0v@1WY0mU@F0cn1eMoAQ9jE00G5rvymex6WA00euz74XG04dAU0040004H +yj@300S_7oE10200G000E7XXZNV3008400000408a200WKG0i@V207I0_@d1295040I0YgSc +g_B20m00000088080003oy8Xa2U0000340WH900I9vY710800G6m0DC0_id100nWvta02000 +02e0W00404m0CvBU002Y2TrWk_P00x1Gwt9Svl10010YzGkZ_D00qV3200Pl0WGFNRmdp9eJ +00u@V30G8000042zr0028zbGaRC3b4000cB3008hv010O700mFWT00ml3900000OW3m@@600 +mD000S0@000p1000300gbkE0m30ym30000y4qy3_000_@F10e20000uz00L@PzRzUv10WdYD +jf2020GU@9C@43ZtRGF0CqWl12010W000SqM22000swt080G000000Dq1CcF3fYdme@OywL2 +paBH8v6ykl1Xjnm5@CKok1jcxn0w6iPW11kR0l00W2nP001GmcRU800G8sRC0G02y6R8VsRG +BuFWW040040000G08000PY@W000000dsro5o@@mCjj19Wb0000YinDO@W4kXlYfpJ000G000 +0e0sP0mh0mlP6yB_3VtR0400WO8C8ySL00204Kl1XtRmS39yUt60800sDd1001008004G00K +7M2Q2024010Cke100020002W010eiTCwxFXAA310200W00020W0b@R0W00Wx@D89H32W@140 +000021_@d1a0m0v@d000LS0001HAO0H00WAuVegn4MemW@3PeNoD000Cqn_3ZrxHpgI4il1N +DP00000WH80REQmMx9804GeGSRsdNY0wD0000008G0802204000088y@V55lQGLW9CO93000 +G1F00Ce@3rapmcSLimkAdqd0800000G0jxRmD@O820004042E8000090RJp00cJ1H0GatZp0 +210WvxJOIV30004CPh1jGo3001Wtob0001mLxC000Ceo_4082K00W0uz130gnH00200XG000 +Y00YH00fAcm8YFKQFF00G0G40GyFX7Bw@mNX6qnl1000Oa90000100J9Ymp_6qnX10080YmF +1020095QJXY6000424004G01W4@DezU9IEt041008200C100G3040C4200100O0004004500 +000WhK40080GO6n0000W841000eG00OmT34120000G0000uQxOioT800010W810000002402 +4204H2H000WG200i3x3XYO00W000008GKGGG0C504000m5300W0a@@D0ibJ40K1301W0g820 +0X800000100WX800aH@DeKP300804IHEXgi10W000G00W2000900G0e0000000aY0000m000 +f0006I00WY0G0HYq606e000080000A0004000f840O0300WG000020000500em400G2ysI8z +2JIX_I0G000W41GlfC048000DH400100W0J820G00WY000000mv40800080082000We0W8GW +101A8800cetP04W002H0WQBO8mnVwYdXpzD000G020W010mYW1W00888840220OW0080730W +201000AI0W0q0010G08A0000000H2AC00G001uG0100000ah_DW0m0mr3Kzvf4001200G490 +W00W0n04020050000W0000m8a4011u000020GG40G000W01000080I0G1W00WiaD000Wox_8 +5uB3BYOWG00WpzD0a1000001q70000mNE@r0004Pa0000m8000Oa2i00080CaBWD002HlR0u +08@2zaW00Wy8008200030GM09aZeD2ImW11a13aW0681b0008aaOC8z@7a1000WT10WTp30f +b60iCD6600OPQ0i@V20ksq0miC10F3Zb000U66WsoY2000101000200200040400080CxV60 +0W400W8020804000Y00001000c100m0K183C608G600GGTVQWf00006000g0g0s1H1W3i300 +i7000S000OE00WHWTWOWa08BW4c5@A0g20@@d000OPq0moe1000WbfP90000m@00f1000176 +6WbH30BZ6UCN6fP90OU60000000p0Grg0000WP00mOW6Grg00_00GFSWToB60u10Mstf0kD8 +9y4EtsWD2ge7E6EQtWZzD0014GiRC0000v7E3UgMY_tO8yD626F18800PbpGVu9GW00eCC6U +vsWW@PO1xAQZxX5sD8NU3000zaKW78000oYpWhlO8d_4sQuaUu89Bk426N2We30RIuKZLRiG +ZAn@p0000EF100HfdGKq5H0008Uz4_NtW7sDOriJcht010G8ptR0800000tPztpGgx941U2v +u2300800200Vm7o9IRSVl15eRG@w60mX1u4@40090qIM5rJAqkw6SEhA3YRmxG9O5008p@A_ +BCgkfQ9dT600aV2000AaZMIQUiMvJelS34fW8iJl18000WO000020OVU6kUuduxu1011Gyw9 +0GW80101W000YSpRyZV3I@t000dE0080040G0008ugB3cBHbVur200W8008G0010TMR0up62 +06HW08O8G10080202040mN@9ShVQRs9HwR6801004000000A4YG800410G08G00W8O@4MMxd +d_pf7E3sDt00800j9Q00WpK2000G0900W0001081028mbS60G0GuLH3AulE000X20000202y +4l1W0PG0206AC00080O80440W0200840QCmW@@DG200mv_f10280020nxh64gc1G0000000v +I0W18000W1W00400G020GGS0W10020m1Gqz6a9w3RKCsCk6C@l100050000008kIA08G@@60 +80G00G4qPl60080QQVv000G20020X00m6n6800200W006000mJ9H2000XW30820040W0W88A +00020SW8800W0ycHTXMp000uV04fg0000Os3HBpCYcggy0LLvV0Sm@08wPCJq7wVeLj2D6sR +XD40000W900000O00000w0g015000qJ0DGGDGWWQ0T0n0w0g1a1K083e004000834jVT0OcP +_@t0000I00004aW2Y00004Z0911980I0II0004aW0y@@O00W00G00TgC3ZwpGWsI000Wmt00 +mZOLC9C3f0GnEYFqsh4jVRmd@981000200W4G00040040050W00rwj1hI@mTn9014281R300 +0WX0008G030G08q5k100X0Q1tW0fh02040400XCdD8VS3o3mWB@DeHS6W000i7d19cO000W0 +00G1liOGS79i_f4NWRW00G04400@@R00WuM0000A00080008010OaE38G004Xk1nYdGktC0W +088eE3MHtWylDG020GVv6CLA948014W010200OuS30004i4E3ZX@04000GPA0VhMnfrLa205 +hNlnIzLiaT5jC@mkzF0c60uSx7ERTcjqDOgx7U9290008A200cx@aAobepV9MStcK_y00k1q +PigSB@3b0aGczLKTV2j@DpV@F0O@18x1X6@F10G005wutUSDMX0aW@K0EJBgk7_VxYC1_90i +9iJHH6L85b20uFemle6IHK00H0f0GnEuIq6G8p_dmHy6CZPTRZh2700Wk1eAHv4I1WYe0y8Y +jAcdsZe1EvzVCYeIea2U8tAOofN200W421H40000004He303I1WYe0m8o@A00K6S_l1f2OJ8 +16KTq@p7jQ5zBTzU2Rtpmzz6a205H1Knp@I00mSTCNCY6G5000882000001Y0000G0a33022 +Wn900088W0000WSC02006EpnWK0e8A09Yyl200ufN_c0800WK1W9a03Qgt000GES200Y60Z2 +n_VGj@3ax@@yiFs_GgbEX01i9f0IduVC00Gii700AQyJ00d73g9gDI4WTywgkVX000U8900O +hnFpUeKmfA05O_@I@51087eNqSwVsW4eh8cVd0Mm0iAeJdyJbd10W2e9vbaz00u2kAF9nd3J +F@E1Sh0uxVI00804I1UfP0JleFaDg1f08nHp@NKz@3T@VGv@@p_@zqhObe94BQ2f0GHK0Lap +4LI000c@N21I088280Y5b101GWf0GHK0Iy@VQLYoGie60CEp950AY2GY0I@ll@@7S_@0h@@l +x@xB@@zs@Fl_@lx@@sr@VT_@Jt@@@@@@@@@@@@@kg@Vhx@pA@@hs@lgUE100m1I00u7SCIs@ +G006qNm3Pct@VP_@Lk@@az@7v@@@@@@@@@@@@@@@@@Rx@@@@30W00ss79000Gk500kxdA100 +0@@Z40Ww_u_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@DE@00eBDxsOlnz3 +ON6W@@h0080m@@@@@VB00EC_@@10080@@@Vs3@@@@@@@@@nz@Ny@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@33000w@@b0rb0y@V_0100_@7900rL1s@@V@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@jmr80400000020W00400iRsF00088300y@lJ0802Agt08G00zjN40WSf@@ZY00 +0000G000W00800C080y@lG0680_@FA100G00W0UwFXH0@1000ay10Wo@TI00G0000G8Y00C4 +000600y@l1000HoxF700GJX@x400W8040W0040W0400IH000008820GH000@@pZuW3Wj7eY0 +10000018Y0W000104004tl13QO3000I4200p@x40G0G000004220000010I100WGD56000Wu +@VR004z@@lJ000g000G00aO21YW2g4164C044YO8G4G0001081I3G000y@FCWcS0_@t904G8 +c800G84e0012G45028000100035OmF1py@l1_700c@t90080004000K0ibI24800_@d700Dy +9kGLH16000000W0nM6vy@V2WCJ0_@t900W2W002040W1W00200C00W30C040HFapC36O700u +@Vd43G0000a04401W8012000000000a0SFBF000fBSbg7NDW0000082W@@52q41m@@E1WG0q +eZ0ey3O50EoFW15u040NP00V1000000KJQ0000ug1oPQ6V000pU4b00085W1848A04W8000C +0uP63I6oW8Nc100Mq@@E100A0WkZ00Ye0t000KG4C0000uc20C2XV0O90y@lz1SnGx8yy@l1 +00oA@@F@wWIeZh42DZ7L1009N7510W0W0000000tDp00e000040glMYIsE10WSzX2HP40002 +2000204000001W2000HipzF0GV0_@t900040101C080G0010000114000Gm0000G088043NE +E500_@t91m00FzOmHO680008uSCkgu400kIrrH5080000Y90000000mCQlGWC20_HXA00G00 +0020020a4l10002G00G02000006u@@m0002r200Gp_E1a0G4c00000110430020300821000 +02G400Y83X00048G0Mz7600CWZKI508YC000000P040000040G040000201009JBQm@@m0g@ +0OPVd009GHKH0GHC110G82J4e0604200W2G024WA0G2K5400eGHnmD3P10W@@TI4140C2000 +A494X8G048m0GWA400000m22041121000140y@FC00wo_@FAe000jhb000O0000000AGMnX7 +0S40@@x400m100WG@@pGpL6000GOBk42f06C200Z255Wq0G8420f4Q01200000WGK40G0020 +1008gmP00KRzuQK02W040111800000G200G080005aQ091GW@@j1mS3GCSNz@l1004400000 +I00u@VUeA00y@lJg203f20v826EaAo9Y6ed00kR40in20u760mNGnD00GCSp008iB6khW101 +8A020400GCS60e200W1G5000Z@@d1Ww1m@@E1W80qXg0W2YeR000KWn00000dI00@0400eH0 +y550D00uXg_@VcahYgYDI_@l500T8@@JLPh94qSHWSU0IAGh@@B2000bl10WWuChNQ3_@V60 +0Hp@@J5011mnhD00A0mHOvy@V20YG0_@FA05200WWW02HaSxP2jcdmvrp000WsX00m8kHX20 +0042218W0WlZJuzO6cE7600GD@@J5012100G060004m00qfXG0qE0EaEA4000G0440012004 +820W0nbh60H00eMHR000GOE00u@Vd0W0GA5040WGO4204Z5pD8uw4W8000m00u@VO0G8PDx9 +LW004004W10W886U30021SqCF0iB0Mstf5uD81U300G00J0W000O2080mmmp10008l00WczT +210035X04eG1WGH0geIKe2A0G402002m00000GG4000090iwFC00uiJst9000g2f00003K8e +Y8K41010800bI08YWaW0W8000208d9R0fu0anDL200ecit0G50000000WW000108BCR00004 +lUK0W000WH8yYR2G000AgmWhpDeq@P00GxcVSK0100G0E000n010000G48G14600WWG00000 +8809uSR0Om0iilJ00WW00A0100400Y004600G020GG00008Hq6l19iRJahH56E300081120S +Sj15nd300kpJXZ2WPU00@03qVeAM9XHKrC0QbG0qe0000000HK00000HdpScOuq2G54502Wg +mmDeC338020KyY19x33r00WczTY20U8L0e81u4QG0Q8W0S01WFY0WFg00FK50000_200AzV6 +00X5XXd@Yyd4yF9000OE7NevszE@wJ02H0KFGTB0tYb00WczT240100W00G0100200kClYL@ +X100_n@@H1K00u@@4010Gy@lG0090_PEA0008008G6L4f8NfASb@@cv@kT_VRe@pMw@hf_lQ +h@d6x@0k7R5LUU@@10TE0tH3Mqr2vN00OwXy3dR9GW50xmJLsn9Smk1jmpmgUIa6l7000mTF +00SItL1SdGLS@00Wogl_h4100SPV2Nn@3Wg7Wg47xQT66KKY9sz0000lD00WczT2Y0820008 +02007fW4006jOXf2000WC00004403_N4Hr3WZPeI080GpB8100W4d00G3END@8I00oT33FA8 +m4000G0K500GK20e3YDozN5GIE0vm7508001G4000040400SEaG0000Z4OZ6Ql2020Hi@j00 +8WTz8vkk660Y905x75408e4fy0C00GUug000WmJ00Gp_E120WeOV30018aWLH00IeoXFguoJ +usK962s04900p_t2Ww3WbzT20G18z50GVOmN00WFP000qNRHm100ouEA10002030UcL200o0 +D8D30WCa0xZ2WEw00000t000zzZ4eU7WDk@V@A9AzV600Smfc@Va2Psf_9t_h20WQXlolAWf +MY_t30030lmH50W8WC5No_00m@@@Fu@@Hd@@px@tS@@B@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +t5EC1sxaj00Wn49iw@D00GRdIv@dS_V9f@Fow@Xq_@7l@tHy@RC@V6r@Vnz@La@@4x@7H@@F +y@VV_@FX_@Hm@@3_@@@@@@@@@@@@@@@@@@@@@@@@@VoD8Z4006wa28z@@@@@@@@@@@@@@@@@ +@Rw@lc@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@7k@@W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@da000m@@p +000WMS00m@@@@@F30800_@t607F0@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +xbPyxPI000HVFjhIA870W00958KZ0Iy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@Vt@Vt@@lq@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@x10040800GD0Qy@V +T0040Q0mW@@H2WB0m@@p3000i103YKu@@@@@K_@Br@@qPiy@V30004y@lA0GQ0_@@@85su@V +L00mrz@VT0004_@N5GV20N3ns@@94IXA000mD500y@lG3OGop3FyvZA00_vQ42f@@@@@@n01 +00K3W6@@JYj00WLCe2010G3064n5R000o5UGa2eWeGHQXY0yI8YG4OCW0FG0fJBB200GOGeZ +ef001008m00Gmv0SW23ZeOp@@F0o70u@@J0U100e240G_30WWlI01008000G000W00021004 +0008_V0G4102000400921Y800000W60CW4X0m@@@00007h00mJC2P00000000008ADQ20@@@ +@@@W1003000004W0WA0C86aMWF00y@lP09G00084H420001Im@@j0084u@Vd000vW00m1000 +0000dE0C07002EpX00WZ8I0000GG220000a41m@@j0220u@@@@@@@gVQ4000Bj0000300@@R +90i1KF00000qi108B4GIQ95O32U3Wa2m3G00GYTdm500u@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@3J@@QXR40003M00W2x@Vm@@hi_j@@P0020o@@F00enu@VsMyr6Wv40RjFp_rvCD +yC000OPA00KSGE@@@Vh_@dR_@tk@Vjz@Fx@@jd@@wx@dU@@1S@@@@C00O_E7_@ln@@@@X4Sa +0GzSBbd89FcdGdYF00G0O@SCqF004JWGvDI2040W0xU8Tz70800y@V500aiQ4GYC0Ou@@Jw2 +ebgt@Vw_@Z_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@7hFS30300y@@@3_@@@@@tx_@ +xs@Vk@@@@@@@@@@@@@@@@@@@@VVuD200Wbq00m@@@@@@@@@@@N_@@@@@@@@V7y@lX@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@J2000X@@X1000fH00W_0ofUWP0W00y@FC00mH@@F14 +000@@dJz0py@@@@@@Vt@@@@@j000GM0eW@@B20X4_@@@72ieh0Rm@@2108lu@@y100GbqyI0 +200_@7U0001000G@@t9GjF0@@x4008W@@T2000ar10W_Moy@V3000Ky@V_0Y00czYd@@P000 +0ya08z@F3000y_@V90018@@@@D_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@p60Wzw0Mb8f0I@@@@KARi103_@l800v3t7pF00000200v7Nad10WWy@@@@@@@@@@@@ +lv_@N_@@qa@@Cw@Bp_@nm@@MACYzl500@s@@RmsyFqJbAFr3JzSp0yD1u@V38000y@V2000G +_@Nbw0UuQUW5000y@@6LwZ10Wdraz@@@VQxrmZ4Cm00mps@@v30082004n@@5100Ybz00mX2 +s@@lJW040_@dA00W8P54rF1E5fmdtuG5Ws3W@@TwwdM_@d4000Ks2002IbA00000007_@l80 +0uQxZI500KW0WN2ax1Gz8VcF2Lg2m3z2000W20u@Va000ey@VK004168n9G010@@@@@@@@@@ +@@@@@@@@lH@@Py@@@@@lC@@9x@@@@@@@@@@@@@@@@@@@@@@@@@@@t4_sBg720008E100J2So +kfpa7F60800Eb7ZvpD0010mFz900WL@@VjAcV320008000seVZVwP04h2mK_py@VB6000I@5 +c23O0000ed00Way@40mstb@YQqK0O6266tNE0W80zQamt@L000WHA00m@@1Een3@@@00Wawi +7yhkR30090y@@60j70G400iYBd00080800y32C@@JLpuR00GkZ00200000Y04000852t7F00 +0800W4w_73mC420000010G1200Ou3@kU130004mH00020000W0S4@010e04_V5000_100100 +0040A0GR@xrCk1ttZ1OAYCIG00GQ400400SdHT00@300000_708ZREx5F400WG000GC000Z0 +P00091GUu@@@V50080E_F4000CK400gN@J007jB@Cpdyl1CQ0u@@AYvIhm9S5040000G0020 +00040AMB11000Dnz00WOgtACOlY4AYZgc6sOsE6Qkp010G0@@@0W11WuEaB5E3EABXUzO008 +00040WlFO0000CT00Wp_cv@Va0012imd10400EXpWSra000aGHW6CL29Lzer@@U0sP0uLm7_ +Z2lH3h0012000O0e8204000000ni5Pc00W400W2CVc1BNjt_ZOKjc1WnL00000040HSj5y0G +4000000Y08mUbL0Wg00000hS0000004m820000WiBZb00sr51GJ820410p0GQ6u90000008r +VUI08W0060000000G6DG5bcGDAizZw30040UXx10G44W0W0J0q0401GPgIoZKWX1000002q@ +@F0W0205H1000nO00O0tPUObGFa0h1W00003l0SbX1zPM70W0WGND00KBmUcC0WG000000O1 +003mU80008W0a00090CZa4_@tI33mIM20000F0qi5UE00000L0y@F300O20004W900GI000W +100Ww6000m000W0WA008Vhw2sBa85Cmoq0WbMY700WbI300_@VI00w4n7zsqMI4n56000400 +2100000W51m@@900WW8G8p6hk5000iE400IlE10210@@lN9s64Q638002W000008ZupXkYuc +XL2h0W000W00WTMD00a00W0000008vtcmaX60kT100004012aQnD000WGRO59000uoS300W1 +0G01OFy44001amD300005000qli40a200G0G008004140000EP11Wldp00W0aCZNATT60400 +1000el132Nd10020ridG0a60400Ebx4GY00008O20W000W0uSsJ00m0m@@2110G8_R3000WW +0G09YvD0810SAU2@hb000050000010GEZt00uE8xnd0000800GG51hLamLa7l1000WYk@XHc +J0000hbW00Y82000G0V2Q94X00ZBxnPPF00G0uA_7QltWyxD003c5800WLnCe3V3weiE9200 +BhnmgrF0Ql00G00000200010G4G0wQGb@@teglMMDFXOph000W0000UP2000000W010iP5U0 +e800WA00GY0O4S3kLCXr@J00GA50040000H8W001W8W4fWG000X0004D3J80G80EqZ10202W +0W0Udy1G000BQQ0uB2608Y808GW0200a@f1vUMq21CiWh40G0001X0y@F34I001b0084000H +0000018a20G0W0W040G4Ol1QH80O60000G4Y20e4b01001W8xhL40W100G4av3YHJiF00eW1 +2100o00W@@DW0G40080002140GK0000000Wc06G00000A2W100W02800GyPVH00D8YQqWArn +uw1600000W140G00800400W20800G10A0000L4A20m__60YNz0O00824HG8A2000004G00UO +7I0001MrTZv@J8FV3020W00080W00Gjw9CLZ1G000100GOR081480009GWfUD00W0mNl@0a0 +0008robwL4_c100I0000aMOC3000WGs40000U180000084000Y00GW000m20012WX000WREq +70Y00mN00080001AG03_iWX@@j10pC30000cP60@@VYM0000ebM0e50o_l200Wb0OPQ08DB9 +D00WMQ1m@@81S30000is@@F4_c10W90I@d100o000018300OC000e00000810J000cWa041C +1e4J2G92C0004r0KY0tW41k1k1O2M3G00GxB6ij@R0W60000MAD0M6000004KLg0mXEuV0eo +CpGm@1WWg2000vEp8OcoGmCbnC@1W@100ggQs_Hbfi1fA3pv@C0qI0Osw42nLhtiP80Q3wSq +000G0D0OGsiR0000HF00G3wF47G2xJdGZ0RqCU8rZRGn@9aK030G000G00q0W1z_l100QeRg +I0001u7s9q7W1dORGZ0XaxC9Df3J7t64Nk1WUR0_@@gOeD000800a0Wgw3100G00e0WHlUeM +T3000GHO00ePz40800CkEI0W01shsWdvD010G000W004000W0000208002000K0G8WWQ0C00 +10W0014000G08800G008W008hR6000N@Sk1BkIrnj6WW04OgS3ULA120W0PY_020W0001W00 +20cuc120G0@@R08N1W5aD8DN3UN7900G02000gXtWIMD0800G6uCG000W20W040001002000 +0000I00100000800G00801Jnp0000O_300Xmdm6t8124001000020WWrDON46gddXUxJ0000 +nhm6000010080000201008100Amt0000Yf@RmMuEThV200G00m0000HWu@@A0m00000GeQ93 +W0206CI20G030WWX8011W0W0040003r70pw7rFz64tF3FscGejI008800004020ex@D00G80 +0800W02o010G080W0G0010003f00WdzD8Uzb000W00104100W040000000G40000200G0eS2 +3U_J20W02000G8G000C8200000GY00000000b000980000000Yrj_H100WW8GWX004080072 +00000320Z8060100808Wv9Iu4k40001220W00040K100400M008G1W0OH4H8We102a020J48 +0q0000K70y7TKJqR004020000a00000000W20OOT9MLt0000200W051428Y801000292G001 +0024700p4IG3001H002000Mi1008100800YKoF9XPe200200090008W00W00004240000080 +I100W420w8b1000K00081002H88bYKGamvs68010O5U304000G0000G5QTBK14000400WG00 +0G012o00Go9t00m42000HkUpWEeJ0H00004100W16004G00KG8800010m44000Y0805Nc0Wh +0WTzT20W01805WOwDOoT3G010H0W002W0s@@IWXW000mX90042404000H80N00A0094OG400 +08YU8I0000bW10WJ@ZgSE3EnC10000002W0Y0G40l4W020Aao01GY6IWW0408G01G400W000 +0GW6NI00GzT9_H10410WX0GkRC84wl1Z0GeN0GeOoP0i00WGOD4000100010WGqx6080Wl0f +00884o00F__0e40WUxJ8wQgQn764000Mcc00XG000aI0a1d083c0000SMWGWH0I0KTk1@@N4 +Ws0O4i9m8W9WHGI0f0c0o6P1aD168R0_100i3u700OE00e5S6041C1i3I203O700OE000e00 +0WKGWWI0k0K0O0W0m0G1Y1W24G000uU2G00G000mww8100AbWCp41bMAbcXPAjqmCym2w1u5 +Kub28cP20cdHffxz000eQg0WKQ100GJfQ1GBJ30B300gM52I0I0W0a42X89185KG2282000G +o00bmVufwFaDR2000GS200y@VNzz@Gc@6a0TB00Q83IGk_qh8pWAwbs0mVB0z@dPx@Zb4S5F +_JIWu9q_l100KuAHHhEfJO6MFg0tWGmDO_S3000010W0wlx40zp0ianLRXpGC_Ua9i4vSRmw +_600007x00Gs3Dkqk1040808000G0W0000000SY8B45CE3m73aKw2UG0000002uB00ewbAo0 +HH00vT@nPGX2GM7F3W140AKumaFm0000ex10000O0RpeOLu946U200qZB4dX4ay3000qa_60 +840G4000W02Wi_D004140W4000200200kz8G00100300000GmG003000180mmtzD0nG0WG00 +02G44000HlaAG200A0W00808e0000W0W800W00400000W_80Xe1020W0W0GR6DUpk1081008 +000IvG0800HC0C4prUfoL10000u2B0G404BNpWViQ9UAx100091W9GY0J0c0cWmmP0Wq0a41 +c08280O2004400CmcV0O61Tu12ILLc9CS6000mAjP4qX708LLP60000_700g3xy5pDumy4W2 +00iTE6Fd@000101000DCB1eC3W018PETLIAuXhmPuk@7QFsWDl310009R10Wa2SgIwAIQZ70 +0CqH56ubuX04B1OOl@ZKu@V5@lNo@try@SH@@Mr@hbz@PT@FMu@VL_@QuK@o_DgoVZlxb000 +0CV00W01u9VWAIA8aiumua@A00q_580Fv3eIBveUng1VSlnLtO8J00eUz@pq3cn@h0qL2GtV +4UVw6000un000qi@@pm_VCk@@2y@j8@@Aq@dYz@dW@V9w@73N_PWpmU@98008eKS3W00046U +21ZpGutL000o83y740000400eRS624mZEpberS3o0dXqmDe8y4002246E3jXRG5w6iQN50BP +06lZXi0geH06YrRc@@@1000svuI0001u@@YIUtWtrDO_DFc3@XTuV000vHUx600004020Icx +9CwE3@kR0W0000100008W6A@davbeczG0MB0ismCjEmJS66ahN5BxL1000Mf300@@BHC_I00 +W000W00000ac_D8QW7syhYptDuOV3MhhbiuV00GgHs3900209xXDEa@@2z76000s@@C0011u +@@91w_0K0o3Y000IA0IF1007RUo@@u100ekqI60W0000H0u@@@@@@@@@z30W2m@@9000Gw@@ +@@@F70003@@R000uQ20000urNtZge@@T20a0GFrCSva7@@BzeNmCqD600kt7mf20002@OPm5 +mp4_c1fXB10001000200X00G00L7d1nXRGJS6qVL20W004002y@F30XQ0cCeYOoD8GW4gLJb +a2s0020004002400G024cDt0400000W0w3tWWkIuyy7000mQ300eQyAkHtW01Ce@DR6Z6cFs +DObT3gRd1006h00000004z5c4dKFpuOF00W4Otw4kMd10G08K000w9JYktIW000mWuC0Mj1u +@@48W026Nd100042SFXjnD8g13000248m3@@dGgS6SJ_3R2QGoQC0000S8m7AlF11400JuBX +0080000uW10000000102O8@4w6aXK0U8kmAA643200m0002000800G0ui_4008400048m_70 +004i2m3JiR004G00G000mPB2bpWtxJOGW4oPqWi0Ie6V6ILnWe0Ouq93EU@XCzn0000a100W +i7yOwV6W8l04@V28W01JAq000G0Pz@mT@6azW1B@NHl_UyJV25_RmMz9aKf1G000wmhYLxD0 +000C700Ws1cfalDYbK20Y00B2eIIYCyjf100IScVva66a8OZ4Qw7CGp70nV_0100WNAVO1GL +80008000unmDYlyan5P0000gV10WH6tOdn4g1zXH@t00100088WsHJ004008000W000bac00 +0044004XX_002GWqIPeiK34Y00004000WOSsZIijg100X02IuXoJP000HGq0CS9n3naYnKaL +iKv3HfA10R3WmNJ8Sr4400WyrY1POyG_4a8000uUK30004W800000Gudf6yHg10W08000000 +Y8uZK609a000000W02mkcCa2W10440000GeE00e8r7onqWZJD8BW40G2048G5f2m000WWe0O +uyK3oyyX0JDuXL9000GqrA3VfQGA060088giK90O00qvQ2P1mGW0ISmw30088YAqU000iU00 +0kmr9W40000W2AFs0000820100G1Wa2G251OGA0K45060008S800Cjx300W00I00008AeT06 +Y6WXy1C0200H_0FKH03@@lKdd6yeX1b2@mZI6y@FXW0L000000819u@@40002T0m0000XwjY +700000G0W6DcXK0e8AWJ03_000000X8340000G41000000L4429921YAG8a000080KY000Y6 +0600000800R0mW@@P850AY2W4m_F0JLZHq0y00G8e103I1WYe0G9or4SA000000eUC3se_Xe +1i1W1W4e0C0801IWI19W040A05a0W10OA0K45m9000WL0W14aE30C0DI5m0O1O0G02a0b6I4 +D0CWZ40009Em9v000000da3Ea0008n1uI1WYe0E10007p2a0WG40000002H000d0GW40Gg0@ +0IY0GGYa0000019800008HaW0Ga4WC50AY2u4000O83IY0GGWWJ00001n10000GIadf2OWy4 +9100002oJ0Y60c@@@@Rx@x6@@jr@FR_@lsNwD6Rv@@D00mez@FR9mgo@@C0ws18NXF1000d0 +e1PFyVuS25GA6@@FMxmd000W1Z00my@@@@V5jaNHLvR0S108s1p21dXEIJ850A_@N2Wq80XK +y@tbOyOj1f0GHKXL4cuXDez@2S@dWt@70_V1Y@F0Pfrw_G0q6a205pBl7008G402H0200008 +4r1W1000WI1WYTet300a3d000Wn90T0m0EpnfK0a86q@VHz@MO@V5t@J1_@Ja@l4w@7n_@Gm +@@3z@xW@@Dy@@@@@@@@@@@@@@@@@@@@xk@lky@dRFP0W40xSxnr@R06s1OPVpG100q1lAnh@ +0M10Wcz@VCz@3Z@@ly@@@@@@@@@@@@@@@@@@@@Hs@Fa_@@u@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@tL0800@@l40008Z100@@FMEudy@V500Qd_@ViSmLv@ +@A0ip0azm@@@@@Ny@tb@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@d_@zn@@U_@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@ -7218,720 +5856,364 @@ ZUUPe303I1WYe02PX@D481WW8W08GK30001Y0000G04OA0IyuV50008PGW404Y0HW000G8I4 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@H50080040W@@31W00m@@90MC0u@@@ -@@F70040@@d00W5o@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VCW000y@VBWU60_@@@ -@@@@@@01200K8d1@@R0U00W@@T2010m@@E10WMFf0I@@@@43dv@V9000mOD00u@@@pUu@@@@ -@@@@@@@@@@@@@@@@@@@0vu7eq0m@@@@@@@@@@@@@@dgE@@@J20WUo2FFy@@D0CE0Sb7RTEom -k@gO500u@@@7y@@@@@@@@@@@@@@@@@@Vg00W0y@l400c5_6IKWi80@@@VA5UdpE3Wy70_@@@ -@@1600Gm@@d04Q18qn0R_t3000CXFo@PCLy@lDW630_@dGG000xcZ1000Gv200HACPzTC00m -G9mU2@ip3ma40Bx@VE_aVQe10L90_@@@85sEDi70A20q8qR00W4MCJ2Y000@@@0084010000 -00G000WhB00uKjtG4004W499WQ0040000Vl@@@@@@51004bT00myDrjvg12000_fjYaXCW00 -00W00004n4@@75o3F8a2KGee8jWI0UH8H82O6G0F8WKJd51008CGuHqe0W0004O008uye0OW -NY92Y0p04u1XH1O0C8zee0IWv000Kl1W@@T20WN000g020a@008uL9G00020u0W100100820 -0G000Wy@009208000G00W75W7E080001000210000Ht2Cy@FI00W1U1uY@@DG20m8p00W@@9 -11010000D1100@@@@E_@@@@@@@ND00eY5qXv@@@@@FG00v44200_@l200WGHZQGCFF000041 -0000002n200p9su@@C00T0s30000W0eSuvaS0_00000Im103s@VW@@@@@@@@@@@@@@@@@@@@ -VNz@lr@@@@@@V@@@@@@pV@Vyv@@__@jt@@w@@7X@@@@@Vfx@FQ@@X_@@xv@t__@ht@Vw@@@@ -@@@@@@@@C31008wVF0YB0y@@@@@J2040W@@z00muMEy@@@V2020Wg08XK@X1000iE10W@@T2 -0G40820Ww_N20GGm@@Z10G00800GI76y@@C0kB0cT8A20a0HA8AH00WPJC000Gq@@210W1w@ -Vg000H000G4000u@@59Er1e_az_@d4000q0300_@tfU74w@@7004WiHHK70C000G400G4000 -800Y0y@lGWcV0_@NhyCC8IX9Ru91000a00A0_@N800qv@@VrMB9yKWGWw00_@dgsHC02W2W0 -0000G00P2yZO00W@@Z204004000000I0G00IiAa@@310Gus@@H900000C0GGFC8400u@VU0v -d0Kz0LeP90000MAD00OIfY0100CT4L40000W80W0000011mQKpy@F3002Z_@NB000D0000Oc -P0YM00u@VU0X30yqZbvZmWv00W@@@@@@@@@t91040@@p30W0k@@ZI014W008040G0A0W2ATn -W@@@14O1m0XQLrZ1080Gsmx7y100@@x404G04800880080200100u8EX004K_@VK01040080 -10Y08LF300021G002040GMX64RpCWmB0_@tf52C8QI3000G000040G0mya600G081mP000nY -400u@Vd8010W404002AW04G0180H0IW00001m000wjf4_@7600a1@@752Y000004040000C0 -0108W00200010000GHIb30R6W@@T200H0M0140n8eq020026b0110IWWIa0G4310GWW0G02a -o6v300@@x42210C0m00H0W4WC420004YS001202410W0104W0008GC0u@VO00G4z@lJ000e0 -20002000K00W0000200100GG1000k85F08E0_@FA000m0000000O10001002080100060JNb -30002v100@@J500WWQJD040GGPg@00WPy@@hMp4900000610_@t900B2G4045200008W4G08 -00g0ecuCuXP3kqS6000mC100_@t946C1GAO88K8GGeG84019uD56y@FF00Q83p8AQ2S1s4u2 -m5W18BW706068C08GW2GW04W01808C2eDW4I0_@t90miWaMA0T0UpGbgALf15415C44A88WK -G2W4WGGe400019O2Wpu100u@VpcyR300W0TNl10WEw@@Jxzu46G660m30JKRGlb@y@VNi100 -kuqW5IYw@@70240y_09@@B10WUg@@Z20G440G0Y0aD0000021W10000W082W000aqjD0qE0Y -tEA02280000G0e880W020280001G080W0100000845tC0008GC00y@lJ000O48000G0G0GJ8 -mWP600G000m0GQpv000LiPse000W0Y0000008000GG0G060WA000100O8G000mTg9y@VBW0V -0USDguPVOn@V000GA800ehbh000100W0ODS3Ehp04000JvQmChj0WOS_Kch0001svg1JnQ08 -0aWgyD8EsP04m0iiFL2104_VG21001HARZK00WbzZ2W0G80000800900800GW000200003W0 -0W0400000G00000DIVB00OZIpuA8008zHRGVY600G0O@H3YqS60N10Bxx40a20HO60200fW8 -990G0aYK0098100I1G4C30G0WK004014X08mz_j000WSNb4os79W00O000400858GYo00W02 -0294005800C00WK00404G6P00001WMfR100DLKXH10q044m00G4203W100G06WW1G020OaO0 -IX0Ha00300400000G0ivIBWY60Yxt904010W228e00028WG220141G404GaI440G10K200W0 -0WW0000bazX1h00Gp_EX_0G4W0_20Y0H028O082w50WW1mNeN08284V10H00W00C000HGiui -4pdl10Wpe@@T200CZe@30H@70cvm3yF0gOcPYs3F4DLV8Q@1GqKLb7egAFu100m@G3mi@j0W -W0OPVd00u92y3I4u7u7mFOFWVWV0Fnz0UYW3y41t182k3y30183e0G40om76X200Dxxa74CJ -P8egKv1LfoCp0WggYWLL51@R62_700yF0C3000W@1OrVO00qubnjzPm@GznC8000f3@P4600 -SUDRb_F30WkzoC1hxu7IzV60n00j4U5000YQuCu1bJg2P3H100x1QJ9XXqSS2nHRGpWv000n -i7Lg000G000GeFQ3_FG808707Pas2Ws0000pk00mO8Iy@@@L8y@44@7Xn@FWyV3A@l0p@9uy -@1G@NWq@3GzV0M@@zEXT7Fpx@F0Qb1eMHs6zV6000mXX7rTR@NNt@pzzVSX@@su@jL_@Qd@d -Mw@dj_@jts30mAv@@JwVUcAiG2812myw46uz37f@0I10W@@9PfwSkd@Sms40RXxKCSH100Wb -m00mXxCCvU21HiHCSl10uMkkV3_wtWIkj4S42GBUNzF_@zh@@_y@dl@@@@@@@@@@@@@@@@@@ -@@@@lqfhj1000O_200@@ZqCRyqLs600O@_@Vf5_0x@VgoSpWsxy8yjAEWZ10008k300I2BAG -0000800Q3@XI0OuyF3I28Xi0Iu3n4w3W100Lr@@@0004mYmBADG3YK810040D2AHiW60408u -Qm70084y@F3WjK0ohuafpn3000jZ00W28Ve4k@@@@@Zx@lu@@@@@@@@@@@@@@@@@@@@@@V81 -00mJ500OliF10KOKjTHNTt5Ob5WMrjfGy7AXZsDqjP8_7gRp0003KRoZ1G00W@hJeKxM62lY -smbuEE900000W00S9U3oYd10H300e00004000800200nd_9G080uB@Y0002aeF6VpB100102 -040n5_00006I000K00002004dW1@@R0G080W020000G2wFX9nJx0T6cFq000aTFwBHz16ag@ -@Vf@VNy@lb@@@@@@@@@@@@@@@@@@@@@@FI0800YscXOhaOWQ96OEXKgD00mBu@@TDVD3G004 -wOs00W008000Mn_10001dERGK09y@V20fU02acXDjJ00W0mjs85Jz300108008yKb40W1028 -l2000Wc5006NhhoiI0040G70CqDE6H1Om@@C00uvAeT6cJFXGjDuHTRW0280W00G000u@@60 -041y@V6e800Si_3@VR0002WisCG000G8uF0YW00000A0000000eJ0OmLOC00002W00mG064m -_C8400gftZMuh8A_7000m0Q00uRk700401A00OWE3008GCIt6@@N1028W8xD000WoX0CafF6 -002WYKW10W0000O0_@d100Gg5tB1WW0qqxJ0mm0GaumKuX1G000@@t00W10rOymjxm06S18k -030000FOW1lm9ni1IKA_@Zg@Vey@@f@@@@@@@@@@@VrzAR00Wba@@@@@@@FbJn@@D08j0GM0 -d45ECXYRGptR0e008US9oFEXNfJ00008C10W@@b0008GgLgy@F6pCRGzvRiYk1F6p0000Xzp -O01000004000Ok@@NHM0IyNUKHd5o@@90460uHYh69032000tNRG6RRyvj17PR0000EG10WD -7mpX5X4LU2xQdmSv6qVF3W02004000a008Uy70G0G000000mQH3v6CHk1W020004G028000W -0G706a2W1RKOpEvCKTU2TbR000WWxpJ00002W0020004Bw@00020404000000qr0KIW10200 -000W080008W02G000G080004W000000G0PvHUoUOZxs3v3T30000AI00u7y@xH@@Tu@F7@@@ -@@@@@@@@@@@@@@@@@@@Vyo2Z400020008z000qD69nShIRv9COU23MN102WWqpJ8Fy7G000i -VE300uqdqUZfhd9fWA2pk21W40VhdmHtICqE3WrQ0Y8da@@BQKz7MYd40001000mJYsWK129 -FSO4000KuDC@@pmFu6yOl1008rAOt00009xcpmhrjKFN50009weJYLlbu1y7wVBX@@P0Ok0G -Ou9ijk1W040YYBXSuI8CSLUyt00W000090IilYj4buw_7wkFX85I0c0001000W0004100008 -0Kjk1010G6StWMuC00W0mi2pKuDFlcp000ZZ10ZANy@lL@@Qv@VM@@@@@@@@@@@@@@@@@@@@ -lfUR_@V300Z3@@@0000n9o5200WGouIyEE30W80M8dXqhP8XQ9Y8MY6oJ0010GzQpibk10G0 -4_@t382G0nYpGFvR0000ZL00m@@FW900Oxge8000aqT5PUJ20WIpulRv@VXQnBargb08J0Gp -0Hz@F3080W_@@10020Ndz00W0gNpn00000000Sg0000028QWZ1G0145YRm_v646kD@@d0104 -W@@V0C00GCyF04G1OXmD000k20000GW0K3S9000018W0000200048tBKHYX5Lu@6008WU@@1 -0V50ZX@VOw@@5@@Tv@@@@@@@@@@@@@@@@@@@@@@JLBOUCxj4tXx1Gp6Wc2qA7E6_scXumJ81 -E9UvM2000WS500QukY3lb8fWV_@d1C0005epmytU00ufV_OgEANYMuo10000e74WMue22000 -000m2kVOiU6cMtW3xDuoU300W82001ihR9000mAE00ed_DAuMY9x918W0oTPFSej10100wft -00028Dfl1080WmoP000wNByH100W0WG0mq@6S0m0V@R00X0Ww@D8XV308W00W00OXyA0000A -000uuV60pD0adm6hSdpKzLazW4vVp00W0Zeyg00001y00WyA99xXMw6@@jr@FR_@ls@@@@@@ -@VUUbfY@@31000iW10W@@JhNNR00m1y@@@@@7RKq6qzpC000U_@@@jFA20002l10WvwUx@VO -00GhdK0f@@xtdvUy@l1WnB0k@0oWza0700GZyLKkEI7L@Vs3PMiE3Thpp1v@Nm_@3q@V0@@@ -@@@@@@@@@@@@@@@@@VrZ85_O2S600EDdgMhF1080GnvFyXE3pYR00WChtrbhwy7wEdXpsh0S -40GFyZj@M8Bol10004J200HrHuUt9SPd400CXIuk2000W5VRGDt2TEDv000er000aP_65dBq -NwdqiV2l5A10WznMun0001GH1E5D_@Fh@Vpy@li@@@@@@@@@@@@@@@@@Ky@7bFahXRmLQIa3 -l15n@GOyX00a08B0L6JRc8uae103I1GYKptmF00GM0dilEU00gxNWGkU5mugCC0K8046m6HC -4ocS600W08oF3UqpcToz0000c@00WtpVu729Up@X5zvfWVO020000WByI_7UseYaBmeVU3Ie -FXF2pPvl46@l2ml90PFmJu6KjIFj08MxBU4l4@L1mK1mu@Qj5PH000en900y@F@000GoUe8G -W00Z@hrJh6aXBF@@R0J10WLKxgUJX0006@@VN0WW0_@N80050@@@V4o60060u@VU00marn5O -00005800y@FFWm10Uz0C00W8BP@3310W@@dN00WmuKLihc70400Q573G120LSJrqt6K_6600 -10cMBa6nJ8ZRgEAdXotmW000mwvXq8U200cP@@FA40W0tjyGAv6iKd7bqi18k4WVmbBIT6_V -t040000H000008Si_3000W000G5Dp300016RtWxuDudFFwKdaBxVeWTCQidX_xV00mEL7_O4 -bF3DuRGT_64elAbmRmAXF0G00OiU6oG8X23PeqU60200mpJ8e@UC2RKYN_D8onAgwN80900z -yN1000jCSU8xX4wkWXI6n8EUp00S1jk@30H00knlYC@hOOm4ocq00Y00bzx4200080000a90 -obCdfDZw0UCy400SV99BKSr@@90090u@VC008irelAbToGT6HrGw6WoA004004Op3pg_000W -00080004000W1anJ2HdcmAhmKAM500WGg6h200G0000OTD00aiA3r@dmNi6a2G2BnQGvgF80 -009eL60084y@F30W0810000001y@V600a0y@@3lnjHU0600GkCEK9Q4j240001ZMHU0RaJg1 -W020o7D44100DaMHU060KQ1u@VI0040cUW7@@N1002m@@BAVX7_@t91082puA40W0a@@9400 -0J1oI00G20000W20000S10PS6IeojaYh180o40000008Je303Yor00a00XuYHK064d96e000 -_@t9H9400G85V9m040IK42008aYHj9h1d_Y100041900LWY1001u@@T200CXGG414YCG40H4 -0H40HW9214HG8ad848Y00RHN1000H80000GW942KHWGa0uLw7QBs0GO00R6LNvqX0W00yKb4 -ocbXUgBg26380G00000W009mDK9yww6004W2vMYHOI00GEVVjEz@VBvwOm@@60WFD4W40m@@ -600aW200W080C0A81W20000Lb0A0008BW400W1G110K006GW0CK4W1f0GHK0IqE03@@72009 -G00000G42_@t0Wm00@@d000m9o179000Iu0000wx341WG4G240002H4X000GW40000131IGA -0C8G2411W4OA0K45W409mSG00u04E1000W3E0000a31u00GmPE4a205007Ha00000S44000W -S8mJ08I2@@dWW000000a8IG08I2000Gt2a41WW49100002IG0Y1m0Ya0af0GHK0dy@@@PQ@@ -bu@@oiG3yRGaB6yRJ2ZDNHZp9ivC3rER0000Av300@IZng@XKAG5@@R0400aTiIuHwDUArW3 -kaO_V302G0000000m9PhOXafr6hyRmar6CQz30060kuAX9A393x4Qwd1000C7PR0mE1WYqz8 -JxDY8mW_@huLsD2ZlY5vt0000ix00WxvB2000IMz9yd63W0040800a6k1fNdGhz6008G0K00 -nFo6y9x3DZd001400022@mPGZfLSal10G086ep002001Fc0806WjoD00G0m3p60e00fLV302 -808401Sj030300040100WK00054X288016G00000g02H0W00G2100220W000028000001GW0 -0G00403080140024W2400vd@40Eq0SWW1050012000480eP03oF81W204000G10000K8W000 -200eG0800e000010Ce00G0L08W4011O800200600CAe04K018G0801G203400008080W200W -G8G000B40031K0AG01C40000W10G108002G00eY00G080G0200002218002001e002808002 -0eW0W02OFV6000Wsa0000002030e0088YSt00084WG0WIJt0010m000108mG0G0W2G000W04 -0XW0000A000e01A80000J02448G0S00000050G1AAW04G0HjcOqYR20002G010SFi1DWPGWy -FiKx300Y5@bdX3Rhu9@4gwmWsYD00G00204mPbD00400200005W0b6dmto600W0000GGWz6i -QO20600_NK2000C9EOGou90001G000mk_60mk1eAt4W00000800000100KWGWJ0W02m66643 -D3pvR020084000BwRmL@900WCeSG66ArW_UD8HQ300200200W000481WWNVJ02080000000m -0BzB1008IC30a30pG@p6aQ23tdcGYn9ycl18040_pd10080RwR0W0004000W003060W00200 -000I706K8U2V3RGK060000W1W108W0W@0Iu@V30W0GyIS200MLMfsW61C0a40GBs6KZV2D_R -GJh6aAG2v3O0010000W1v3OmRYFikj1000G00GGCFv33rk1000uEkJ0000s_@90gC1fEs700 -08qvi1dERGRs90IG0uvP3kmyXkTD00G001000000200a2001000e00307000H00B10010001 -4C0O100K000000803000010800WCsj10W1W10G0G0e0K0G0400GG202000A2000004G9u023 -000W82O020C000020C0S0W081050800Y00WC8Gb360W000K20Qx46WG080000C0O0upKC020 -0000IWE0C0004080GWTSD0W00W08100800000e08000W0W00004I00WhuDG0020105200000 -0Ge0G0000W900040805Wr2C0m14Giv60W00iy43000000WG60G0008200I00FNQ0000K0008 -0C080400Y00000W2mDg600G01010mRf60AW10G000W90WpUD0e0000004W2080800G004CGt -3Kq10e41C8410ezS9_8X10ee30G900M29aKQ2Xjd0Oca04000tbm05TG0A10O29106qU3000 -18G370WI40WG0v@@482010000eW23YYF101010Y028A000140u@V6W00012000100G0@600W -0010HG_36ail4Y4886yc101010202scNYK1C0200Gg090GW00102GoxIy_U8h_3300NWK1Yg -Mwe0k00qLTK0018U@F10O020WpN0g1000E0ep@D0006010OqBWQ00WYXOXb8Yh70W10a503L -_NH_0645W11ImGy@6eMhr60MdDjwCRQrQhigrMLD00y@l1Qrwi1crPZhEp6NjsAjQjLLNhrH -1y000081000H1yWMh6000m0f24LwD9SbT8H1mGpzR0GD1uF_4MVF4080808000001qmj780G -8011GW0008sO3I5tWaZJ0400nr_9080e00400W2WWunDuMO90040000WpI20GH094dS5nbRm -y_6G000eny428WXm@V0WW0mY@94VU2BjR0000aR_PufV38000cl66020000KIso66pldmopC -aal1000228WX@@V001800090W4W0LZx10080201020W080W0qsl70uJ46jEXzyz020000G0W -VOUuIEF0000jaW10W02gHoWdebOkV3000GyoY100K0G0084FV20Y4G000GXU00uuV3000020 -0X8yf4st9XewD040000040000KzPRmms6i2W1000010001G00SqU3080WCmc10040028W040 -0vRR3Y8p000100400wnAXoPC080000080000WW004MQZX@@D00WkHQt6ysj4tMR0G0040030 -0020IsFXqiPuT@700001000vk0301000G0W0KG011W00W0W0toR0W000W008000W0200Stl1 -0002A5t00G40808G001G10280G000W00W85C0G000813W9@J0400Gi@6CDW10180004G0080 -0K000O04WC5C0m000006WRkD0002u9v908800400mPt64L_3Fqb0O00Wi7seh_4cz@1000OU -400000W4kk1nWamhjmy@F3pZP0000000WW000a_@t04W0WHzdW0W0Wzvbu1I60200SxW10G0 -0G00000Gpiu_4000G22006000W000804042008oYt000H000W06sd102000XG0ELn0G0009f -Pmw_CKml1000GW00WbOi1404GYLd1008080G000G0Krl180020S005@86YzP06noWoyJexV3 -G0G0Kll1XsdGvb6q@F304080010rRM2FlR0080WnLCW1a10W80W8AIu@V3cmoWu_D000G080 -000O0P20401010qDX13r@GOy6GQ228@i48000qTc1RM_0840000W1PgbGK09Col1Dvd0G0GW -KCb0004H936CmE6PZa00000G4000G402q@100Cw1wRmM@CCtF3tkN1010XEDt010G000010m -0p0G2000W010H300mGW0W8eejJ0404G359010O0p4C2W90GG0W8000W4W0WG400g_Q300W09 -00440000uv712101200W00303010100I00WY10010O0O1Ypp0W000WI80k_s0400022060C0 -228000m00b000aUpCW000mY@90W100080814000001GXB1C4W10100O53303100W00DlS382 -0AW40G8A03004A00b0I0O000WW81010lDO0e0GWapD0000hZ5000W000G30000086840G040 -002W2qD0820m1560W00000e09001G0WW00000GGGW0W9G000o9a90002080G0W1110000810 -000m00WI0000000AZ44eb20G900G00CQU2feP0081100WmDfQ000e4aGW2q4Gb00Q0S_F3fm -R00WvBa80000G0I5mWypC01A10240WypIeRL3009045038805v01ALR@32000_Vs01000baR -002200GO98000WW84y@@322000W0000H10000000C80G80IW000X2Y4Gh40TXWsstWcpD000 -1180Y040000H10ogzXe0U00G04680004W20000Y5WX7wDOh@4USVZhuPuxzD000GhN00ePVd -gqtWltJOuVCkxzX0_t00GNsNzHrSR24000I5hYHQh8fC9woD1W_D0XcLHg0Lafc1H1uHePI0 -0w000S1GePX450600084GjMdas30W4000I0aaM8H1WHdnCa0b1a020Y6g200W4W000500008 -004000meh6S0d400KL_hAXRuOOSq7_sr00W0008043LkY5ZJ8gV3040m0GG00000IhK64mN5 -W00G00G2020010G0841000200dJR0804WyfD0W0GGWp606X10040G4F60010W0000840WvfD -0G0808040008WDvQGHZ9y2j1rFdG_oFS7j10040d_t00080TIRm@KFqQc1011G0W00040HCg -83UnsWkiC8Pq40000wO008vv4W0000000AsP300G0G040ADB301G0ie4302G0QssWDkIeQx4 -6Rm00010W040tNBXzdn0W001W00aOZJuP06Acc100mw00W08000Kac1XooGGsCK0k1H1aGiK -p46N20200010GaLN2040000Y020010W01Iat90A00000104120000Y01000Tn0CKh1@zR061 -000W0200H4000C2004OBx4sOEXMeD000W0000nPhaeL23008W4Yg1004G00884tT20X0W008 -000G000028G05G000001280Ae0iec120400A0000G018H0mF49CTd1M4000081S@j190G0G0 -00W0I0X0a0G018W048004OsiEXw1C02W0mTv6qeU2G0W0004008WWu723Mgs0020WjhR0000 -G0082W10014002G006G02CG000001000AO2Qt0004m00O0I3m0010W008000GG00030m0000 -A3WCjCO_D380002W0W0W0W00W0000W400W011WG0G80OCE300002G800W0Y100C000GWZld0 -008020W00G0000W0E9C3LkZnFy900OW10000SW00G004TSd00O1G0000000CEZt0000qM100 -0004_@l1n0O00G00S000HN_GTwFSeb1Lf@Gmw9ChR20W00oYp00404jf@000WWGsJ0A0G00W -0W2xC0480GKaF00OvY022mZ890220y@V30G0GSBl1FqRm3M9Kbl101G2cXtWkRDOrT60004S -Db100W0800400IWemU3MLB1G0000GG0_BmWTaDG0000A0W40G009bP0G00eC_D0001O@y68U -m1utq70080000G0101GWK6irl4fLO00004200W0a0W0200J000uKT300020020eEV3O0P008 -000140mfwCiaj1h8QGK0CCU76LuRWR90WCdJW400mUiF43d1BcQGyP9SUc1BpAHt@Fy@l108 -00O00001000200If_6SHB62000UTYXr@J00GVR6@648l1FxaGpq94Rs3JowHRz6G00804040 -0OeO0e1G4820WGeA0008G0GGGo@60G040W21GhU9800OGn081G40G00mG40001K0G0100fsV -3YFB10AD024022Qs0080400G000C00084K0G8Kqn600000K01006000880H1O0W1G8G00KHd -O00202010G@TRGIq60W1000800140000W00P208a40G0G00e0G0W0200A00080G000W0W00W -00C0100XupCW0XC8062810120080G0GI108400040W00001400080000mx358001000c0000 -002642020800G00G0G0W000W200000O2Dp0G0W20004010320000012220008081004G66q0 -00020102008100208qC6001aW05K4WA1W0I0WJsJ000KG_O64VM200WIG01QGf0L20HW0021 -WLwU00GZGKPC002800Qw06K2WTEI8@C3000W4Qs38W0Dv00A10229Jj72Dx1Y6408Y07G000 -0W408qC9YFB100eO01G8a010021I8Vi700000QH3QG03omtWV5C01000G2XW0wD0008IeP94 -5G2tWO0080000G8fwdGK0600010020G1oaKXB6Bz@0000CR300dFxqnh6a4u6JE330WRrGpq -AUP3000ScFc7ndLn7@900000K71Gg0RyOy39f9HGpa4QMcvP7rh0NrLWhviB1101mpeV0G08 -u0m6aDT2fzR0000C_300hzRmde9yny3V_Ank@6000CG000mrlFagR2V3mmcXO80001080400 -00118GTi_0000e60C0000000g@ahzOcN6UrEXDFJOz@40800sIW7DQNHi0FyUF604N0ogQ30 -08800G8EfN28G000020QgAX1fbOW1CQuc108000104010000A0040000W0aQdDuPS301GGK1 -W1000GrD00iC99rCNnTy90080ugS301800480a0020G001000000KWEQpWooPO6h4QPt0000 -4J5QGpr6000800e0G5w90G00000ru5xFy@l10481AJtWENDeED302W02001000480L01C082 -000010G2Y00841408288W8jC0G00400G6G01G004L008W0004X0002G0DWEpP02W00G010O0 -0AG0800300110400020004XNym0St3m@@C8040uDU3UddXoqDGHWA000040K0000W000I010 -0308000a004118e01200I8W040018004G06GG03C0260W00002001GG080Y00mG00bUd0000 -0128W04000WW00G0W0202m8y9Crk1fb_00006O000dspGz@6qjS2bVO000004006WA8010WG -010006GG08a0G100WW000HX422G05400819002001WnIQW1000000Wg00001408040em_7oW -s008200G00cNs08048W00WG0200080000800G0WlxJ0W00Gsh6CrV2vKPGzy6yYX1Z9cGrZ6 -qpl18016W000X0000041000G8210C40W08101KMl10001010Gk@V20044w@r00001000400G -0qMf1z@d008G0W00WbzP00000G0W400040020001Wv@V30fA0qlz90C0000400W000080008 -06000C9@RGD0600G0G0020400WJ_P00020G0W0W0000A00sP6ZnrD0040200H00G0OP_R000 -WWG5C00000008gSnCu6yMUttWxyn0W00GAr60C0WOl9CUwtW0vIOY@4G200Kol18W0W0004S -Ec100sDMC@40040paQ03030W000xPRGqr9CEV2b_@mQs94lV2W0806pDXK@PeuV3WG2KMrl4 -0FP0MAGblJhOGV3000WTyV20W100040W1W10C08Gow6040408010040004040L0O0G004KX1 -00W00035Z0100010004200000OWO200A00W20W400GfN60000HA00mNmdGG0O0G0020O0O00 -084061W0C000G04101C00c000G001K2UO9100400X000G0006002000i00021404G00OUvF1 -000GhSQGqr680L9WeGX0C800ZA50204188100W2GX22C4gG80000000kWNglb0wC0C02Gcu6 -0G0G8rr4000m0040eQT3EiF10G00qm10e40W9a04e143wtFXGiP00bGY0qm10Y492G01GC00 -aaj1AX42M@t00_E0HbfIiw900Y800Qv00K20Wb08XvdGW@6irl1nId0W00G0H01000002304 -3t60C8804GXmWWW08WKGul98800eoE3Ypwa9xDuu@400G000WGuj06keUcmuVOnV3IaN2002 -yvUjtUTFqVl1G00Xgk@1W09054qLWrFCXT2NC@GWSR000YNq00mqJUytV5fqbGUsC4TD6@@R -GqrX004100Y0GqrI000u9ryJIsMYZRC8wQCsb8XNNUuoS600W0iGH516_GIH6000WeKdAAMW -aRPU0020000GYLFIW000Gl_9qZT2@6PGQt900W00020000IWubVuSN3000Wqfl1jsdGg09qd -c1fRpGxi9aC43FEbmqxLi4k436dGYpOCzl1PVp00WknJYm0800mVS9qKT5p_RmWNFiFU2NEP -02G000G023oZHVK645W10008EgK2K00000000ko04xl1BGR0WG0WNvPu8V6Q3IYj@Dea93kp -dXz2I000G00W085001VZR001400082040000K0qFW1v@d00X2000400G41clt042004400EW -n0000yY6G0EgpWBnJ8GO300G000W10W20GXr6G0040e000100B080000A08A000WA04W4000 -9000Ga2000W080GW80eer@4W0GG000020WW00004000Aj5RmmM6000050W280G100Y80050G -0A04210040W0A001W8eD0W02100aG04A878O00WbbqkD0W020414614A8011C00CW2200C6T -303e0G24G58300K1500828020G0I0W00000WW020002W02009a0kls08000010G020020200 -00I001204080WG001040i1i1W000H00000W100m0CO450G00G010O00403WW000W2C8W14G0 -0H814G0000H8Y10Gi20G1420040W1000Hh028G000002WWW40350e22800D006O001W01000 -OG0XCW2WW00CG801W2CW004f0010X4002W10e012000W0218WG2I804O00011W40GWW0XG0n -6fIO008uMU30C00asb1LRbGf5LCLt3000e05000000yfV300104LX1vKRmvx6G004010G004 -W0020000GWt5A1Gm10TXO0042WvyD88_4Uqt000W0VVbmj_6qUj1B9B11G0WNNhuDV300S3q -BV2xQOm5z900001100040001020pm_04180G0W04000smFXzlDO4C9004800WOOR_70020y_ -l11zp00802G000Rud00W4WY4C0000W0b5WrnP00404200evvVeuo4wjt01800DHbm__6iNT2 -vHPGqrCW8G40G00GSw6CYV2HNRmjh64Yj10WOGcztW33PekV3000mv9208gC3ops040000C0 -00IW1yxl19_dGUsC00W040400009WmmD8qC6kAtWv@D01800W000000204001010jwl11tbm -Ht94Zc120G0G0W00G08uGE30G00qEV200Kdwnt04000BfOm2_60020Qsw7YltWzlP0W00001 -WWe0U8IF30808006860oK2001G0C2JzcP0006Wa@D0W40G8a6W0W0000m0Y5G6i00A041518 -002K60EP23W0W00001OVU30q18aGk10W1Y1m4A30e02228C00WGC0C0P_R00100G1G00040Y -Sp000038cW1W31G0W04010000P0O010W800040G0SnT2001W8W00004802G8W158WPJD00Y0 -Gsv600201810010G002020G050008004W01224009800405aPm9x604Y2uzU3al041040W03 -000GW0W001K020WQ000K00eOU30G0W4fl10001G40100160004000L00e4000L400m04gV20 -40104014Oj10WO0Yks00G490GHj0eI0WW028H@4000100028A06000bX40qY62g4080a7vCe -mU600a0Y4408@C6GWMQIIb0008Wma@F00IG8FR6G21QGH0L200W04G0WOgP8ww70GGG020K0 -100Ge0CaIT20840Y281G00GIW000G01W100eNV3keF1GEMGvPp0W22200G280008G08aFm60 -0mW00WG45W1Hb5ohJgi6V5000e1F00aMk1tolHQgLKHm6BRVo6td00WPSkR302000090OaV3 -UloWAyb000C0042010G0JuRmM_OaDT8jXNHK0R08N08BWGs7lYyih8qiAYkcgyi@HW00mevF -GGG0O6_7W001KmC3NrRm3wI0W00W0W0GcfCCTi100208080iD@3W0W0Aot00W1WNY_GkpO08 -8044018W0GWcuP0422mxp9CPS2R@R0G00mweD000010X0GG00W0400118000000yy3mbf608 -80yD36IdDXodDe6v400GGKGj1N9y0000041100000hWD1W01G00G0Igd1000GG4000000001 -0Cet7gwd1004402GW00WG0005QWV3GF00asl10120000010409f09gUB100000c400200iVz -301300001Mzf19BpGyo6aui4v@RGxi6Sij100G0QroWDhb00WJyvs60080eij7YydXsbIO9K -36qVZckD0W0000G4ngzV0e00GLL6axz3PLP000A0G1A0010008GW0801ew63_@t0mfA0@@R0 -G04W@@J0004e010G0000290000000A40120Y04G051000G01G1G0002422m8X0020015H004 -fGW0080W03GGC0a002W@@DG400GEk6S2c1W0G008000G101W020010G008801400800kdc10 -44W02e000G2eiy400C100G01W012G024000W8100A6t0G028008I0A0W_1830500020008W0 -k6T3G4W02e282840000W004A8n1O04000Y0A0G0040C0X04G08IS3MtA1000WW00W040010e -0I0G04W00800C00228080W00G00G010002802C4060W0X0010W100024W0000240W02W08WG -0G80000YI4x600W004G000Y0O003040004G00000000W43000G00CWW1O04G010O024G011b -m0H8080001045W00GW0K0034W002n00W200Q0GWGrzk1W040006OyJH2niBnqdL0O80OeV30 -44WaR730p40g_AXGvDOL13_@sWihD008004W0000022000W02G000000844eGY0040028000 -W210X000030WXG0evfJOM7602G0Cqh4xV@000cWJdDG0GGG6z9aEk1000WT708z@V20I00YA -nWafCup_4kBtWUxP0080Gev9yU@3TbPGNhC00000W90vHP6iX_31pR0004WwlD8NB3010000 -00_8Z40GmJq3E302WWG0106rl14408_2s00068@@@02820020104G004090I01euL6W0044l -S2004040146ul1xwpG7n645030800AtoWL_h0WLIGcp90010eYA3EvtWjbV018202W0000W1 -OZ0W0G04WG088l@7kYtWtzDe4T34OG000G08k53MK_X4fD08040000004O4VuW10000000ye -2042_tWkGI8ExAYgLYB@DezX40002yql10W00K0G0800OGn0eWW903I1mG000O0G0GKEj1N_ -RW000asmC001006860A4A1m2008X00060O0m20msw60000_sV300iccVM2860f0C188Y0qw2 -S382002000G0G1000608000080G001W000003CB092Y0H010m240018102G00K00m5e60400 -W00eGEw9G0e40021W0W0400098080YFp0G0W005000W00G1208eP34W0GH004W014o3z6000 -0UHS3wzp00000WC61m2014Lc188W10WC0y@l16060gLt000013sR01O4010W0K000000XGG0 -4X018010044G0GnVRW00102020G000YNc100018905e1WAX020W200m_@64Qi11D@WI800Av -KWK202UsN2000a4Y41IIB100G98107u4WA102I8qiA2UE14G21KKZ0f409aRE30e00YN_108 -QG0W80f0102YG08jv42DBXWcPWOW0102W000X82100Y2W1000000sspS_10040IW00YNcXaq -a0000eW0020X0100WG2I8XyJmuezDczFX5uDOaLC05j04sU2nE_mdoOioJ2v3inaZCyRs67i -RGNx9a9V5000WG600iql100W4gmt01003lKZ1000cEqD00810W10WRryuPV3YN_XYCb8AW4o -7h2G00000KLc3uXK1g8JjD0070aai7XcDP0vdK0_U354QN@a010G8pS360tWmZD8tS3E1730 -0lE9K@G4P6i8k1Fjom5@d000W0WW0G2v60000PpC3AlL20004000G00G000A0fws4A5I2000 -801000Iw0j7N21jRGJ_60400wNk7Yg7cvRDuBTCAkDXCcCuN0C004G0000KF00GdapCp@601 -207yMYfwJefs4ETs00H020400oxt0001GX@N10WozbvC8Tj4040002W000020802W8sCugE9 -AhCa3wU04040000G18W2202W0440i2H20G0000W003804402mar6SEj1zaRm1@90ke1u@VC6 -KoW8CR100000024W000824G020W04001400Ix09a@b1Bdn0034W8vIeHV6k_p01100820000 -00w200wKv40080080X84T9Eul5038400WWxGqWHACuK030844g0004G01OSz6000040084H0 -0W6mJu@@70100200G400000W@YbbP0W001W02WcyJu2Q3c14ZiIL9R@4sotWbvDeRV300W00 -10W00I100002W004@YRmSm6CsU2W8I0Q1AaADQfRR30040yvV20nG0080046l1000GohAXtx -Je9R300W0aGI20004010000C000G00000O9800tsd000a02G200a0008G000000440040000 -40W7YiHmzO08100000oza9SyV2@xd0000uGEP8@Q301G000810G00020WW__DW000006gt0o -Pewx48000qyl1j@RG1KRyul4fKR00C0ip@D0200my@90004O8c4G00WX00000WP6p8004061 -000X00PK00q840000m0Z000208Z00_@t00Q91fOd000GWWjD00C00080408000W020Z00q4B -6tHHIL@9S8t3N@_0084Y@@D8@K9000mPV00udz4UdBXu4QPsz70A00W100040m0WH0008040 -208e50WIG20A3E300K00001020420GWG0J00W200mCnG0WW006082H11210Wm81480500Z1L -40024A04P0W01000CE0000W100020m0Y9G11JG400080001I128Q0H34WA10W80G020mvIga -wb1400000Km00W0SqK3W0WG4Yj1006202000010W0W004WH0A030GGa1G8C2H282W0495eG0 -HI8Y2e10001gA061YH4080H0000Cg2LpR0000100W00G494WAY00X0121281aI00W01WG018 -480isP275SIr_6000821Le57KG9G262G000ocEXehDW0000a10W0_DGiaG0DT80AHY000XW3 -jE1aO8000O0000GBDI08RE6GI0Qm14L29X90106WyhJujw4o4wauYP00004280008G0v7p00 -0W0044000W9100YsZT20g42444AGWO18gw40000OM00000KLsSF00W0I2G4400143000fMdG -sG2rXj408A2MutWOSb008000002400Wz@R0uf4WNgtO_wJ_1pccGD8eV3wwtWq_D00m00800 -000Y05_R0000S3Z40vGjnRKmaDD6C00eYkMYpkD8XU30W1I5Yj1GW10000000f0e10300000 -0e2AOz7O020WnN0b10WLqrUiME6HNBnt@64TD9m600O3004Tj4W4iQpp_1000Y000H2VxdNp -P8vz4kUtW4iJ000WGXoCirE6000OIKsWQ1sutV3M2A18002JNyGrCaanF3PTd02008008480 -00Bjt00804doN100C_DiJueM62DxXHsDeoV3o_AX6lPeKR3MFpZcdD8nO36yF1G020LUdmQQ -O0Of1OjlPAOpZjQDuCbA2oc104W0W080M@FXleI8i79C300L9k10400Il9XGpC8Qu4000020 -W0e4738G024503Ted0000mlrJ000220040208004G0tQs0OG100I001084200WwpV3EeLYLY -DeAR3o_d100_pvSnGgx60000QoK3Ibb1000G00e0wC8XjrD000GmSu60200I01008G000400 -01aG400W0G0004W0e0004X0280G18cTt001185fR0G01014080040004W040000W420000W0 -0A01540180O8400000Y0080G008050000JWiak1NaR0G01000A8Lnd0000001AW000W00e00 -808000280148000W068W00000GW110G0101a00111000G028008GW100018a0m8wC040J10X -W2GG104020100202W06m020O0420084hRP001000114VQP0040208808W0GW043Y000000I0 -08040010000O5CW0qUk10008knt0W210020004G0Sqj1W2K000W00A004G104400KW080048 -0184000010WG00I00G040W02H004WG0G034W0ZGpo60080W0m0Gg_90510OiM3stF10mX0jR -y00000008W3z@0005000amdzRmnz60201S3mDcBmWFfJe30304G0j_l1@TRmOzC001100000 -1G0WapC000IGWrC0000ucE30008020WG00WmNA600001100040301020fxR08m6WOzJ00008 -240020180GOC00002088eQV38202Ka53jQR00G0GO00a@dRmVv680G0ePR6Mdo0a020@rpG@ -@CG008exR300G0G02801W0GYqL00025g10Gqr9W0000O01mitC0002vlh4G000K8k1000010 -O0Ts837Wp02W0WehD0P0040200W008200000206_V2fuPG8s9m0o8000200W10W000000306 -00ayz300_DppE1a100G002_6t000W10004000CW0G00040004000GG09Rd010004G00BbpmW -@FqXk100WW_Dt000804040gvtWTB39wV90T40atq37b@mxrIydl1010200000W4Wie660008 -0W0010C000200CGC14GP2W5G1G02000480G00003000000101024400004010W120000W1Y1 -W24206160A0Gc164lk105000301CYk1C710YLs0CGi040P1W800OO800E8G0YW00e000O80b -400OTqW1e0022ns0283000PGW0AW20G80303000MWs@DuVU380104Tj1200K1004WG0mI000 -Ss36W000G001ms@6001000002160004W00D4202380A400G0W00100WG00pbPG@@600mvc00 -0000G0A000G0W0800Y0G4000080gG0H08WW800080W2W01002800040XGiD0080W06L02880 -aG102LF1000K0G0G0G00W0081200Gqr9eCIOWIQ19b0000a00tZ@0I40008C0XOR002f44eX -I0K920W28Kml4WZTIopc1bG20qm10f49e7Q63810000W0W40000E3mL_60028X0Age1L200W -08ld@GqrC0000WG0010IW000W2x@@G8sF00W01GG42204WwlgGW11GqrF0e48GG002GA0Wyp -OG004Ko@6aac14D88G04aW000G020Gos9CyF3Hrcm0iRKik1lu520Wjgx3D8uw7g@tWCRb8H -JIk_tWrzD0100I4tOqQz3Rsk1Oj70012000008100aVs39xRGTu94TY1Rq2JWrFKyD6H1KHQ -@6000W5T00GM0Laa66f2iHqrN1082BqiJYkEdbuDuQU64040081002G41840YroDeO932gW1 -00K0Ztd00X01G000C020AcLYz8a0000HHw6qJl12024YQN20001@zR00020000GpqP0000mr -PJ00G020040022G5tR0200G020W802OgXFXSQD008090W00008W000G07002600QF_400002 -0W09LL30880000100K0HS060GG08i93cBs0008GJsQG7@600W04020mlMCixb1ZpR0044000 -40ZIR0W404020WW000Ett0001W00Y002CGaS630000G0e004000W0200WDkF0D8F_4YVs0G0 -000088Ext01120000GNeM20G044000suF10200FqR0408WxPPelQ9_zt0K050020W_rtWoTb -8IV60fa00A01elv4oOcXqxV00G080W0eWvC00W018002G040W000UdEX7@DGW0000A020004 -20A0008W02004WG40028081G000G41GG0iBa10400000402000002ubs9084K0000W004A0W -WG00080G00cil1k60023FXUvC0G000008O000G084000G400000281Ge@6004GuUE3WO0000 -0W0W0e04H0800020G44W0000W2028G00Y91K08004X1KGGG020000W0C000e000QG41GHWH0 -X20282mO11H1134WZe00G002W8P284XW0K0P4D000Y8006P00WW0200G4W02GW04m01801G0 -00GB36G000W02G24600A80040V4t00208010G020X04G800n000014WW28dbP01015480000 -4G825OW3000008A0m14Y0A8GY510088HWO040Gn0410G8IHC4440040W005140AW0GPCGW0e -06G6aWGmW260Y144Z0HH50Y08W01G50CYX0040KC2040141yWA009GW1C0WWA014402njp60 -KN16400001424100W2GW08G000e040002W04201Oe00G808200G0224G08214080OW20K008 -X8AW0G0W0Y8K909440200P0W8WS1P414Y00001610Y2YvvPW00000040W008IHr0W0G02068 -YJtWfpIO3W4G0A0iGg15zR00O000008084O000W0000bi00GUy60K00O8_4sns0000080810 -00aiCl1bpRGxv6KDl1WH04010W4qg10W01Wm00X009X020Gpx60100a800qxn9a@_3TqR00G -000W00019000000120ehP9000000msSl_4000W00040000Syz6WG00AZx7MTAXrFC0G00Gyo -6yuj1@@d0108W@@JOfM90008abl12010MgF100010W0G000mW2008K@4oQF1Hj70BId0001Y -HzPuhV3w1n00080DlPmyz60G000010WW0048a0a9zd0402W7hDOrv40401W0000AG00099W2 -GC0020G9b9G0088Vi4401WipA6000eOE10aVM240W00010G001Oe89IIZ148022W00oktWZ@ -DOyrD080001000840KD_6Sgk178L1802Wf@DOnx4A_t000NtdtRGk_60400et83wPBXJqh8t -V34080Cck40204Eqt00G0H4OWW90KG011W10080101ev@D040G000AWQRC0101040W143WCu -WW80C0gY0004G20oE@9W001w@V30Ac8G808040400OWO084088GHWWW200804109nVs90GW2 -0001048004000G006GC023822608C1e00G0100400Go78110000GWA0G0WG05002120G5003 -G40YX000Ce80m000C4000204000m0400000AG1028_C38G0W00X0G000100g2101000K2000 -10G000804GQ66m2100080014000WW0GS60820088410GWW00100WA00080eIIB1W0G0HER01 -GG3080G00e200GQO0O0000Amg260O0000030I04WCbJ00b0Bs4o0Yg4028W0w2B100G00004 -0090000GEZv4GI5QH10L20e5ohyCKpk100SgGY404qS20e42GW3A9Gb8KPA0Gwp9awk10W41 -YFZ1aOWYKaGb00XXylF3Y0002Dp0Z0009zR00300CWO2800002244Qs600eW000G9020000e -mPy9CIV20eqW2Qc10A6802YGo2WXaqg000640WW05G00W005EP@100G0xMamFX9acx61yRGZ -@R0000N300ms59adl7PcH200008X0WzqRW000WCOIOo@A0aG0Shl10002A1oWm@h00WdTo_9 -8000000WqLvRiTTB7BRGKPOC_l1DIRm0@6m0000dl0A300AS0003E@0ea4WEzD8qiAECZXaq -E9qCg4GjMdacJzThLj0QbM0jHBy@p4@tin@BZyVoA@VCp@5xy@mG@7iq@@IzVlM@lBs@vgjz -rWRqS_3vud0mz7WjvYwq_4MNd440000G0GUT732000000GH7000W02OlU30200S@_6pvdG_w -9iSU29FcmAxdS1@67xB10W3m2obenlVM7FX@nhOw@70G00KNP2dWpmZ_C06500008mZ_6028 -08b@D0010ixl1jxdmMy90008upV6Ylt00W81lhd0OG008W020100sqq00004W020Qst0G004 -0020010100000420200W08020zUo0000Ki200v@pmS5Oy8X4rzdGttF04280000800X00001 -0180080G0400unN3Meq00080WW000WW0G010WGW0004G8000540220482G004mW000288000 -0140116Kt000Xs4000EUt0G000028W0002W0102G0000G004040020G00800400088W00G01 -0I2AXDd0I800000040049000000WOUU3000GCxl1W010gTt0000400G4W02000G001W02000 -0100GG40012000G004W020014G0080080010020808W010004000W00nDa0eX5WcaJ020010 -14000G0jFO000010204RCOmL_6W0206W02Gcz6aTF3jfpGyw60800001I0400000C0LSOmC7 -6CRV2W0040G010040OgP3W22e0000K480W02100442PLRGXp60000Z1800040W@zJ0000Hkx -6yPy30002G00000020100048000G0000546_t02X0000006srWkAOW040003GG0W0m@_R030 -0WXVD00I4204800800rNd0001W_@D0G1200200210040008000SoW100aJ@N160WG00012WG -8000200605080008400000C000G0610Oo03_AW10G00TUR008400001NEN1I000020144020 -0010C00W000000102G00d_RWWl4WTMF9Tt4ksrW4vD0G40qcy600088204IfE108000W802e -E100G0RCOm286W0000300000m0i1000002400W5LT20002808000228mV30nH0aKWAvPR0o0 -000001000a0004W0088@S3G010Smj1RXpGIw9000I8jR3sKmWbIUO946EHG2000SQ700QkLb -r@DeGz4g1FXZmJ0040W000040000006GC028G00508C5000A040500W08004801100m0004W -00W000WX00000W1c1W21008480Z020W002K4W0082001084480Y3rD00W2T4lg4Tj1OeO080 -I086000400041G0a000m200GW080002000C0W0G040G0GGW10001GW042130000000CW0888 -68WC000440IA8W1e8WUpD00W8W100008004cWH020GW00625808Y4GH0002K0310mG49W042 -00000f2WjWFn000GUs60012W428023G5Y0W8q1X01W02W00G02ID0245K80W60W40Ykc1a0W -3S0GbG212G0W18rw4G0000G089A06K291G370aIaGMK0CWa2000120000sa2E100GI_0CW0I -8W3EW8b8X0280nt0O000e4a80000L044000WG08Rw400G0902220W0Y200W0gJ0004IK0F88 -80AAWA400000mgDTvJopc100We220W0K24880018800024WyhD0400W100WmzD0G00oz@601 -00u503guFXI@D0020GG_6yxU2P@dGT_6CwV2WZA0Ag2600C07_RmSy9014Yux@40W84CRl10 -800_qt01000W010Ztt01WG000X80000000ae4S6IoFXy@D0031GS_9000Wp400Gf7gCNZ18G -W0Idm00K0000W111000GG040000a02Wgzb8wwAI@N20O02vLpGQ@C00eqAzPRI5818m00JOp -GqrI000G4008Iqra45G5g60001G0i0_9v3SYg1020000W800EpAXeog00040800W1oV0010m -tNCSTl1000Wa000i6b7Ph7oCv6C9M20G0G00K20000100200I0YJtDu8U6ojtWOuD0408008 -0020W00400908GShl197P004000002010000uM70@3xg@0000eppDeHi4kcF10040ppB1W00 -010000802AVRZrrD8jU9QOt0G000RdbGtw60cS0OmDFEM06000G040e04000G00400m2W000 -001GhoB10GW000008080W001004002G008G000H00RsO000008002D1O0000Ic000HwpGmHC -iu63fmbGBR9KAl118PGy@9aXG20220cnF1G8000G000WG04Wl12W0000W0kjb1lvR00a0100 -W004000G10y@l1zNPGh_6000WlNV3_ptWfzDemV3ENuXMyDO1W2014008002000100200001 -00G42EZ1W428G101H06004O0128412504H08300000e88060000200010200W0WGH8000001 -4GOTV3Ijo0800000210840000000G4100KG028000ap8840kTW1H1cGOR6yAu3Hxdmc56G00 -008080500020W04001800Iy@l10G0010W020aG100G1e04000G800G08G10W000W00WuxV90 -080084000GGWc@C010000A0YPxIeJD3028000G00G000eF3aT1JOcl4040000W0ePG60800C -nt3xMa0000G1000rAOGHK60010400GwLL6W40G00a220000004o804000080WG00800140P0 -W000PEP0000mXNC0824000048040D3Q0200480K0000u1F2W0e00OQm4oUWXp_OOzG300400 -W0006G00GG0400042G100G048W00G01GGW4CigO208000820G0000W00GEX9ivd1nF_08100 -W0105@P0080000W4000WoKq000XO@@VoKW6KXO20021000000IG0W42moZ6aAW100W08000y -je1jgP002G20068HSbGaY6Cve1rGc0WH000G0WJ8a000004011dDcW0D3WahQvD436kq0800 -50K0GoYqWI1I8qC6802002Y0OcI6gKCXGpC000W00CWC4002t7QG6Q900220004mhO60000X -L00GePgG00089D3001400n08Oj4001408000108mH8CCkq3rWmGkcCS923W000G000WG00Ot -H3MRq000Nc@@FJAZCaWf120W0UWC1C001XcP00a0424WK2G0200KG100020W2Goe60G028YK -3K000000O0p2C0a8040WmK000KXW0a000G210m064D04000GO0000000YE0OlELI5m05JW0W -YWGx6n00001000W100CGW900080W04GWKnCW03000GW01f80e20045Y000060C00008000W0 -80W0G0000G80400000W4G1000000011m4000001020Y0m0028100000WW00GP008JH34F00y -@F90005000080160000002O0080820510mmIP0SqW03800080WCW04500W0004Q630e428WI -A2Gb00080G7164OQ2ndz0I0G1E0eI8HC1YFZXiHD00G7PePp000A196e170K9a4W0Pgz0018 -eFLP0WY1288e140042G10000YaFs30O00YFB1009G6WWW008WSnQ2Xcb0es7WGpK9JD9018W -85008Ej40001qiwCfkknYe6uB00evsJ0W014Xf1dn_00W0m9UP8b89_@FaRND0002nnlL00e -Av@@J0W041G00O2b4W400ypR20aW06rrWjUDu9t42D3ZSXh8_C32DBX@@P0e21m@@safc1Xc -PGgOU00w000S1GePyC9y6XcPp@@@@@lJd6RmFpRa2j1XZcmvp941s302000000fV00OAx7_T -kY4jhOVE92tE12000FHdW00000402pJdm1r6G0048qm42eMYokDOhQ3004DA000umR30G000 -022u@V3Yh_X9lPeyR6UcZ12010Fic010WXdeVG044GPuC000O0180mls60102eHD9gcsW0kD -0O66mzq980000310GI1OC7T2ZsAnNv9KME300G2_@l80004u600_@F70001FpbGGq6i@K240 -02M7q01G000200I9t010008W00w8q080W0rZd0108W9qJ00804500020G000108G00000000 -mKpTvI8014u@V30G02Ksc19sA100AWLtP01000G1000G0020W8GW08W220010W00GW20K404 -090GG010042011WW02H2200WG0X00008020YG4145028A150000WW40W01WgGW0140020014 -00t4p0uJ1000G120W04G01WW00G0040G0014000GG102NF100022W02cLd100G020G00G10a -mk100H0100080W40110028903eG02WW08030GWG2W21C021214G00OW14G002GYXO000C024 -008e0G8W0YG030W0A4W000044K40100KGW08W00W804W02G060800GG000040000wT0000AG -008G04GG080020018kKk160002nt00G0000024022aaF30G0Y4000W1008uS38W000008001 -802G0X2xP8oz7snrWVxJ0G000e00Wk0J0W00r1z6aeh1@@d00WQs@@b0328Goz90W000020G -UXIKfF3000O04000O0W8vV3040G00400060KX_60000Y00004020C0004000owsWudb8f_4g -PtWxuP0mc2mtz64BV2h8om8_942V2040600000G10001010000Ga000810UeZXNADeaK6EvF -10WW0F78nZu9Kul100a00041S8l10000100C000Ycs08n_@9qlk1N2amKz9yYl1000GchF12 -00G8082YkDXovDOMcD_jB160600000020WCkl40W00ggq000000m0m0020iEl100S5svtWvy -J88130110a5G200040208yxl10p00000Wn03000006300i_wDW10000CnC60000043sOZXx6 -OuCn4g6n00GW0X2QGE@98G00ud43swdXF@D0as0G8XC00020105GDx681W2ua_4sabXpHV80 -r4_xtWh@DW20G00W0000030022044C000A0W0G0WA800C0C0e004080We00080010O00380W -0000G00WY0W00208010001040K0W103050010105K0000GM30g0021K00500010008000P0m -W0000010W0A08020W3030800r020A01404G430400W0H4O600CY0GcP60W0228OY2GW000W8 -Q4p004G80GCW0G00010W400GGeWPxD0080uRG900104000400GecjDuAU3400000G2002W00 -7K00042We42o8m0W0009xR000gx__D0G0emCB6G000093008G0GW000KG00sQn0G0004W800 -824GOM0YYO000O1841000W0XHK42010423S114W00C04H9icmw_C002D00I10uW2WHIO0002 -oE@F00YWa90850X3AW220VXaGW@60Uj000000K00WL@J00G080a20m174z_p000440C0000K -IGA0000000f00W1040WIYK60Ei009ac2G2000WG20GyCC3002Y00200404G808GQ@CyjY1V4 -d0000cFFD8A09000m390WukO6004G284008W8GQk64QG2GG00qC000013OmU3000D3221WWW -qE140WK0I0092000Gm8kMA9V300i7cx5Fha_mRTmS6t9WzJ0YEuda_JWG00KO@I00OK00WL0 -wZ1WfICeUx4k2nW0RJ8AW4000mDhy3000mz300SsD3006000S5aAG2FURGdt6000Kg1036Jo -0000OAW_O0WIW100000A1Hxt9OrQhbMrMBTsCNwivQCOprKB0000058603eMjrIhDpbMRk60 -sQDjkQjH1ymy@645m3MhL0000XaAGE0WD00m60aAW4z3Y1004WpTP0200mchFiHT2poRGmj9 -0Ez10000W000YvoPG0000W0G000O00200Q7p000O0vbXHeo64W_3W008Y0BX5CD000W10G10 -00280050800W00W80208mqw9KEU28001pbt00WG8HqcWJ00WVODe0_7400IKJW100201G000 -080a00000060000000W4QeF12W000G82@TFXGwDOKeAIWFXCaCuSi7MQZXW_D00e2mtz600O -glhzAsbq00020r_OW000WZePewM3svFXMWDekS6MnmWHwJ002W00000424X000W080020400 -W001600010031vBXG0040020zmp0m@400002600GQ4cX0_DG009m2r6000000W88060Ws_JO -7@4IxoWuwPOD260040y1b100400GW00140Omk7W0110100000808K80800ePmQW006410000 -08G009108002000iY010120e4100000W00GLX2Y2004G4A080000e0WKA000G0M4h00000G8 -2204O0Eos008W000G000A20900080X00W000f04W0420020G0L1010G008W00G010W00G800 -W0088uV3000W0G6001KG021W04G10G400G000W00GK14G000YH02044W04102KiHj10WY0H0 -30G000G400000061W0YJpRW0900000G08AeG50G100a00041002OO1O00020mH4G0W01G004 -18m02HGr00Y2e404K00020GK5a0m28S850W202LW4100A000K50020084G0G010W00830022 -080W0208000008mGm0288e02001W0K00000020806W02A80400482442A013008W104G0G0W -000245060O4800900000RC4W0600001000W41100000WGAm400W000X0009WOG0e00YGG120 -iW4e0WG0G20810a0W8mQ01W20208K210Y0WeeW8G1mmY2Wf082gO0200I0m3K10Ws_h00O0m -kyC00M0u7s40002Wa108503020W00W01000400240000020G000mX8W00C00320100000006 -Mme400WG91ZG000004010301O4WY0Cq5j1W020W0G80400000A0GX000001G0G004034fa10 -60GUMEXLfI08100420YN@JOak4c8z10002000306W0yuk1fhdGGu600OcRgA6Q5F10040lyb -Gt@CCas300200m0G04000W00GD_60080uNV64000W0W00004020W0a018ZCP00O0Wh_D0009 -mb@C0G00G8400201W8@D0004Oa@684y0Og_7U@tWMNC0801G@@6ygk1XRRGz@980200042Gm -uC000WiT_4_hFXKkD0040200G00O2Od_RGYw9yXx30080W2004503000Oi90800W0iI@4000 -60C0200W00W00400W00m6m2DpW2zDW000Gv_68000G0GGGR@60402W40OI_0C41P5zxRGpx6 -CYV23@RmSz6SOl100802ax100Wmjq_mOb980G08hO3EI@XD@D001G0W001004000I0QgyXM9 -COJU30004010902000a0S01008G2WP030GqEF3HvQ00G002H0GW11001I0Gu9002H1WHW100 -0WK010010000MX1O2I3gWF140aG000WeC02010a0MWC3O0000W080888k6t0W002JxR00G0G -2W004cA05A2010a0m8m0GAT6qEe16000m00000GO00080G0H00GWW008f047K0800000145W -00W05001001q001000S5F34048W008YW020W0YO404W000W140020WW20002F0W800884000 -m0W000WG0034080O00800049f02I4000000G142W00004000080W0000104000Y00W020I84 -000W002GO0A65018000A010W00GWkud1410001G0080I9WeY28aqOqO9ySj1hzp00W300480 -aAai078aWC008A0600iecmc1f2a0m04A8cWK29BeoBB100m8tPRGVZC00G04KG42fY0EP1A9 -XcnG_0F000001410001I8020DYPG2s6aAc1Hxd0Y0000G8X000GG4020113exV30004200WW -W000en4Wbwb00W09021Wi0O8gC9011000001X10GoP9aFG2ZKJIbkU8000O@w4000mvF00u@ -V9AVc10W005zdm3rL000GOtQOsmU30800XBPG_@900uky@@A0240CLG28008cF0ZuyD00400 -01000840zIPmc_6Sgl1000SI5p0001OtWdGmkFafN2ndb0000e31O0Cc0GM0Ie0wp9@C6UaD -XK1aGC00HIQ64Qs60G700WB04QM8H1W100021iQjf2u4003WFmbelI32Zt00W0OZ5pmrl6ql -k100K00W30yOi18020W0000140000V@0vCqqG20700A6u1G00OZ_ym@f680000180GNz60C0 -0OYP3e0028W0IG20W04I8W_TJW001000000801j_d00I0WaVD02100008WQqDuDM3W80C8W4 -e008G02000mIN000000021Khc100000400cGV200WaYlnW3pCG00WW0G000W00K810gMtWgP -DOfw440000012OFO34W210014m010W00GWx@D8dS3Udc15000t0RmFn9K_l1080I0000O080 -W0000000Uf700VtpGOq6SKV20O20grs00K0000040W08W0000108W108WkhbeKx4khsWAxPu -8P3sAEXnQP000mmwz6iYg10W1000880000W2X006000Cm0000uQNtr05400byd0G01W8zP8d -U6Eu_XrjDG020mb@94Sl12G000004000W0044mOtOG000W000Gmp6062K008eW1KGWWCDW40 -401GGAu881040A08000on84080024a0GeW0040W1842000WG4100100310100000100G0200 -0088e00G000G1000800W08400020011KG240000089I6FXyyJ0100004W000G140080024sN -k18W020050qba10e4A0012SVc10WG000W0aDW18000WG1K0008002W0240G10m0000WTE080 -000X0YC0GWW0WM0000WOG0010a00G800200001K088008402J042WG02104W004GG0eW0000 -010W0eujQ3W004001A200002880O0G00800000A00000380006OW0yC0010002018400G1W0 -APt05420GO004G088002GG0IWX0803C20HhR06m208WG0GKa1m082WGE000GC6248008HWu0 -X984Y0JL0WW04Z08e0G280X04201002W1820WW8018A2A4Y064008408801GL00000400444 -00eW000021W000GS0000011GWGRaRGBy6iKh10006YyN20004jZpmrx60W1400800K800200 -0400HW140qxi10w40hQt0K000dFd04G0000G0G000IQC1100W@WRG2x60500G000116GYakC -ug_4AhFXFwJ00840808000W000i06rfYmwJ020222000201QfsdGA16000WJ_00mepF4Vl10 -284UgcXT0P86_4000W00WG02W0GNp6ynZ408100010LBl1C0G0O8000000080W0000eOlCu0 -U300G100W40800Gli60804fbU60GGSVdT2lPd0o0003020fQd0000nIXh0080000GW2pC044 -1q1t9CQf15qRm6t600004H000G00000Y9XcnG_O9C@F38040Y281W0G201000SW0qQF3V@RG -_a6000W89D32DBXMzD0400mxc900W001000882Wq@D08004O08WS_neFS6IqtW2GVeNfA000 -m9E00000GGKD6ynV200080040201Wu7S60100CtQ280W0a0a0100804000W00XX@J8mV30W0 -5yPU20O0O0K00G4Y042O000W20Z030DDO001G000810080K00000GGOvU300X81m0240W0Se -P6GGG00BG00007YbuDODS30W20W02024000H022YmC0g0P0C2C0iOZ1W00G000080W002000 -00I008m0W9W000G81Yeu0GJ04W828000064408000G800480040O00000GA00IIet012We42 -8jK0G020006G001021800005qRGYq600606G500W440YI48Oi0W08000OW28JP30Ej000004 -03000O0YIlD020IY200HS00W004WoFp00n040G4W00000G18uE13Yxt02H004WG0G08008E0 -GWG00W12002GCW2007Hd1002000G08L4O1B099Ev4C50000I08D230000000Q20004884G08 -L8Y2BGHYf045G2008I000GmD00eP_4008000GX0G620f2JAmX28nPaWH000GG20t9PmDy902 -0050I54W42CX020v3a00mWaeoU00G19000406G0HbbGgOLyDe10XI48002UxV29bP000MWV@ -PWH00000Y08008W011mWH5zXO2f2K1Y000W0X9O00YY28Xupa89u700040010uAiDkaF140W -0HBa0eG4W5mseD330G00Ud691DxHZ_6Sfl1tJQmayO01a0Oit44810100WIL00mo@I0600SN -V300W0O00Guk8C0G400W10000020n200000W120cmvXaaJGE00nay6Clb1dApGEu900uA8fi -4EHB1G00000G4JVk2v000f2yGX_Fafc1f2y04W0WGpADev4YvEgP1ogiWFZnOZSs3vNU600a -rL509fz9Hc0I4H86@OB100W0400HBJNHyr9qgD3WIL0EN4ZOHbe1XPwil204G0FydG8@CipF -3zId0000im7beDS3E7tW873fwnG_A0ZR6sef@700SWDBHK00G06LFXA@Du6y4cEp01000220 -00G100100W200W02000GG05id00G0WYID0001mCx60Sd1eRT32pF10040L9PGlVCKma1jiR0 -00G1100048W0Mif280m0GO006utWwtD000K000015G008800G0000010OYC3Uup014022W0E -QKt040G0G800WG01yai128004G05200W3Q04W0G00148008W040018002AsG340088000021 -K01G8014G088004G00qAW11@P042005000XVRGW1980000058W10001000DqR0G0000K000W -064008W0020000042000mY000W10m00WW14010eW06I0300040040W04G004W020W14W000W -88001K03000WX5028000W020004H020012002510000H4G000W00G008W210018W0240I0W0 -2400400200120W00024CW20WX_6JOZS3YVtW_cJed_4UWFXdPDuwqAwE@XucP0KM0000WXs_ -VeQV3800000608Q_4IsFXf1a8Da70040800101G0Gsq9000G000AGHxCCEc1VSPmv@9aWl1p -pdm9_6000WNe00oa@6C3c100G0000300W20008GW39aud1hwRGcQ9ibl1C00080W0yvV2t7z -GGz6yNP22G0000005nl1VjQmk@64kV2TVP082mWWyP00nBOFjCapV2000G400GafF3X_pGqz -Ca6z32000oGmW@@JG0G00000XwfD000OGqr6iOV2vFpmT16KDk1H1m0OC7WZQVW1WXG3@9aA -G2H0QGr@CKg730G0Wo7m0m000xxpmnu6080GONs70800adv38000spsWPSP00G0004G0000W -A1000008Kck1ryoGAw6iDt34010olr0100080e0A@t000W11bdGhV6020A005GG3w6aKW101 -100I00011Wm0m00100KW0G4000Wc6t0408000010084080G00IW00011WG00WO0O0m0004G0 -G010000X9002008W000144MX10W0WGG000m00W0802200G3030K0020208e002000CW00W0C -010ViQ0WG0100W000W00a010W1W103000010Z400W000000W0W000000Y20001011400280W -2G0W8G00000011000W@eQ001W1WK02vrP00G0GI8000Y080W000X20uvU3Mlm00sE0000090 -1W804000004400810184000G04Wa503002000G00204OF130a200e0WW0200020228002W08 -Uwt0G000Va@0000G0080a24u078WqlF30440IcF10009000G04Wc65G5000OfC004OD30002 -0840GA0W3SG0IkyCqtl1hAQ000G4000C02G01WK0aFW4p6@00W0A00080G01sKFXM7DW000o -u_90011uJG30282G0048DV60W0000uaUiv700GG01001428GM0FG000PWT6000402400808G -g0ICnr@HajqV_C4OD3pCjHsR645m3h@R00WlpKitOxfDo7e20000HNBHC094TT800G1Y2G2j -2000OWLJ58g@IJesJ90040C5e704003Ys010809GR0000OO000v7kHg0U00C08tS6010G004 -00Y00mnD683008P43E9nW@wD000Y0G240020002080010qvk1Jmd0400WE@Deqw400G000GD -Qwz7o_c1W0000040AxtWngI8ic7ItF10GY04008000YS4f1000DcgFXwIPeIL36x@Xy_Duye -4MitW3xJ0Sp0mzydqJT2rRdmL_F0WG287f7sZFX5iC080000028a0O0hoRG@sCilF3W04002 -00G0G00040000020004fPm0100WNUP0G020002GW480@rX1040X5lJ0W04u4k60G00000AW0 -2C002aAHUQ0W016040CK0WH40000WW00424400K1A208008IeGWe00L000400402W8@D0101 -0a010C00mf_c00WpG12W0T@RmZ_9Cs23L@Rm4v9G000en63c2@XizD0004W04124HGK8W80W -1M5W0WYGG8011Y804002G800W001WWP008H410080803448W040008W0W20040O2090414ZQ -OGc26imk1FfR08F20H00000G8Aom00W00n@RW10G00W00GG01oKt0O0000G002dFXCwD004W -008000441xNO020W04W0080u8WWe88W06XI34208H040K04H4K0e0CG204G0340M106a8300 -W001A81G0141900010540G0D4GGG8280W4002040200600C414H000000G0040000lKGW820 -0002406omWI5CW00Km_x60X0003000020aByDeNG36eF18G00G000882080024004002014W -G0000000W4208W040mg004WgVD0000260WaHzD004W204W1C2080000mmWXqzd1lypW001WG -_DuUU3004elQH201002TF100400801IZEXfvDetV3QetW@uDulE9Q0nW6_D8PU60408W002O -w_4o@tWe0a080000G2WYfDuv@40qc0040WeK_4000I00002100Y40G00020dzdmx@6aPT208 -00gjsWYtD00G0GqoFG0W0ef_400G6q3k10242QhFXldCuEV6a0a08000K00Gmbu600004080 -0000400GaO700ghFXpjD00140000G0WG00050wsF12020dvRmz@6000W410510000200G04W -K4000SXI500000420UZl1804000G0m6m0OxP3UbmWQxV008G008040001vLR00W10002000q -egu4ZOlD8FR6cnt04040bfp0W00aajJG0W0GP4Cq_l14040YwtWIqUemp74800G040e6R34G -00KmB3Wi80EzFXyibG800mDwC4d_33@RW000Y7QP8eT300G0abd100W103G000X2205410mH -8WC3ClcR01000410W8800000G0000gmC3C00K1O020ZW02X1QKm004xsb0700Ww7CG000000 -X0001102050WGI1G0m004W5065600008040014000000150Gmx6W0001202050004100Y00A -W40ZcFW1008010000206OiC30420GG00G03000e020G4840H000000OWW0W00J9v6W0G0uWF -30W000W00000m009180e827DO04W00W05000GQ00800014080GGuR6W0404D0000G00CG482 -M0W00000AW00W040W0GepYD02G00001100X00X80000122Y4288HHK06adj1dop000084G80 -0GgW0bM07OT20O00IfsWxvJ0H000m00000WK2GEj039Y45G2fKR0O43W0hP0000421q208L4 -W3EmopE10C00000I00W0i3F300G0020I9Wio28aGQK0CaFI2v@R00W18000001mGgjEXifP8 -b@4000m0I2100WG400002I40XOp0000M6300RK@08000W0O04001ppEXK1a000012W21002W -0000IssZEfnOoqJ000000uBeVtbwh630008pqpmlkR45G595SomjUy1y3XcTIqrF4Vc1XORm -D@C0000pq00GqrIWyO08FR3IsaXupE9wwIdVqWKYD8lS6o4EXcPDOxR3UtKY2sP8uM30RS0C -uC60800wHtW3cVuCz70001020G000400H0W@zD8OB340284oh100060G020020W00800G01A -4007mdGpGCyaI20G0000080110u8630000eK00uxu4UB@XOiCO7Q9kuC100W09pQ00001402 -1bIPW000020W000W08W00aKG2F7b010GWu0CeWwA_TFXPVD000G00G0000QetNdmeK6SaD9H -@Rm50900000120G1H6qdF6G000G02201000180G5s6iyR2B@p02G0WTvD004WGI290s_08OD -CU4m01000TNl1400000G102000G04_PZ1080080G0arB324018000G0204000Gqf6G1008wU -30mG0ipV20002G000SHh1fFOGQK6000Wcq0WG2K60080W00AmH_90101Oho4Qpt0000A0000 -0WW0sqT2@DRGYK6y@V2220X4G02002A204W008WfQkCG11000880040060W2mG108W000110 -W02800K0100W0C01184000128000O004053HPGb46eW0000GIGqv98048uFF9E3t000400H0 -02At008G0N@d000W8000180W20G00e008W04G000G00e0988004GX00114G05601e0008G18 -00141100W0AW03CW10G040G04001GW01HX00002CW05G200026O000m0WGWW220100180000 -G408W1800002Z1utV38004GW10iGv4Mzm014001dR0010Y2jD00088400WbGD002400Y0004 -m00W11G00C0042G08000G4WcsV0208mFw6ynU2HORm5r6iHU8000W0901W000eRF3cMdXO6h -W0000000mfp3v7_42GY10a0W000GEjNYwyCeUL30000006Gg5C600G000WV00020840W8LD0 -000082020000G018YNc10002jQRmzl600028CP30000100808IGmh_CiTF3200WwlF100083 -pomkz9auM2XeR00G0WJfDuBx44B20Cs_3808100000G0Yu7V6gitWjeJuKO3Akt01G0096d0 -00020002ntRGAr64qi100HWUyrWeoI00W1Gr@6ynl1n@d0100We0O8qC3szsWWgP0080mF26 -00008@C3W0804DT2nxp00080004W0100040c0C000002IyP9i@V20W0WC0000080WG00mp@6 -S@V200a0siF100G2W4000G084bW1zsp00WIhZMgu6C3008G4Kk1RMpmdw6001I0a00m7@600 -42OAR6YApW@@D0100420Q410WGO20WK802YPG61C000040cU_D0W2KmMs9Wm1W101001G2Ge -000aY90YFpWKgD0y90000020W0G0008000WW104S2T3W54Z03WO1IWCQM06Sed100W0UIt0W -m000Q0O8G0000a108O0YA020Z030000H020nikk14080K04000W20004080W0000WW80W0IG -0008000G140000005002000000104040000600800W88000H102eOG20WW00000A0900000e -000G0K00gyQ3EQt00003060500f20004200I10000005G8000e0G000004020qp@6Czl180W -080W4G800oW011000O010WXc910W0GW2WK23ge049a4Qc100WHo7J2008000K08L2HHB089f -i4Y2m000D0Xcz0eW4202WK29Be069Waac100WX00005Ls3000808g0Gg0W2M0HIyP9O2008A -03cAF100080220008G84G0WW12GgOFCQE30XG8100W0IG0022AGePF0Id1uyv700G000G810 -Y001D2Waqm0H00000a000080C412D360008fuYn0y9CNl1VmR0000MM200xjw1080WgmEfnV -9wZ1Zc0m8LV3I6AXu@D00WQOv0X0G00G000okCaSJl1Lmp0000daan0080GapI4QM5pz@Gwp -L4XV2vGZ1000eRxD8qCK33@@lqZQpT6ggt0020WHqpGZr6i1x3H181000Qh000Tk7Lk7I080 -0Opw4w0mXG4b0G40GR0C000W6v00mP7d4G2C1Mvnzl9yFG8004ywFfbjup9mw40080CHk1H1 -W10W0be0U0Ct0mS@TD6k1DFR0008001G0nhd010801000K0W1ICt000GG20W00W014li1pcR -00200000Og200_@tfIQVeGH308050008018G04GW14G03ZJR002000K0080040018eW008_I -C00SqCwHN000Y0010001020C0002013Wa06Gn0Wm000040G0C0W12005C02AG004W2CG0000 -810W00001003prRm1y90A31OY4vQslYlODuAn70G000000o900GDCH100IOn@4oyt00a4001 -00gccX3zDuaE3EbF10W41RARGUf904000001QUDKX000wnV3m0001000w3C3C0G0y_l1piPm -N@9iWV2bzRmwaI8C11OOdeE@tWK_J000W0003W9gD8RQ3008004G00000KYS6yPE3PlP060m -aitI0000PAbLiGoLC000MxjY3rC00a00000400G0n@cmf_600O1Bg8g0G10yol100WW8080H -0002GG0101H000m8W10000GW2W00CID3kyt0We000W0W0X0002e0qWG04I568Z030000I030 -W0G000a33mcIH10051001020400043DlQ000i2002J00Pj04W043d1028000002a00AJl4W0 -WY010AG1000b001800W000W14W000002000f400WodYwzz7O00I90voAdD3000a10069nD3s -cd1000a8q208LGQ1Aa894R6049000Ob8bAm05a800G8CRQ600104Tj4pzR0818XGiJ000GS8 -s60Qk0OEBvIf_aXuD0000c510W1i2yC2F00Op7GMNfKdmiw6ymz6WH10ISJY@@D00J1mIQE5 -TTK0000JxBaKFof_T3MbsW8uJ0W04GKWIKck1jtdG@z6Kof1000000y2th_6BkBnfq9yYi1v -HvHs_60080SKs40G00W0011W000A000801000000G40y5j1jfl1O80WYhDG00020W0XYhJur -B3gH430W0041010044W000Acz70480KB43HXPmRs980W00G004000G00W0DsR0C00XHIIu__ -A000W3700000Gm@q6SaT2pCmGQ_LG01Y8kK3otgYHgV0008GUG90W080W08GBaLiaV2HdR02 -00WEmD00mixj@90200C2@7QH@XY@VG020G_@64lv30G10saD11W000G156eE1242000W0eWA -4aTj1K2004W200W00P8V600G0CVj1bVd0G21WOQDOpT3wPF10040084G0WI000W4280GmJp6 -040G0GW0Gsv68W0812e0WW008A0000W01UuF1e0010W004010W020OOR300050121G100860 -WWl_D0024m2y68002004004G041400280040010W00G100WG28WPeDG0840000Sh1G0HnR00 -0805G0020W065p01GG00004100Ge020000W8080G02G180900G01001G014W040808W00280 -04002G0004020003C0140000X1W0228002eRS340200058G80m000GXvSD0300W18G000000 -0420010800O0HGG010G040010W00G00b000e01400200008018W10000p102001080080008 -G1G002W0140050W00G04880082800WYW4G0G0X002W020601K0484G00C0188024W84G022W -0Y0W008W1UCt02801NopmYL6006OORS3oAE1Cm20hxQ060001m00zwBHs3C00000mv0Gs3Ci -jA3008W0m0004048u_40408qwV2G010W0I004008IN3000801G09_S3gKtWr@D000W0010XG -FC0W80mUs90H00eJR6W000SXi1W00G0008S1Y1ViR0000Ov1005r@001W0000408000020b_ -l1ZjRmC16ycg10000W0Wa00001G006000XYjV8pv40020W410fLQ30GW04oi100W0000e4YT -24040_Xt000200001YFnWNgP00HC30W0Wb_D080000C0Wq0C042000008WW00pXN100WXS1C -00W000GmW5mIOQw4ozEXkmJ0001Isq9SRc1fK@mXz60110G0m00080We0O0yq1mbt6Kf@340 -00020WG00C9L06040045W4004G0100aij10104Y4tWYlVe9tAwHFXZnJ8jV30040iJk10WG0 -6tq060G0d_dGhx600W20002008AWZsPuJc7IUFXg_Du3_4g@t00G021hR008000G0G0W0080 -G0GuA0Y081WHW100000010H01001084G001mJ@600880G0W00G080AG1010W2ns0Y02002G0 -000030X00W00mMa60a1000404WG00I05WOAG000K0OKO004080G10e_@DW2010404000a00G -02040100H22W138WWGgy1IW0G00000208000G180000900aI0G02400HL010020iG8G0200W -2G4080m0eXwD000K001000X0001101310WW21G803Wgu00G0000680110008000C31GUS6yT -V220208000f000WGWmZ202WVqCuKU308804bl100100G0G84100WK000A20CX08PgP0808We -hV000280000I54MY25I2icXKgDOWG6080620f2GMOXIqr9aNj10008mB004gF3vwR0fWGBG1 -69vdn0081WOXPeaV30WKH0Au0AFx4IIpWQ@V044054004WA08PJdGAr9y@F30048050004G1 -8bQ6ohs000PoV@@00W0A000805WGoFBX0hh000G4e000W0Q82001p7OZ@MnOCH9wyFX@_D8G -9300O0W0000Gf0mUsL80G0uf@4gNrWMqsOZV3YKZa4hVuMxA6PtWqLC04e00000Ax100h0N1 -W8000m020000haqWu3gePT3000CW4000008mJILaIz3PJdGArF4QM2HNB100pQ0000bwRGAs -Iq@x3XzRGuu6adz3LVd080OrehBTsS9gyCXxxD0000OJwCiYS2vf@mH_6000WXr00mgSUS@i -1Jd520000048000W0000100022000000WYQHV80U68001qnM20800W00880400008mzw6000 -2uTz400423100uHcAsgMYT1nG020mewFyQa10030QatZ_HD81020W00WW08u7U6Icd1mA00Z -ndGdqI4tZ1jhBnYOC004Gu8@7MjzXGpC8aU3000020100009m9_CiUV2Jdnmz1900G1kT09_ -v7Zzy91040000a010W00K0W0O002G00011000000400W0W04e0000W04G010020GWE_D001m -0504We@J000W402000800TvQm@@60Ib000000004Y_QO0W021GW020204W080GG402004KW2 -01804YPRC0006001C0G0000802000G0G0806100840010040000e0H40100WG0G0I2000G00 -80000H000W240200010104W00G0040G0080G0023400080e00020004G000W02G0100W28WO -pC0084W00801400000WD400i4W128W0401X8400ek5341000a02G0000468401000002002X -00009aF3WG05Sll128000W00000G001WW020104002018GG01004qWG040C00108GG8000mm -020206GW3W010O02C024G018803O010002CX10G000W080008W02W000a84K008G020004G0 -1sSp000p@zZR024G02G01GG21FIs0021H040080Xa0026q02200Y00041W4G0808W8yhd14e -00gkm0201040X00W02G024WW200160G0G0000210800S0m01WKH3@FW101eKU900W0KNW100 -000dj0azW4W0G004400G8WuUU300W2201WO5H30000000H0W0Y080004W00H6R0800WFZJe2 -U3G08080008fU3000200GW00W00GG0WxbD04G0mDO6CVS2FnP0100840W80041Q6tWhxD000 -08z10aavJ00W8msy646l1010WM0yXZoD8A03wlnWE1D0I00G9zL0e00uOT3MEsWTeD0004HW -QF4oV2002G0800yGW1ZeR0800004i45s_GF@68W00W02006G0WQtJ8Hz42iE1040000020Y0 -2iiE3HhRG7BCqiV20100040s4QM20010Y2mWIxP8fC3Y2W1W00001T000001H008r4902000 -00i99D6gGnWwvP00W00G0140008i1C000080400yVn700290I000004m1y9ayB6H1OGPu9qD -P2000OS800Szz3WCW1000GKWJ2rKM1100Wy1CuyoA0e00Ktl10X104m0mGW000003W10400W -48c160000W24000W0G000K010402000008100060CW0000D8000W000W0oCm008000W00G04 -000As00800WG143X102008m0m0G10005316W08205Gc160010W04008qC3C080G100000500 -4O0m0G000000W20280m20p0300404020012000000W109Uv40000H000Y2024b0PO2200000 -W141020G020W0Ggv60G00eRT30m00002XWG312cP400000028HE9m00M500000tRpWVsD000 -eIeF6O80YI10000008090WTMcG9v6Kuk14G000om028b0GL20qBq6ySW1PRp000W00W20aAW -e05ma4Qc704000WK10Av0Eqi70000nT008@C600800W80WK1a5e0aaasD8@i4EIdXYoD00I5 -WeW3OaG20Pgbmwt64wF3008Y000W0K429qCF000GW80008W6IapCaKc100MR@@@1W09H000G -G40Y001BAqiD000GY8000841210GG1200ndjnSGFy8q3H1Wn3t6020020000Wc3WJjt00200 -0002000GhFNnqHRSoS8@hk14000000320000W00G00G00005Q10WNoh04000004800I000G0 -0W00i_S50820084000001G0000004n000Xc5If@CaKM5H1y0800000Bpf2eI_0a4qCLXcHL1 -vrzEmTT7uUk3@VRn@rUy@i9@7xo@lsyVhF@lQq@fEz@fL@Nwr@ZczVeRQ5Tj12000Zjt004G -0NOB1400WjgJODL6000000OmUFwAEXtWNrPOkU66MF40040PNRm8y9G000e_V38000iKW1@h -p00080800G7RQ0002008G0jfp08I3WOth8LW4gct0000GXklHQyLKAB38010ktdXa2IO8y40 -G14apF30802Q@F1000KE0000000WG00u2@A6RSZk7WX0G0200100400V1RmWzI0GW00G0Y00 -002W002NlpGim6C8P5002G0080000GukV3QsFXrh31I40m4@IW00GuQz4W020G10000A0mFx -68010Pe@40K00010000WWmTx908000uy90a080290000100W20101401X02G004024040800 -00180W0X204mY2g0W000W14202000mG0vLRm7t6000040040200XNWJ005W000e400020WW0 -0G02000010X004A0044202002UPt040000008j20080480010W0G000W0180290010afk15x -YHVuUW0W40122020003G000W02G0100Y08O7U300180010OAU30014W12000L000600XG008 -11000W0GGW00314090W004028001000100W000GkD00H0A42080W18000Ga0G08ZWYG010W8 -HGdiQW020aLwJesyJ4080yFQ5G100Iy8XbXDuUV3sjd10m00nEdGK@90Sc4en23Ipr008W0n -u@000083000ROgI0@9SHj10004080400GWOnV6g@tWO@DuV@4EIt02010ROOm103q0W1000W -L9000080exU36iF100W00002o2mWUztO0sD2xFXedD08100A80W3@DeXU90002ahl10W2GM2 -tWFzJ040000Y8k04Uesw4AwtWyQzem5C00106jV2000aW0084Tj1G04JocsWc_V08000WC0i -f@D00W000G20c060nPd0mb3WpNUG0G4GWr604000G0O2m00YajJu@KOEnc10002@tRmH2CW0 -0W8DN9004WaF_3Q30823d100010Ye100100W008B06cjXXmt310W00008W8dD0040410000W -50WA20K8o0P4O0000GGnq60088G0000004000K10G4204200W0K20AK800W90D2C00G080C0 -0800000GuKB6axW19jRWY6002f00K8GG01I0b5W1050XUwXajwD080080A0awsD0200W0W07 -300n80G1G4G0H00040005W00YapD000G0W0WXZxD0W101GA004184008WC5W00G000G01000 -00G100WM60000020100080OSt6W1W100000W14821mG8400p2mWtCQvMV600002m80WKX45e -0Yaehn000G00006G00W0GgG05Ke5YD3000I0000HI008mQ669s0I5IKa3OI2iEXehJ8atP01 -4G00001a84244CWKgn000200W08G10001q4a0026Tz300A3Rqd14100W0GWW00W04408mwJs -aocGT29tV60Xq0StGBr6R300XWKkP0m00GK0U04000008KK06000WWQ00Gg0UyQr9PJVIHMI -asl1XOl100yvyihGC00HqrUKNE6HNJ501Pr0h7BTz4gDt01000G800oP7ZWsJ00020008000 -0eW700Y_IYi0guOjM00200040eiU3w0AXZsD0040maP60040001WmP1C00002041GK09Ccl1 -006rMJ3ZRsDuzT6k5waguDW0000100Xunm8X93800001100010moQCifZ40BE0ITJYWFYQTj -4000201028qiA2ap0G0GWPnP00G0010200002000mR5008BWA0004010Gu6V3o2mWCXcP@@4 -W000SM73zwb000GXnug0130050WWUxC00WHS@P9y@V24W1000Y000W0egF3wrNYXus000400 -48010G008W1c9m004G020000G1040e10W0040000104002GW200WD0DO70300088060080GG -3V6W0108WF30QY00W20W000W02W04GG18800A@t00C00dzPGJV64w53RK4Y04W008008e01I -@p048010010C0008W000040040W000m00800WG05y0e15XP000000CG1O0001G000W120034 -W100010010008PA00GX12020G02G018C024000G003000YW200200001402j7QmzXCinM8P6 -cGAVXaXG294AHM@900WMB80FQzV6W004000Gw6XXKqIu3n4Qwp00W00Tzb00202WX000W004 -Y00SZe1hDc0Oa1W@@V000a8400001WW020104804N0F7famra6i_c13WPmFb64_O2000YG0G -04nO20480cZC100GqQ000EZqW7vU0080G@a6q4sC9NA100200G200H0GO3O04Qs3G080Qiq0 -0W00000C0a0000088qC300O000m000WWB000001020006Yjq00W0WHtP00210GG00i1C0000 -00C00CqCOEwq0100GI0I0400010GeuKiA6bJ2W800VDQGhc90GE0uDJ6000GG0G0u@@V0040 -G1W00202000G008m0W10500K112I0003m50c16000080K0044000004030KxV6W004120AC4 -00440mW4000048Z060W004020010000uo7000000X1000100000G000o0W1040004004W0G2 -GHg0600G00GG0muQgSBQ201100400G000004200A64W20G00000CG0KKg1RaQ00W0000I000 -G10224002Y2WW4AW6cnGpC00G000W2000Cy00000810040G04000GG000000KX00G0o0YaX0 -GK81200000341W002U0ibSDJ0000281440850qAB0HYf04L639S_0GW0GX0WKYGAe008WaKM -2XcP0u@0W@@V004020aA2G97W8140oyq00C50XcbpGg604210088uGi9aFc4000O044800G0 -52Ym0ZA2WupO00002F00W_PP00G090H00W0Q20001p2m05100XcXKji6y9u9Ldc00W__w6Qv -@VUwgCXqQFPBt40yj0yVm9XcLKyPp000WUYt72DB@@@@@@@@@@@@@@@@@@@@@@@rO_FDd@F3 -w@oa_VCg@3pw@lm_lBj@tYx@iy_@Am@hIy@f8@FAp@V2z@cK@V9s@Joz@ZW@l8v@7Y_@Wi@@ -7y@xH@@Tu@F7@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@F00 +8W@@d10Wfw@@F0400u@Vj0020y@@CWk50_@@J000eE600_@d10010@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@X0200Y2050000000uS000yzNQF5MnTXX00Osv +@@h0W00a205N8AHK0IScu300A__@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@H2440m@ +@CG004OjAFEfQZ@@X1040m@@a00001j00m@@uj7_9006Q_@dV0200@@N4000QO000HAyVa2@ +dO@@7_@@@@@@@@@D2b30I0We0Gv@V30M104apR0W80Yqf5000SA100_@@@@@lDoL3sSzX8Nt +00006c00Wuf8faAL00vXB8I1IGKK4jG90U9a882C3GW74Wqfp21004C8y8qK0G0002O004uS +08FAWJ0041y@lJ000mL000f010Y@004uh48000170C000W00041008000G_x0W49503Y2u40 +000954r@@6O000u5WBs7n0CQ20ZzknV0945W1WH50_@@@@@@@@@@@@@@@@@@@VW110000000 +KN3mSYDs0Vi0m6Gz000iN@@vz@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VDt@FJ_@ni@@l +@CFO7FPnQGPiOit@@@@@@@@@@@@@@@@Vwz@V_@@re@@Cy@7Z@@@@@@@@s000WdF00m@@v004 +0u@@@@@@@t8Y20W4o@@@tW7C@@N10006X1007n@VH_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@ZD8G0W@@HY008m@@K1000rn00m@@C0080u@V8JAWq@@@Fr_@Fz@@@@@@@@@@@@ +@@@@@@@@@@@@@@B50WFp3qZz@@@@@@@@@@Vg@@@@@V@z@l@@@fz@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@R3008W@@n0000Ym10W@@@@@VLG000y@F6W9K0_@@@@@@@7_@xn@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fc@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@lo@@@@@Vu@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V30020y@@6000OO +200y@VW000010W0y@F60024_@FG00W000400200y@F6WnD0_@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@z3iF3m@@C02008fW9z0004T73@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VBy@lY@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@mz@7y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@F600y8_@N80200 +@@@F000UH200@@@3002W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@N2200G +306y@lG0S10_@@A0001D0OmhSaSBd13pL1000cg3Aw@V90W000W00e103_@t3002090GG506 +y@V50T80_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Ey@Vn +_@Jy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@17s0y@@3W000_@d700G0@RBn8tX45m6000O3I +tWikneuRCIAWD00Mb34qor25z@FCWjO0Y7ekZ6K1000K3_di1HB@@x40W3uC72Sv@G06W0y@ +l40200Qj7lx1a8x1LcBOl@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Jh6ECAZZ400fvn2C +JI1a_@@@H@91000Qb3000G00Q04copO300es@@2zsc1J7Om@@K1eM1OGlPgZZX76D000WmCS +N100Wau00m12Qz@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@t2dnXYJ200@Wa28S8BF0_n0Cxs +@FdrIUrO008CVBjGsKZX2iP84x4g4lbCxzeF@A03O0KxM2t7yGD_Ly2lG0W00YnVZ7zb0000 +8K00WXzVun@4w4G2002GPx7osuFSQdG00KNpUebsuRSmy@3S@@@w@ll@@@@@@@@@@@@@@@@@ +@@pygg7MdY400800400@@l200w2@@FpXmOiBi100a0sWs08000HXdm_pdKWL509P02C@gRgD +u4POEKM2000Ss400w_Q94000000010005G63T0uG2tO00G0eQxA000mBB00u@Vd2lUZDi310 +0mGCu6y3k1G00G000000ugv@V30014SYM80400k5tWi0Ium_VshVZtiP00b3G@zFigT2zrtI +Y_947_6Zm9nHSIO000eXz7000UwS08eKE3sNtWRvI8PkAEQtWi0I8xFOcFda4uD002GGo@90 +0ylD0FLYl8aJ_p94TF0OH0CHNET_PmbzW1000Nl00nWWXCSO2BrNnSzQDZl100G_g2za2HV8 +L@e0810yMA60EB0UPSZTJPuwHIG000SjlD0088Mql20002000WW90WiuF30801Ezt00GG0@@ +d0G80WsAD0W80mga9G000edr4ccq00001bb0p0eU04100000000Qh3CyuFq44000z@V5n5Om +HI25LQ580IG00000M008sq7QErWMH91090m@@253o6hfQ0000GE000ZGfI@e2z@@@@@360W1 +W@@b0810000e00004W300U8EaRYVe8WG00000m00e103QQc400P20100Qe5300S5@@75100W +XWJe303w5E440IG40000aW0y@V506D0_@t908H8G412X4000H4WG40009212HG8Ia844RY63 +0W82000W8m4X0g884o00GPoCiXi1000my900Srjb20G0_@t000I2@@J8H0IW4fV0000IIo90 +cM1u@@zIrW1FQ8WrDd0aW200W040C0581W100003S06G07C0m000004GA0I0m00C50AY2GYY +nQ181200000YG00DUR0600W@@J00E97u8100GY3000OTNW404Y0HW000G8I440002a000080 +c2m38G420IW1c205H18X0pX00W38u40000ES0000GE4m100XpK0eGE400S4910000mHG0000 +vW0F1W890m@@60002200000Wa822W8I0000wMGY802Y890000882200n0OW4WaeK0e8A0IY6 +8X@@@@Lx@R5@@YPaBz@700CoFx_@xAM40004o1007vd@8jFa8h1LDso@@90qn08nrP2kjYrR +D00880H401800300110W20G100000001GG0000WK0000W020014eWnM000WTAfDW000FAeY@ +@D024800K0XKKP010GGxi68000enN3wDL500olPuE300080140NvL100G01480dzQ000O00K +00x2RW0000WK00f4RmbXm0Uk1Obvh_@lT04gFpWQJPXi1U70u@@Jm00WX10000WP6p00000c +1W00m000c10mC00000o0p000008pC0_@FV000s@@h2WP00G400OcPH8G000A84X400HA0682 +0063Y8Y000008Y0lLI5m_3W@@FX200000G4203000Y08CC3W48210YC3000008Y8a1004H42 +GcP0G4100H00W@@T2000fN10W@@9100G200000300A1000000e4II4fa0Ca8108WWnf0aGH0 +6y@VK000z@@@4044000WPlAt0000W22014qj68802G0@2GA09K4W1@@75uE2W@@Rf58RIAu7 +d000zZeICyRK9jM08KsJs7600WK00000W1I106V1e60GT1600j0e103_wcA0660@@VYQjMR0 +OTsqgpifLhjogMRLr000i100s000Qrwi1crPZhEp6NjsAjQjLL308001000080010O3U6000 +GaeV5G400AWs3000Wo108I5mWYvJ0040mElmyBD3G002Ee@100020048008000K20010mMo9 +y_@30004010W0100O7S3EiF100WAZEbW000WRrb86@4IC8X@@D0200800011000D0OGY_9ip +E3Fvp0011003002400_RV30040RQN1ef1W6ybOJTOsQd106002G00kstWLzJ8y@48002q@V2 +PpRGwBC80G00010mzx9i@l1000mK300H01000080180WZwbuPW7gyF1040004004W00qtj1F +xp0080Y2PD000000108800800001000200W10028010002G000140000j1W100006Mm08W00 +dspGN_900GA60000004mz@V0002GB06yXG200GGwj@4001W040041W00010G00WnF@600004 +004080820050Y000W4AW0KGW00W2800400020G01G428e00KG10002014KW022001400eW04 +G0020008w5404800421W2000001G0G020a000000W0K80800aRV2W000UktWy9O8K_A00040 +0G020060G00080000P0004000GG000010W10000C006000000YG0W1Wm00W12GW000W002G1 +W02m030XK000W08W03W0a00m0X00A8W0W0G0000SK110420W0G0Iy6k14220420000000e01 +48W00W800000265t00100h@dG8@CKl@3000G100001Y000000050WaqD8CV380m0I0000W2X +00601020Gz_dm686SyF600Os0000000X9w@7o1IYAgDuP6I8000040W00000040810007MR0 +000Y0@JO2V30010iyk1dgdW000mxyJW0000002WDyD0aa90G00WrHI000080020008000020 +0W1qzl1@sPpB@608010020G@z60G0000001040Y@@J0104mWA9ynj1I0I0syt0W0W0bmR000 +8GA300000AVQF1G800Xvdm5ACiQ26j@B128004W000003060W5eV20808wq@10G00RzR0303 +02110HxdGez6yLF300cao281W1000800IvtWxFIe@mPclN2004W8080c3@XJ@DeOV3010GiL +U2rvB1mH7Wv@J00W0Hhv6000Ge023AQS60WW00W080080W1W1W00G00020W200068102000A +20eq@4000100080G00A0O0O080m80200002008003032004000G00102oOt0000GE1080010 +c5W165K2004006W0040000K00m800080G000WMBOB4070W020OG00000WAe00K44004000G0 +20_Hf120K080W08000002m002G10C000000WA0004Y20C000G80008000Y00Mzt004004200 +00CWQ00002e0GUa6000W1110060044C0CrEc0100anBL100G211000200fYP02881Qv00K20 +440224Dc1@@d0I4000480vZP000W09ua30G904G088000e@430004y9l10NRIk4oWeoC0044 +0DSW1A1G00010o2WX@@L1011000eaKnC00004W000010100044010S6F3FHRW400WwoD0001 +GK060a00u@V9SUG0000Mfye4040028480008Y0G0WEe@7000mP2La50Bpxp3400Xs@J000u0 +00WHl0g3TePmrhRm0008VC6g@d1GgF0P1y000Wpi0U8Es7I5360G200090I5x1jMTjH1S200 +0oK1EHhL3mjcmijf4Dw@0001WwlU8CN3IYDX_SD00GLncE9CHi45xcmDig000040W00W00G1 +000000Golt00000042000W0yz435@AHql6y1h1000aowA1mQ9000406@D10040tJBn_jC4Xh +1PY9n5Q9qQK2lvQ0080WmiC0800GRf6Cmx9Zxc0000A_1007zIIspXKDR25gQmhC64GB39fL +H7o9CvB30480QwE10200002j10G06tc15jQG5XIigC3XFcGb_X0804080010800000GA000G +42100W02Y00001800240l4R00G4W8dD0080mE46qP139OR04000WY10t9P00014000I84800 +0G000e0ep@44002C7C3D7O0000YDoJuby700002180000WW001WigCe9P3oem002W40422MO +p00084W000000G000140iG8G8402880GaG012W20001a400A0H042280000uWBW0IG0n000A +800W08204K0K20040G04040IW4YW01001K00Y0W000cmW1fsb0Y000011004500520Ccb100 +W0wpp000Y001000W02W2WGu4v400G8080010H120W18WW00d0Q0W02hoaD0GW400H5H81020 +20YW2G00e000KWW200c800HG021000Ss28G220Y00O200050G00gW0A20180YY0010K44309 +0001B0Ga00Kli1022001000GG0000W80002W00G000WH0084Aj10G02gvZ1010000001G100 +0G0m0000200X0dD020W0801WqkVeWL3Q4yXKjJ0iM1000040W00028000185bj1BxXnDW900 +00K000000WY@qPO3S900G004000GG00G0m0W900bXdGksC0004000300W1WWnJ0005m@@602 +208853W200y@V20M2209080C00OeR30H00001000400Y00000W00104gAtWV9D00G0000201 +W10XbR080808100G000G0008W00e423000W4aM2xTRGZWC45A3PxRGGY9C2B308G1p2mWhcC +8Y33AhsWfHbuDT30012KFh18000G0040800uaq401004Lc1000Co7pW8it8qi4G6080008G0 +000900ahiPOND300000op88B06EGL2m0007jP0200C400000CpG60004000G00000WGX0610 +00O06O600100O42m@@F0G80eJV96boWSzDuCU30000310000G0Grv9S9l1VhR0000Iz100xp +7oGyO4iv3dgRW0000CW00G1060C03020W0G100G00O0404V0Q00W000080G0010020CUk1Oe +O183m40910W4W000GH0W0000W0000203000CfO3008la5G2AM0HW4013044DRE3w5p00040P +pd0W908G500OcOGC08020W4nm001044OC00HAI0WHW82302G04YeGG36000a8xG3G0G0SDi1 +808K0042000G080G0G02W1xD00080500000026001102X80006W10HEn9W0W0eNU30Ny2a5G +20039GW000W0000GH010110010000401040OW20000W1W0010W040604050O2WA50G0004PO +0C0C00G803080Z0K0C230C000YG20vZn020m1E0WI00W0WW004LM22000oK_1bG20qK11f40 +X90408qi4w@F1tH20P1y050K900M0XcnGg0Ie420WIEGCb810880n00Wo2Qc111000e00YAB +1G04G0W000121izR2BoR0022000G0n9p027XWupCOR_700qlc5m30008D010a5031Dd000Oo +WcJWOZ01WYa011W009I0_@tWWcDGi00GpTg00000480000003000N@l1eI0WtBP8HA9MhQZF +@n0W40Gr@X00020mG0mVS9yZj1DqM1000AFZ44@@RW04200000Hrmmr7jy@F6C010YAxXhZI +u6K60W10006F1eE00eO0WHTV00Wiuwm9a5G2Oy5Gp2WXy5a8eP9IRs00850Xcn3w000S100X +c9182hsi0E9evJYF3c6@_@@@YohEXe@z0yD0mS@8zegATGdmHwa000WN600GduRi9DCnqcJr +@R00mik2SLE_tf3gDOWw4k_V3m980@DW4G0000004Hg0JCs6yRe4Hvd00006F000r_d34010 +0008020G02001400QzC34000Ckk1php0W0GeJqC0000q6v908G00000IPy9S7l100007SoWk +uJ00m1@Zz6yvL2xZdmak60080OoR3000CW0480H4G020WWWrC0140m@@C00G0v903IPK2000 +408203pEXTtD8OH3sBqWapJ00020002000201bPGkw60W61ebT3QicXAxD85W700280W0G02 +10GCz9yfP81mI200GWObz00005v10Wob3PDV3G0040001OtV34020004GeAI3040W0800280 +0CW10WMvX9Zm40002G0000800044002000@yR004G0100000SLNiE7WG00L_dmg@9SdRBVvR +W000040G07uRm4_900W0u5@44BC0a4JER8bpSh6800886E3G000@hf1vNR0002WyvC01W0GX +@6u700OPfJ00060000006O600004O4000010WH0m0p4000G00C0WK0CeMyS06000G000980G +L@600024000su@600WBOiyS6ttWtzVukRL0W0043d1860100002a041000XI4e4300000068 +me50W01zOQ30z70qgkAO000400CWP14G000122G4W00W8W1c1201000Om0o400W00180H9jV +InZ60016000401GOO4C4008G00WI08080W0JHGLt6G80G00400000cjRE9TC30W020000001 +83161004O10O400001G0P40000W04G0Z000hThICSFW40Ka30Y4I0B1X0C09PR00YbaPGD00 +G_Pg0sW42KW32g1I00008C01qbGii64YjAnmn088081OGGm000IbB10444lSR0Ov1WajL10Z +0GsS90021oB06402H1l0001qb000OaUifAEJ6EVYazbeAmVF00ahqXdA0002W0000000EUVO +kDv4C_2eC00e2nsW7cPmG52mq@myui1fqzGky64YDF0004100Y4Yj4XiQGg0EzrUK00SRG0G +0qO6ODMpmvv9aF@3LQl18e7WViJ8WTdIAmZs_L10005W10WfquP@6LQLjYjf810WA@9LmKIG +HBsNn1zO0ws1u@@qI7lYTWh8Av4YKm0r000rLGIuWXKxG8LzZnxyIaw@3004poEWaH51hAuA +kkF1GvB0BESLWc@@7w@zf_@Ui@ddx@t1@VTot20GJ9MIU2ZsxJ3L10004J00WIXfwUU30100 +avl1DucGXx9yuk4HB@G7y6020000mGH0ysqYC9TDZ18000000Wh7xHk1900000OQ2mKPsqBj +7R1dGdr6i2i1JPd3q10WFjp9z@A8100SWlG000G2pF100dkHlXqRuF8800Oc@40e00G00008 +W0mBo9isj1bgA1000200O080001000WH08040084000W450002088W0iIk1G4000000AG00u +zVLY9@10880F0SmRZF0001i0z7UGl2010G0010020010a002010040000esR_o0040W5pDO8 +uMAIxXbzb0810W00000002NmJI8x6000GW000GIw60wO000000a01WqqD8203G200CP@RRZR +0002YbiPOKT30000QG00u@@w0014yWk17sd0W00000G2W400Mc@104p7fkX7200C400000Cp +G60000m80000100m040c1000046O6WCB00O60000820000WnQ0An9mUcDuo_400G00002elz +4000m0S008i7y0C1002400p5BY121010W8cI080C0CZP4WG840C6G0A100W0O41G240000u9 +mFy8G0080W4X0m000W0022ZK02200W83G40000Y88O000oCW0aP4G0H00G4000a71moWr5qy +3AXa1eX30J9I80290Sgy6000A195000060000i1sRmMmo1WW0000CPGp988002GHIWW0800W +B00GK0oKE1p20000YGpQy@hA7kxV3000I10000068LcoCC@l10GB02ilbajZAeP9WD00m600 +8eP9ciFX3H@lGLo13t1yCT25vZ1010mAmJ8lx4Edke_gD0W00080400000W0G06jFXWcPWp0 +0GBnLSwl10004Ecs000m0LiBHKyCiCcGR@Z100VW2my008000W10W001TjRmhrR4otCDPR00 +04000240000Q0mWQ_IO@@40Nq4awk18000cksZutDuCx4000401018LlAszsWZlRfSmA6JF1 +w100@fd00W0WS_COlR6000G008000G08e000101401G018000GW10K0Gmyw6000e8IY4QO@1 +14005Kt2K00W@@J0804m@@600ODz@@700WG4_k104G0G1000G0100028014a8@C0W0008W02 +028W000088CW24G0irS300C022O018W01GW1WE_PuawS00002020O4U30F80i5@382400204 +0000180WqAU606GW2G0210X180W24W04O0Cm018W150074OW22G0200420G84006W00CG088 +002m040PFqoZu6yWl10W20oXl2y100NvdmO_XK1F3Tk@0006WHeCO1@e000jdmV2000G000W +W000eIU3010080000001W001W@@V08820G0000200WG00_@t0080W7zhbf17WmxJ0002o826 +CUF30200gLnW5yJu7V380100G00Y0000200Wd@DOHXh000GQT22004080000606040G00002 +aSP50002kwt00O0P00000010_5w3JZeIb@m008XV@V3_FY1000W0200IBzXByD8qV30200W0 +010021GdgFCmeAp_t2mOC04001000G003030X12002400X00000404000G400020W000W180 +0040HlO040W14BWC220C0403G00Wo0202000cA@DOzX4IxDAD80000X90mW00000G0GG0G88 +002800Em001800G000000qx_600040204004G000020809GW8K80GX1000W0W0Xw_D0C000G +10WY_f20GuFI40000809oP000W0HuW30G800M880000G800GsSOWa0SYc0A400J080001qPG +q_Q1ge3QZ03Iqr000440280m0000W0G8RE90G00aDN2W000i03000G2O6f4_@@A000yTrqIa +e6CJxI@@J200Nv@@L1W4W0010WusIO7PU_@l5G750Pwo000m0000ZU1K300KCyiR2RxcG9s6 +Cig1H1ym@@Q100048rQTYSF00I00081GYSFerghDRk7000q100uARkAg4caTosu@@700OnDP +c1tOBH@JFCUM2rDRmD0F4ScDJaPmLPLy@F3WDJ4klEXpoIuvS30020joz32000W0400004Og +R60004_sD6r@6odwa0000Zz00GgnU4pJ5tUp00W0eiPj100GmBv6K@k700Adm000002000A0 +mrY9KbH2NBRGgs90028OIU3_FpW@@JefO3ARmZPn91004mYuC0800y@V30YL2000001004f0 +0WTlO0001002000804008862mW@@D00m0000W00m10red0e020I000DfRGctjCd@3JiR0000 +m306OSQ308402G0040004a141008W040000W0U2W104G4X81G01014Wi00004K808Y040WX0 +0020001W02A00001280W020t4mW@@D0W028010unnXfUT96Gt00020008G000W00G0200100 +W2R41000Y8W0W0004W02G2G40008004GG04W04G082080m014W0280Gi00280000W04G0000 +82I0G9W0200200ESo00G080Y4W00m0awa1PFOJdm6qOF3020W@@t0mO8080000000LHM2000 +W8W000010008H00000GG0WXWd08000G0A0FvdGxx60O00uhTRG000K@c1008G40040080000 +G030300041000001044LO2o100cu@1G00000W00100a5W1nbB10W0Yc@OueU3IhmW58RP3z4 +6asWu_Ven@40mObKdE3000O0000100W0040Kv@CqMc10W016wtWy2I000a081400300x_RGd +UjW0000120000mW2KD0420mlzC01G000G0muz60QT9080G000G00O0OtKAHOFCC6d1G003G6 +0W0m000G00K1cIS_7CnoRmnZC00009Xn7000mYP00OW_40108ilU2P18Hg@F0W44u55U0G04 +00288fC3C8840GI00m0000980G0209yRmMV900Ox600202000q0030605151W0W00g9C3o28 +X2sC001000AW00000OWO18IG203Y3W021080W10B400H000G000000ySjM80008W00W60000 +004G00G0800W00W0020Y000C20008010hub08fM100G0G004_nt0008W00G00080O0O48BW4 +02080W90W020000G0300020W88804100mf0V3Ezt000C0804W40024TjA9bn00880Au0042W +04221aKs30000n7924QM20002G00Am104I81801A000O20@@RW8000W0094000opE1cGW1KI +369404G040000Ksz@60W208wwM00054Tj1000H0404184O8JsA00K5880000D0Gnz600n020 +4146O000W08llcG8@64Qs34348088C0000fGnhg@d10ED03s2JdPl1000qJ00GBejaAR25vA +HN@yaKM2HNpm@@6008qz@@7O020a506Dem00C010mu9vPdGHXpaKs9g6002Dhh9n@fsq4qU0 +0SjC900W00020ipC33x9K5nd00OPhyr4UQEX8Z3vpv4I1qWpri9UuD2Z_1m370z1qI9@o100 +WKi40G6h9Spv600020W004BT20W000000LBj1DPRmdPyirj700KK@QcXMkD0400080000050 +0000010GbOz3000W0401W0e00G00mlT6aAk1000827FXqh9X280Gq_6qMQ8WD502TkYypI00 +008414YWcD00020015m6oD00WG0GW0G000XW04WWA00020148020G40qywKfMr4wVr3000Gm +400cEFXv0IeB13YQo000Y8028G010000W008002W110014GGG00080028WG0Ge0401K4000m +0180040GilW11dJIwhd020000GbzOxLiBU2W00W3Bl200C0pPdmdx60001K00Wm@@K1wJ1ei +R6G00066k1C0C200C0iJH2vWdmRv60G00AKU3QGF100200040QxbA00miC000Ml@XigP0010 +GBXC4Tl10800Y8F19090Nf260WVL40208020oFBX_jn0040HGp90480WXG00GW0WtvDefV3Y +kMBG7910000400020000042IPy600G0fDqD_cb10100bJpGGpK100W1n80808840G50O0O0e +584iFe1W180gPt00W000G080G00W0020G0G0A0010m0p4GWWH0G011101008A606e@@J0W0W +mfvK10mIVCD3smt0020WW0180000WW1W9uS300K0qwl10G020020CIl1YI00C8108600eQ43 +0C100W005040ItCN1ST810000210WKoC01400jS00210120102QEXn@D09A0GGpC017KWW21 +IFk64vi1bKS50004I4403Id0000801000Y060048Kz_31D@003200Hu8OG084I04SWCO00kN +tN5cJaa3OV0mx2jS@V200X0_4hY0xfoK00G_PdafV21DpGyPL4qihPPrOD1Q__@6bIFJJwO0 +uK08fSdMhcX3fDeHiV000GfM00OOj3Zm7300e3RmvqYx9W0008kS3ICScU@V04I1mY@KrRF6 +jTF3000GA100X_x4801W7qC00W08G0800014VyR0080YWrJ0000HH@g00m1kVwGA02cCqC0W +G00011Y6nIOrm46hHYa_31i@1GOxpS@lA800GEFZX4tJOf0L0000hE00eYLdk@F100WWG004 +1420cTU22000W0W0W000uezM00Gel8YMpGdGr@6CpF30G80IlN5JID0ZswqyW9m0o00G0002 +G000100h0cmtbp000WMu00GxkKbmF3@fR0O00W5_j100TxKFHjBk10W1Y9Wg1JW050230000 +K0404m0082Yrt0G040pxq2Ws6WzYT202000G0G280000100W00G8i00eG10G0410A00W0000 +00m00202080m@vg000WCIXeG200ap632q1D1eG48C5G8mw4000LKnVB00edJWsfSsa0G0i06 +0400402RJd38w4WfgZ2014mcz6KDTH0008W600qfjJG200xoF10G00twA40WWqmlZQc@4oPB +1d0g100A6c5F7Gc00haxKWrIaDzF0008pY@X6r520W0002408200tsdm@v941l1Tep00G0nB +lJu5U3wDbXx_D00WgLMMIS8V2BWpmCg6804GO7QI00W0O04800000W0080800@3R00008800 +0f0OGK9900Y00100mD@CaxU20400Uft0mFC0DtRmzo6GG00uoVFwnqWl@z0002001GWjyPey +S36qN21000xEB120HW_vJ01W00000Ac1047UZnBqIi6j1T@7208202X00200000m00G0G0G0 +0Gt@6qOh10600xmF108Gm0800URtW4an000HNUr6yUW1TNRGOoLaQj10GW00800S1@6xxR00 +W20000W00AW04n000e00W41GUo600W000G00W0000080hPR082000008hgdW00018W0W00W0 +00001G0GOoQ30Js0qwl1G64004C00O0G8EM9001080000KG1000000610TrR080008Y003bB +H_i600020W02IVb90401eBV30200UGS2004GAM8XMdJ0004Z010WOfJ0G000000K1104000G +00010000104K04220G0080005000a0WW0122020050080844G5000820G000WW00G6WNtD00 +80nrxIyIi1000G0CW000W0400001e0Yb2C0001Lb26000140080804Y8MD0G04m7u60W0000 +0AIQ@6080000008W10WI0C000280O2000CmGW920800DnW140H008W200010m0800G4000Cm +0040010122200W800004W4NDOwT900G000O0010000W140G2000600090qVT278OGYzO000G +0B80ne_6020G8iV30Xk0Cdj1W0800000020O8H@4_OF10002005GC000W0000400000GajjD +0600mwsCCmj1zspmg@9qfl1Jtd0800WJiJ000WW00221W01009000000101O4@4000800200 +0007t5WWsxPW00000G000G2000O00H00004000104800W5_DOFU34W001010000CmhAFy9z3 +00001a004Ik12818pKmWBnn8_T30082SDk1dZp006szfjJOdU3000a0200C_V9000245G20W +01EEmWl@C0W80GsqC4QM2jtpGlw9yTU28W00Ifs0a101v@dGly9yYE3W182IIB11W402W0Gg +qt01010HWdGZ_6afM2830mUit0G000TSA10800000W0W000G00yGW1G0G06uN2W0000002sn +s0040H0G00002W00012000IAr9Sgl1000GnF00iJU23Ka000WWYAz0020uD_6KaV2NIdmlu6 +010O0p2e5a80322WE40088G0GG0001000W0020008020000G0000608bQ340208210m801m8 +r90W00PvV3G00000Ow99j4GC10W11Y0n081100OI9089qd000aWLyD00C400000CmC140020 +8G0G20004G80G020W800RXd000G080G00I010000000a0021a0008002680eWY4m0G001014 +00W80G01000080100000WC4M0Y0K0GG0000X303G00ue0CeiZ40jA2G00G0828000010e3C0 +G8040Ie20000202100b00002W10104810000W200000G03000000Kf30iGW0GXA004420240 +0WXsR0205010000W400004dDj10002GI1Ao9352042GArCe0008AW4O2000000W94K0bKemy +gh0000Zs810000pP1O000e4aKXcqWHX00520110uMw4YksWCrO094K0bKWG210PG0102nsWz +@J8RQ60We1108002C00029WfaV0011GG@CGC0W0K0m0000aKgb00WnHWrC00W22000a2O0Wi +0C8ML60GG000029zV30001IC0W0X4m000GWehJ87U6I2Z40800G000oRbXzpm0Wu3mW@6quA +9rwRGKzU4nl19yd0000O0084ziMHMw64rB3byM1000Gu800zuVI0x6KTl1hmOmsiOS4F3fK@ +GVy6a8l4ndbGK0Ci_l100mYYkMbe@P006WGcQCacJ2XcfIArg0Y0gDL0dKT00i4V2Rnlnay9 +C8135DN1000O2304RWpmXL6yvlGWG000002KAR5RuA110WWCQaOh@400OoiAJ2byO000KWDW +5wQP3000Iy@V5008WEk_aa@D0Ow0GIgHjwK2pCln5_900100080W080WAIU8ZR6eC00D8a1P +1O0202YpdVOjHO08K000208m364000SPc1@@R00W8WwZDu@R6A8s04100@@d0e00WlyD0000 +x@@908GW20015OG0WWite3xG0002200908020010K000e00G0AEBXB0C0W0200140008W3cd +0014G068W00000802W0000W2400002028004G0144020000Wa240000G080JtbW800mAov10 +0300G0GW40800400Gm0080000810W00WbcD0G002W008W00W028W6TE1014G4280000W08W2 +ODS3008014012G01CW020000Oc5G00000140000004W10Wy0IOtT3Q4V610CGXhRGaxCaz03 +0024MWtWhtPu5U3m0000002ehT3QcE100_PbDn00013Gm009KlnGrXyja1rcR0004WCrDudA +9w7E1000040010000W0408qi4k4CXUvD04z2G7_9W010H00Guyy9Srk1040204H04oDCbs@0 +0081G280@@l140080GW0dgO00W0X33Du@V3000ZGO00OWV3_AE1a0000200sAmWD@d11W0GG +p60C002400Kn_FS7k1G0000W10003WO_V30210WG900800mru6K_V2XzR000S96000C000_8 +t0200D0G00skFXp8hOMOIkXt0000400W1MRnWOzOuHz7wRq0000WTtR0100mt@V0eR0mcERy +@@C00W110100W210303W1150mC000G800em0a@N2Hbb000W1W0G00GWH0m80XW00H0AL00W0 +000WG00G100010K000W00GXR6880000C0002004Ga0K080420008800C180G21008000008Y +FGYN@zW200my86000010400e206000G0O0O0200I00002m0mTp60W188qC3404202E0WmL00 +800001m0W100cut00G088A000000000qm020000H02002G40OC812YY0q09000220Y_iDG0W +0010WaN5K9eP308008M000000008WGQuW342o8e0224qS2HEd0046WSnD00bGgnm2908408G +040000a7W1ndP000000o69P1OGwp6W0I5Z12rAX00C2WW0H3amCeO4qC600W56@t000W8022 +0140CqUI2JjcGap60400We000000005002eW6m0000a0087U9mKG04LM2000H500WYa4O8@i +AMxEA0400z7G200SdddBQg@P0100M2J8@@R00tXWWclAViJ000I5vC3Xc91000kWnJ00I1GI +QCa_SE1DB1I0008100Xcb30W4WqdZAFYww9mpy48V7_Y00qK4Dn@ntPJ2WIWI00ei3u100G0 +0e00400LWw6SX96zE48000aoXDu@CIE_F4000Kk400QstfHpD8GXbIAWgHQ_Fad@@8w@Ec_V +Zg@puw@Bo_lYjapotWFwDeNTC00W0agl1VzR0800WPqJ0OV1mYxU000HOUU6EKl2800000W8 +sPFXejP860308208W00eGU300080840OFL600010108eqv480000002088000080800G0000 +v008qpl128W0cVs08001@Mp0008001002080oXt08G00tlpGpz6Csl12000m000G000uo_48 +000iKl18004IA8X1SD8yU60G040040X0000040WXsD0010Ga09008dRSU30001ial10G02Yg +tWpxJW010mDzC8000usx4A@tWY0Ie6ECk0dXJPV8@U9_@t001800G000W0002000eJ1Ga@9y +AU5FrQ00G0YxQJOu@A00041W000030000023200LZQ0400WVzJ0404401i200m04001002I0 +008eNK3m0001001001G000G08C000200gytWr@J0000k60000000280G0W20M7T20180wpsW +i_DOoO3000010a0I00000048W004T@d00040100000W04W020W00uzV300088840K000W018 +00G08880000080002G000W080080008000K0110W00001014280100020040G08W00GGH100 +0280140008W00G00000TG014W208G14G0lNaW02005400G0WGQztWN@J048400G000400G00 +000W0W00G0400W000WY2Ce@V3W01000a0OtS300220004102000W804080GGG08W02011802 +0C0000128m0GW008m00G8G8412G010G02K004G440W2SG000012G020004G0080000WA2G01 +A00A8018O00820rfR020O02020rkR0200WPvD0W000Y40841008000120000020050000G00 +0WW00100W00CKj1G00OG1000500W0G80W0000200dxR0000400H4BJOmQy6CmF3LxRm2n6KI +@3000maE0000000G10Kl5C08G00020mmt6yoD3pQO00400010Crs@000O000W0N7RGO_C0G0 +0GW20Gln64M@30004W08000W0eu0301140004200W00GIYhxPuaV300200G00OQV36vt08W4 +0G000Wa00yYF3Lup0024WOyD0402GG_901000m00W0000G800jT@0G010W000040Gc0dXXxJ +0400008084000PVNXPs7WqxV0000uiy60GW0uPt7U_E109009GOG549ihl10001I2FXtmJ00 +0G000I000680402M7FXLoh000402080X000200J060G004010002000IC200BhdGd_900021 +0W020080WO3O0020wFm0000G400GowF110105od020m0W100v3mGVv9KsV280008I00qsV2p +zdmQnF0080vC@700KvFOB30G00GG2GU@V2rSk100WWP@D000amU26ivU280000I00KgY1400 +0808100000oGGa80X2G14n0200100WcMk10SW00204ijl10W0WW000002X08G002f20Z030W +002020022000aT1mGt600600000Y10043034G00f00W003084K0C3O002000800K8000000I +20G0Wm5@6GX1WXI8001G0Ge00060A0C0C00000080WA800800804080G00000W20085080P0 +0G5100GY00IA0040ys23sImWqhD0000a1000101160W2W401e8W2I00110008010W000W000 +Wv700000m0501WKyD002000KG000GL0G8X02I308008BW4IHn0080W000GW00Wi_k18000e0 +80W100000CC080G000Gda@0000260WG2K8aOQ3a2000000GQqv6W40W8I@4009000G02a020 +fY0AG1098300Y2W1006@0020gEF1420001285A0I9WeW2eaGMM0FapV2004000801IK1WGW0 +7e00am@D0f00WW00We0CO@u4000WW8WG00m09OY0WOrDeu730002EvF32400021000001eY4 +204CWe0U0io1mjpF0G0000W02441Wi0UeD@70110000W142aGAH90000DVW7UjkY_cP8MeDU +_t0G000000GrA00qyl7RXho_jUW000v@V3400W4E09DsR0100000xjv4u1004G000000G2Qs +d4010W@d@00006A00OAW_O000m1000000fqOMO06008A0306000000Af@400000Ck08B09K0 +vn0080hVBFI5WXHrP00hMhQrgMrgpcoLdDjc1RQjQ100w000S100iQjgLjQLhMRANjsqQ0ib +rQTre0E1000WGMjsK1i1008100WaK1g8Ey7QJVZHrbOvt4U7F1007OfTnmmoNTMl1LdRW010 +WaSJG0200001000G0G80440000e018yC3gNpWMcJ0KsX0000001H0L@@GM090042uHz44020 +y5b1d0amaE9aDM22400_suX0aPeaf7kHs00WW0DQPGxQ6qt_3000G92000000S4492vt0000 +G4801kHZXf1a8fW4wgtZAtI8Ru40021CFS24800wiFXPuC000AGp@600Olb020mPsLqRM51u +Pm89LCZd1005000000W200G000002250000200hqt08C04000500582000500110HW002W20 +a02Axs0040Gntd040000G00W0W0Mus0WSO4rtPGMn6agC300W04000Q00000300G1000410B +iOm0J60W008Ok40000100euFF3gjE114050g2000810G0G00021AmA4GG01600W8H0G0W2A0 +1188W40000G14G0A02400W020Ia0be020XGWGG2148000024OK0101I800000Ks600W01004 +4A0211W0GW11K0180WW021040N20W80021400I00W000084301G00000G025002001400000 +841000000K00400Y8uI83000300W0W0e05jK000A2G0K000001H250168CW10aH0020aXXGG +HGGO000023CW1222018000W9WAKK100a02HG0048000080W51C0fO800000m9h0HW1100W0G +02G0840W14W28400f0mz3D0280002008206G070W0083W00m0W0uEY6W052G00WmOS600000 +80K00H000Y04BtbGCKC0800180028O0000000046pLq00Y000402YPt000018000Efo00011 +G0000W04SaT20m50oOq08004BGOGk9Fq7e1pQRmlU6G004eyT3W00200000Y10GdZ647I2H9 +Qm3t64KX10002QYtWSkJO6F36kw11000e0000100a68300085C00aie1t6cmGW608Wb000XG +99IaAM2202GG000rtd1z_m0002WF9JOZI3GG004ce18aG0oACX_7J020200WW000G2W400EO +CX4lD0200000100CS2B6_GPP60001u2A30800000G8tG3scCXOpC0G0G008YWKdCOLV9kXZX +buD0400020maKnIuVV3oHy1W8000050WG00m4m0000WGHR60QD0ej@7g9p040000100I5WXr +7Vu@@7O0O04VM2h9z00010000WtGOm_dR0000I0000009Y8ZCu3z4000WoH00O2E300W0aMf +1TJcGUV9SbM2XlRGxx6CnP2002024pWkED00W0G8U6y2l10W0W0H00002000g0W0b28Z0300 +00802000G0000G0mwV600WK000C0O020004mW0a20W443WPW1000W0010001000Az10000G0 +6080WmUf6G020Y03000e0GYC0004A0C3C000068xI300W0W1000202000G108m0W9400W030 +G40004e406060C00Lmd00O0eaxC030G440a44001m2GX0882900W0000CW002000GlnR0W0e +WrKJ005000O0020CG2A0W00000AW804000W0000lE0LYc00004C00020200004090m0mWC45 +020000K0208I0q000000102QfF10C00W1000G1WyOd1W2W001080O008oJ600801GJ0WGH55 +f004a000XcPW500WynCu@V6G0W000X20KP12I85WaoUW@00m@@C00WW00W00XY8BG5291vRG +ePCyzF3W0000WG00Ee0Cqi40G004Qs340000014010W1WWW06CAm9NDuO338800ajA3000WG +00006031088OyPF000X_@V6G400000920003G1G408OH9fXHK060A000Cm8WG0GW5PJ0a00G +ePOSrO20G041GG0y@@60208Y2mW7YC02000ml2WuWm00008080YJZ29CfA_@N20G02000400 +01202Iupo7_@@182003ZQ0100G0004000mz700y@l4hfOW100GG2806004_@@400WOdwQGeP +F0i28Fgi4_@7ZGpC0zv0GK0CK4i100KQYAJYK1aeJO6k5sWaqI8_i72DBs@@@@@@@xN3Z@iD +e1r7_@t0000qm100cz_XnjhOwS_V8dXxpDu4N90D_0CGf4HAuKB3U4Ro3LVRmtl600W00G00 +1000O4340noAn2CIyLOHpmRmEy902000GG00800G800GrAR08049000GW020020001800800 +20W0000ly01W000800A000000rrw604802GG10W00A0010x2R0800YP3Ie81LUMm60001004 +800000000k1Q30z1m00100400OgJ6aKl10060VxjYDDiPeX7YRE10020002W00G00810OQO3 +G0G0R200OMO30W0WCfe1000u5B00StS2TaR00004000C0000050WiOl100400A00ail4n0Xn +rC@Njp@J3zVqI@@Cr@DRz@oO@7QLrhK330WsbivqAjTCAB060y90lgDMFgR4vD9a400Qxxjo +2W10GFs9THLzl1HzQGws9qKT20G80400010a0efK6Ais00120Z@N1eT2Wx3i1001IXRIy@l1 +8800W0080800G00002200040200W8ArtWluDedy7_n@XHoC89_4000Gf100eeHd4001O0000 +000800G0100240008G00Smk1DmQ0020020G0HAyGQTI4@V2004O3gtc45mu3z4wurWLrJO_D +3000000H0O3t4YrdXY0I00G0GVSC00000ip1GZ8sCTi4v_pG_@6000H002W001400800PFRG +3gCit@9000Wn200qnvLTqR0040aFWDW000mQ@6SmW7DuB10cuWXH6J000mst6040C0O0W000 +0400281sg2ev1WKC1BNP6UotWs3R1000al00WwLZ200emc@6006000404W8000W00K200804 +0O0O0W0004G10WT6L10GGGEiH180040002080G000800014040800401G0W1090C100000i0 +0X0aIT2b5J2eQ3WlCq209000018G20X424uocE1W00023000490CPfAC400QsbA41005@R0G +W00000CWGW4cpt000GH@rRGsqOSpk400whZ0_@lwh0I000000GaG00xPh2q10WIbZwSQ62is +WehDukPR00KJd_iJHNBHsq21m00OcQB3xtWLtD81aJw5tWLa914000018mdbJeEU30801004 +3eaU30040000ZGW00002C01Y0008G008200010GW14uHM9aXl1JsR000k_ytb0010W000004 +02dDRG70680028G09oZJhClI00000ud5WWwbeuT34W000000002WG_N6004002000100Wa2y +OP63W200yii1LuR0001080W82000Ejr0000G2450000e80K8000W200Y000G000e0W200004 +0eJk7000WW100eqALMLY4200040G0W0000800W038002000200W0G08800qRl1FQxH@_I000 +gVav4koAXs@D08W0mqJaqIN2W00000400218034G1002040012W08Ezt05GG12W00GG10KOj +10W0GG08aW02000000202WvzDuYlAINs0mt60@Lx404G11W4W00040G8000G20004008411G +8020G0GG0Gqxl1G02001404fG8fYP00008q100HAKHePmCXU220008000A0000044014GWYl +DG0420128WirJ0010W028000000808MNtW4Es00WoQS@O08W0OWPIA8a10010WG00YKKYJ5b +8tvM0Sd0Cz8O00220004S0m0dfRmzum0006Yz00GLYK1G0400000090W@@J0010862206060 +O0W0_uWa@@J000STs@W91818uC9Ar4ZT@h0mr2mw@H1001020004W0000W000W10300001A0 +W08008W80C0C00204080G080u@VLSB00y@lJ0W40MrB1WW0000000q000000001024240000 +0O200010W4YkA00u@oJFgirCepz404W000X01SH1mk@600I1001000WWar_L14W3mqeT1008 +01000O0G0W0W0nfc0010WGpK1000WM00W6wJRzV308000A04ehVL00mn7bFR04000m008004 +CsZM0Sk04QsLfeQGeP902m08xdGkHh2000CW6002Dx@@@@@@@@@@@@@@@@@@@@@@@tM@ljs@ +Nxz@qY@@iv@Bh_@nk@Fiy@@Q@@kw@Vh@@pXNkRXhOrzD0lq0CMVZLsNXc00WczbBKRL00000 +0e@CEp@V3z@sK@VDs@Jpz@pW@lCv@7Z_@avr2Se0m@@F0040uTVa0001q2W5N1KnM0L00000 +0GSGWzTbKWAN@l1mE5W@@VuM_M6wV98002pyZHj3B5fV20001X1000000060080000088W00 +G100W800000W20GLOL4W@3000010204Xl100YAI@AjSmm89i4000014000001G2@90AW0200 +00WL704000ryhoT@d02808y@4U@tWz@b8PD3oMZXDsC00001G0000200002WcEp0P200x7eI +wRd0004ukV3400020000020800KWbFC8x1F080044N2080000W02G0000WRIp6my@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@tx@tT@@R@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@VYz@bW@@8w@72@@Vu@@@@@@@@@@@@@@@j0yD0u@@Y0000_@F@00_D@ +@790100@@dF000KF100@@d0000a@@@FxX@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FE@@Yt@Vu_@ +3_@@px@ly@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@ -7980,16 +6262,14 @@ w@oa_VCg@3pw@lm_lBj@tYx@iy_@Am@hIy@f8@FAp@V2z@cK@V9s@Joz@ZW@l8v@7Y_@Wi@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ -@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@r200m0000GePMG0c1040W0000W210000O00108 -0000WH00000208102Y00XG0W880G240e020C0X6ZPmWa6O86W4G0W00Ge48n1300e4000003 -0C0010000030e00ZUm000eyggJuZUm000B1vEy3 +@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@hm0000mpmWKZo00 +20G0000WY00000Ca1W10c000004a001H0WG80G4408120K0106WGZnCaGI3C43W10W0010WG +H060G0020000q47Cm00200000C0G1H0O0000GoNLdWd1040W0000WDtXV0m@@3 ; ' // Loading device with a `jstart` instruction. IRSCAN 10, $03cc ; -' // INFO : The bitgen DCI match_cycle setting required that the RUNTEST wait time be -' // INFO : increased to ensure correct operation of generated System Ace files. -D = 1200; +D = 12; WAIT D CYCLES; ' //Loading device with 'bypass' instruction. IRSCAN 10, $03ff @@ -8008,9 +6288,7 @@ POSTDR 0 ; ' // Loading device with a `jstart` instruction. IRSCAN 10, $03cc ; -' // INFO : The bitgen DCI match_cycle setting required that the RUNTEST wait time be -' // INFO : increased to ensure correct operation of generated System Ace files. -D = 1200; +D = 12; WAIT D CYCLES; ' //Checking done pin status. ' //Loading device with 'Bypass' instruction. @@ -8059,4 +6337,4 @@ IF D > 2500 THEN CALL ADJUST_BIG_DELAY; IF D <= 2500 THEN CALL ADJUST_SMALL_DELAY; ENDPROC; -CRC 1C6B; +CRC C912; diff --git a/trb_v2b_fpga.ucf b/trb_v2b_fpga.ucf index 625d27e..f3941d5 100644 --- a/trb_v2b_fpga.ucf +++ b/trb_v2b_fpga.ucf @@ -1,8 +1,8 @@ # NET +<1> LOC = AE28; # NET +<2> LOC ="L2| IOSTANDARD = "LVTTL"; # NET +<3> LOC ="AJ18| IOSTANDARD = "LVTTL"; - NET ADDON_TO_TRB_CLKINN LOC ="G16"; - NET ADDON_TO_TRB_CLKINP LOC ="G17"; + NET ADDON_TO_TRB_CLKINN LOC ="G16"| IOSTANDARD="LVDS_25"; + NET ADDON_TO_TRB_CLKINP LOC ="G17"| IOSTANDARD="LVDS_25"; NET "ADDON_TO_TRB_CLKINP" TNM_NET = ADDON_TO_TRB_CLKINP; # TIMESPEC TS_ADDON_TO_TRB_CLKINP =PERIOD "ADDON_TO_TRB_CLKINP" 9 ns; # # ADDON_CONSTRAINTS @@ -71,6 +71,7 @@ NET ADO_LVDS_OUT<7> LOC ="AP26";#| IOSTANDARD="LVDS_25"; NET ADO_LVDS_OUT<8> LOC ="AJ27";#| IOSTANDARD="LVDS_25"; NET ADO_LVDS_OUT<9> LOC ="AH27";#| IOSTANDARD="LVDS_25"; + # NET ADO_TTL LOC ="AL11"| IOSTANDARD = "LVTTL"; NET ADO_TTL<0> LOC ="AL11"| IOSTANDARD = "LVTTL"; NET ADO_TTL<1> LOC ="AL10"| IOSTANDARD = "LVTTL"; @@ -125,8 +126,8 @@ NET A_RESERVED LOC ="J11"| IOSTANDARD = "LVTTL"; NET A_SCK LOC ="H3"; NET A_SCKB LOC ="H2"; - NET A_SDI LOC ="F1"; - NET A_SDIB LOC ="G1"; + NET A_SDI LOC ="F1"| IOSTANDARD="LVDS_25"; + NET A_SDIB LOC ="G1"| IOSTANDARD="LVDS_25"; NET A_SDO LOC ="J4"; NET A_SDOB LOC ="K4"; NET A_TDC_BU_RESET LOC ="AK27"; @@ -148,8 +149,8 @@ NET B_RESERVED LOC ="C7"| IOSTANDARD = "LVTTL"; NET B_SCK LOC ="C4"; NET B_SCKB LOC ="C3"; - NET B_SDI LOC ="R11"; - NET B_SDIB LOC ="T11"; + NET B_SDI LOC ="R11"| IOSTANDARD="LVDS_25"; + NET B_SDIB LOC ="T11"| IOSTANDARD="LVDS_25"; NET B_SDO LOC ="G3"; NET B_SDOB LOC ="G2"; NET B_TDC_BU_RESET LOC ="AG25"; @@ -171,8 +172,8 @@ NET C_RESERVED LOC ="F8"| IOSTANDARD = "LVTTL"; NET C_SCK LOC ="P7"; NET C_SCKB LOC ="P6"; - NET C_SDI LOC ="D1"; - NET C_SDIB LOC ="E1"; + NET C_SDI LOC ="D1"| IOSTANDARD="LVDS_25"; + NET C_SDIB LOC ="E1"| IOSTANDARD="LVDS_25"; NET C_SDO LOC ="F4"; NET C_SDOB LOC ="F3"; NET C_TDC_BU_RESET LOC ="AP31"; @@ -192,148 +193,148 @@ NET DBAD LOC ="M28"| IOSTANDARD = "LVTTL"; NET DGOOD LOC ="H34"| IOSTANDARD = "LVTTL"; NET DINT LOC ="L31"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<0> LOC ="AA23"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<1> LOC ="AA24"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<2> LOC ="AJ34"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<3> LOC ="AH34"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<4> LOC ="AD27"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<5> LOC ="AC27"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<6> LOC ="AB25"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<7> LOC ="AB26"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<8> LOC ="AG30"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<9> LOC ="AG31"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<10> LOC ="AH32"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<11> LOC ="AH33"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<12> LOC ="AF29"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<13> LOC ="AF30"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<14> LOC ="AA28"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<15> LOC ="AA29"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<16> LOC ="W24"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<17> LOC ="Y24"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<18> LOC ="AB30"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<19> LOC ="AA30"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<20> LOC ="W25"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<21> LOC ="Y26"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<22> LOC ="AE33"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<23> LOC ="AE34"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<24> LOC ="AC32"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<25> LOC ="AC33"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<26> LOC ="AC29"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<27> LOC ="AC30"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<28> LOC ="AD34"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<29> LOC ="AC34"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<30> LOC ="AH18"| IOSTANDARD = "LVTTL"; -# NET DSPADDR<31> LOC ="AK18"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<0> LOC ="AA25"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<1> LOC ="AA26"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<2> LOC ="AE32"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<3> LOC ="AD32"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<4> LOC ="AC28"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<5> LOC ="AB28"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<6> LOC ="AD30"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<7> LOC ="AD31"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<8> LOC ="AG32"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<9> LOC ="AG33"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<10> LOC ="AF33"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<11> LOC ="AF34"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<12> LOC ="AE29"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<13> LOC ="AD29"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<14> LOC ="AF31"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<15> LOC ="AE31"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<16> LOC ="AK31"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<17> LOC ="AK32"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<18> LOC ="AK33"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<19> LOC ="AK34"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<20> LOC ="AM32"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<21> LOC ="AM33"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<22> LOC ="AJ31"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<23> LOC ="AJ32"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<24> LOC ="AB22"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<25> LOC ="AB23"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<26> LOC ="AL33"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<27> LOC ="AL34"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<28> LOC ="AM31"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<29> LOC ="AL31"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<30> LOC ="AJ30"| IOSTANDARD = "LVTTL"; -# NET DSPDAT<31> LOC ="AH30"| IOSTANDARD = "LVTTL"; -# NET DSP_ACK LOC ="AB15"| IOSTANDARD = "LVTTL"; -# NET DSP_BM LOC ="AH19"| IOSTANDARD = "LVTTL"; -# NET DSP_BMS LOC ="AF15"| IOSTANDARD = "LVTTL"; -# NET DSP_BOFF LOC ="AK14"| IOSTANDARD = "LVTTL"; -# NET DSP_BRST LOC ="AN20"| IOSTANDARD = "LVTTL"; - # NET DSP_BUSLOCK LOC ="AC19"| IOSTANDARD = "LVTTL"; - # NET DSP_DMAR<0> LOC ="AD17"| IOSTANDARD = "LVTTL"; - # NET DSP_DMAR<1> LOC ="AC17"| IOSTANDARD = "LVTTL"; - # NET DSP_DMAR<2> LOC ="AM20"| IOSTANDARD = "LVTTL"; - # NET DSP_DMAR<3> LOC ="AL19"| IOSTANDARD = "LVTTL"; - # NET DSP_FLAG<0> LOC ="AE21"| IOSTANDARD = "LVTTL"; - # NET DSP_FLAG<1> LOC ="AF21"| IOSTANDARD = "LVTTL"; - # NET DSP_FLAG<2> LOC ="AP15"| IOSTANDARD = "LVTTL"; - # NET DSP_FLAG<3> LOC ="AN15"| IOSTANDARD = "LVTTL"; -# NET DSP_HBG LOC ="AD16"| IOSTANDARD = "LVTTL"; -# NET DSP_HBR LOC ="AB18"| IOSTANDARD = "LVTTL"; - # NET DSP_IOEN LOC ="AL18"| IOSTANDARD = "LVTTL"; - # NET DSP_IORD LOC ="AB16"| IOSTANDARD = "LVTTL"; - # NET DSP_IOWR LOC ="AB17"| IOSTANDARD = "LVTTL"; -# NET DSP_IRQ<0> LOC ="AG21"| IOSTANDARD = "LVTTL"; -# NET DSP_IRQ<1> LOC ="AF20"| IOSTANDARD = "LVTTL"; -# NET DSP_IRQ<2> LOC ="AF14"| IOSTANDARD = "LVTTL"; -# NET DSP_IRQ<3> LOC ="AG13"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATIP<0> LOC ="AK29"| IOSTANDARD = "LVTTL"; - # NET DSP_L0ACKI LOC ="AM18"| IOSTANDARD = "LVTTL"; - # NET DSP_L1ACKO LOC ="AM15"| IOSTANDARD = "LVTTL"; - # NET DSP_L0BCMPI LOC ="AM16"| IOSTANDARD = "LVTTL"; - # NET DSP_L0BCMPO LOC ="AD21"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATIN<0> LOC ="AJ29"| IOSTANDARD = "LVTTL"; - # NET DSP_L1BCMPO LOC ="AJ20"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATOP<0> LOC ="AK21"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATON<0> LOC ="AM23"| IOSTANDARD = "LVTTL"; - # NET DSP_L0ACKO LOC ="AM17"| IOSTANDARD = "LVTTL"; - # NET DSP_L1CLKINN LOC ="AL30"| IOSTANDARD = "LVTTL"; - # NET DSP_L1CLKOUTP LOC ="K18"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATIN<0> LOC ="AM25"| IOSTANDARD = "LVTTL"; - # NET DSP_L0CLKINP LOC ="AP29"| IOSTANDARD = "LVTTL"; - # NET DSP_L1CLKOUTN LOC ="K17"| IOSTANDARD = "LVTTL"; - # NET DSP_L1BCMPI LOC ="AL15"| IOSTANDARD = "LVTTL"; - # NET DSP_L0CLKOUTN LOC ="AL25"| IOSTANDARD = "LVTTL"; - # NET DSP_L1CLKINP LOC ="AM30"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATOP<0> LOC ="AL23"| IOSTANDARD = "LVTTL"; - # NET DSP_L1ACKI LOC ="AD20"| IOSTANDARD = "LVTTL"; - # NET DSP_L0CLKINN LOC ="AN29"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATIP<0> LOC ="AN25"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATON<0> LOC ="AL21"| IOSTANDARD = "LVTTL"; - # NET DSP_L0CLKOUTP LOC ="AL24"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATIN<1> LOC ="AN24"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATIP<1> LOC ="AF28"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATIN<1> LOC ="AE27"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATON<1> LOC ="AN23"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATOP<1> LOC ="AN22"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATOP<1> LOC ="AH28"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATIP<1> LOC ="AP24"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATON<1> LOC ="AH29"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATON<2> LOC ="AH25"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATOP<2> LOC ="AJ25"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATIP<2> LOC ="AF26"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATOP<2> LOC ="AP30"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATIP<2> LOC ="AM26"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATIN<2> LOC ="AE26"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATON<2> LOC ="AN30"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATIN<2> LOC ="AM27"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATIP<3> LOC ="AN32"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATIP<3> LOC ="AP21"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATOP<3> LOC ="AL26"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATIN<3> LOC ="AP22"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATON<3> LOC ="AG28"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATOP<3> LOC ="AG27"| IOSTANDARD = "LVTTL"; - # NET DSP_L1DATIN<3> LOC ="AN33"| IOSTANDARD = "LVTTL"; - # NET DSP_L0DATON<3> LOC ="AK26"| IOSTANDARD = "LVTTL"; - # NET DSP_MSH LOC ="AL14"| IOSTANDARD = "LVTTL"; -# NET DSP_RD LOC ="AJ22"| IOSTANDARD = "LVTTL"; -# NET DSP_RESET LOC ="AG22"| IOSTANDARD = "LVTTL"; -# NET DSP_RESET_OUT LOC ="AH22"| IOSTANDARD = "LVTTL"; - # NET DSP_TMROE LOC ="AP20"| IOSTANDARD = "LVTTL"; -# NET DSP_WRH LOC ="AJ21"| IOSTANDARD = "LVTTL"; -# NET DSP_WRL LOC ="AC15"| IOSTANDARD = "LVTTL"; + NET DSPADDR<0> LOC ="AA23"| IOSTANDARD = "LVTTL"; + NET DSPADDR<1> LOC ="AA24"| IOSTANDARD = "LVTTL"; + NET DSPADDR<2> LOC ="AJ34"| IOSTANDARD = "LVTTL"; + NET DSPADDR<3> LOC ="AH34"| IOSTANDARD = "LVTTL"; + NET DSPADDR<4> LOC ="AD27"| IOSTANDARD = "LVTTL"; + NET DSPADDR<5> LOC ="AC27"| IOSTANDARD = "LVTTL"; + NET DSPADDR<6> LOC ="AB25"| IOSTANDARD = "LVTTL"; + NET DSPADDR<7> LOC ="AB26"| IOSTANDARD = "LVTTL"; + NET DSPADDR<8> LOC ="AG30"| IOSTANDARD = "LVTTL"; + NET DSPADDR<9> LOC ="AG31"| IOSTANDARD = "LVTTL"; + NET DSPADDR<10> LOC ="AH32"| IOSTANDARD = "LVTTL"; + NET DSPADDR<11> LOC ="AH33"| IOSTANDARD = "LVTTL"; + NET DSPADDR<12> LOC ="AF29"| IOSTANDARD = "LVTTL"; + NET DSPADDR<13> LOC ="AF30"| IOSTANDARD = "LVTTL"; + NET DSPADDR<14> LOC ="AA28"| IOSTANDARD = "LVTTL"; + NET DSPADDR<15> LOC ="AA29"| IOSTANDARD = "LVTTL"; + NET DSPADDR<16> LOC ="W24"| IOSTANDARD = "LVTTL"; + NET DSPADDR<17> LOC ="Y24"| IOSTANDARD = "LVTTL"; + NET DSPADDR<18> LOC ="AB30"| IOSTANDARD = "LVTTL"; + NET DSPADDR<19> LOC ="AA30"| IOSTANDARD = "LVTTL"; + NET DSPADDR<20> LOC ="W25"| IOSTANDARD = "LVTTL"; + NET DSPADDR<21> LOC ="Y26"| IOSTANDARD = "LVTTL"; + NET DSPADDR<22> LOC ="AE33"| IOSTANDARD = "LVTTL"; + NET DSPADDR<23> LOC ="AE34"| IOSTANDARD = "LVTTL"; + NET DSPADDR<24> LOC ="AC32"| IOSTANDARD = "LVTTL"; + NET DSPADDR<25> LOC ="AC33"| IOSTANDARD = "LVTTL"; + NET DSPADDR<26> LOC ="AC29"| IOSTANDARD = "LVTTL"; + NET DSPADDR<27> LOC ="AC30"| IOSTANDARD = "LVTTL"; + NET DSPADDR<28> LOC ="AD34"| IOSTANDARD = "LVTTL"; + NET DSPADDR<29> LOC ="AC34"| IOSTANDARD = "LVTTL"; + NET DSPADDR<30> LOC ="AH18"| IOSTANDARD = "LVTTL"; + NET DSPADDR<31> LOC ="AK18"| IOSTANDARD = "LVTTL"; + NET DSPDAT<0> LOC ="AA25"| IOSTANDARD = "LVTTL"; + NET DSPDAT<1> LOC ="AA26"| IOSTANDARD = "LVTTL"; + NET DSPDAT<2> LOC ="AE32"| IOSTANDARD = "LVTTL"; + NET DSPDAT<3> LOC ="AD32"| IOSTANDARD = "LVTTL"; + NET DSPDAT<4> LOC ="AC28"| IOSTANDARD = "LVTTL"; + NET DSPDAT<5> LOC ="AB28"| IOSTANDARD = "LVTTL"; + NET DSPDAT<6> LOC ="AD30"| IOSTANDARD = "LVTTL"; + NET DSPDAT<7> LOC ="AD31"| IOSTANDARD = "LVTTL"; + NET DSPDAT<8> LOC ="AG32"| IOSTANDARD = "LVTTL"; + NET DSPDAT<9> LOC ="AG33"| IOSTANDARD = "LVTTL"; + NET DSPDAT<10> LOC ="AF33"| IOSTANDARD = "LVTTL"; + NET DSPDAT<11> LOC ="AF34"| IOSTANDARD = "LVTTL"; + NET DSPDAT<12> LOC ="AE29"| IOSTANDARD = "LVTTL"; + NET DSPDAT<13> LOC ="AD29"| IOSTANDARD = "LVTTL"; + NET DSPDAT<14> LOC ="AF31"| IOSTANDARD = "LVTTL"; + NET DSPDAT<15> LOC ="AE31"| IOSTANDARD = "LVTTL"; + NET DSPDAT<16> LOC ="AK31"| IOSTANDARD = "LVTTL"; + NET DSPDAT<17> LOC ="AK32"| IOSTANDARD = "LVTTL"; + NET DSPDAT<18> LOC ="AK33"| IOSTANDARD = "LVTTL"; + NET DSPDAT<19> LOC ="AK34"| IOSTANDARD = "LVTTL"; + NET DSPDAT<20> LOC ="AM32"| IOSTANDARD = "LVTTL"; + NET DSPDAT<21> LOC ="AM33"| IOSTANDARD = "LVTTL"; + NET DSPDAT<22> LOC ="AJ31"| IOSTANDARD = "LVTTL"; + NET DSPDAT<23> LOC ="AJ32"| IOSTANDARD = "LVTTL"; + NET DSPDAT<24> LOC ="AB22"| IOSTANDARD = "LVTTL"; + NET DSPDAT<25> LOC ="AB23"| IOSTANDARD = "LVTTL"; + NET DSPDAT<26> LOC ="AL33"| IOSTANDARD = "LVTTL"; + NET DSPDAT<27> LOC ="AL34"| IOSTANDARD = "LVTTL"; + NET DSPDAT<28> LOC ="AM31"| IOSTANDARD = "LVTTL"; + NET DSPDAT<29> LOC ="AL31"| IOSTANDARD = "LVTTL"; + NET DSPDAT<30> LOC ="AJ30"| IOSTANDARD = "LVTTL"; + NET DSPDAT<31> LOC ="AH30"| IOSTANDARD = "LVTTL"; + NET DSP_ACK LOC ="AB15"| IOSTANDARD = "LVTTL"; + NET DSP_BM LOC ="AH19"| IOSTANDARD = "LVTTL"; + NET DSP_BMS LOC ="AF15"| IOSTANDARD = "LVTTL"; + NET DSP_BOFF LOC ="AK14"| IOSTANDARD = "LVTTL"; + NET DSP_BRST LOC ="AN20"| IOSTANDARD = "LVTTL"; + # NET DSP_BUSLOCK LOC ="AC19"| IOSTANDARD = "LVTTL"; + # NET DSP_DMAR<0> LOC ="AD17"| IOSTANDARD = "LVTTL"; + # NET DSP_DMAR<1> LOC ="AC17"| IOSTANDARD = "LVTTL"; + # NET DSP_DMAR<2> LOC ="AM20"| IOSTANDARD = "LVTTL"; + # NET DSP_DMAR<3> LOC ="AL19"| IOSTANDARD = "LVTTL"; + # NET DSP_FLAG<0> LOC ="AE21"| IOSTANDARD = "LVTTL"; + # NET DSP_FLAG<1> LOC ="AF21"| IOSTANDARD = "LVTTL"; + # NET DSP_FLAG<2> LOC ="AP15"| IOSTANDARD = "LVTTL"; + # NET DSP_FLAG<3> LOC ="AN15"| IOSTANDARD = "LVTTL"; + NET DSP_HBG LOC ="AD16"| IOSTANDARD = "LVTTL"; + NET DSP_HBR LOC ="AB18"| IOSTANDARD = "LVTTL"; + # NET DSP_IOEN LOC ="AL18"| IOSTANDARD = "LVTTL"; + # NET DSP_IORD LOC ="AB16"| IOSTANDARD = "LVTTL"; + # NET DSP_IOWR LOC ="AB17"| IOSTANDARD = "LVTTL"; + NET DSP_IRQ<0> LOC ="AG21"| IOSTANDARD = "LVTTL"; + NET DSP_IRQ<1> LOC ="AF20"| IOSTANDARD = "LVTTL"; + NET DSP_IRQ<2> LOC ="AF14"| IOSTANDARD = "LVTTL"; + NET DSP_IRQ<3> LOC ="AG13"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATIP<0> LOC ="AK29"| IOSTANDARD = "LVTTL"; + # NET DSP_L0ACKI LOC ="AM18"| IOSTANDARD = "LVTTL"; + # NET DSP_L1ACKO LOC ="AM15"| IOSTANDARD = "LVTTL"; + # NET DSP_L0BCMPI LOC ="AM16"| IOSTANDARD = "LVTTL"; + # NET DSP_L0BCMPO LOC ="AD21"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATIN<0> LOC ="AJ29"| IOSTANDARD = "LVTTL"; + # NET DSP_L1BCMPO LOC ="AJ20"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATOP<0> LOC ="AK21"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATON<0> LOC ="AM23"| IOSTANDARD = "LVTTL"; + # NET DSP_L0ACKO LOC ="AM17"| IOSTANDARD = "LVTTL"; + # NET DSP_L1CLKINN LOC ="AL30"| IOSTANDARD = "LVTTL"; + # NET DSP_L1CLKOUTP LOC ="K18"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATIN<0> LOC ="AM25"| IOSTANDARD = "LVTTL"; + # NET DSP_L0CLKINP LOC ="AP29"| IOSTANDARD = "LVTTL"; + # NET DSP_L1CLKOUTN LOC ="K17"| IOSTANDARD = "LVTTL"; + # NET DSP_L1BCMPI LOC ="AL15"| IOSTANDARD = "LVTTL"; + # NET DSP_L0CLKOUTN LOC ="AL25"| IOSTANDARD = "LVTTL"; + # NET DSP_L1CLKINP LOC ="AM30"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATOP<0> LOC ="AL23"| IOSTANDARD = "LVTTL"; + # NET DSP_L1ACKI LOC ="AD20"| IOSTANDARD = "LVTTL"; + # NET DSP_L0CLKINN LOC ="AN29"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATIP<0> LOC ="AN25"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATON<0> LOC ="AL21"| IOSTANDARD = "LVTTL"; + # NET DSP_L0CLKOUTP LOC ="AL24"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATIN<1> LOC ="AN24"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATIP<1> LOC ="AF28"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATIN<1> LOC ="AE27"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATON<1> LOC ="AN23"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATOP<1> LOC ="AN22"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATOP<1> LOC ="AH28"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATIP<1> LOC ="AP24"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATON<1> LOC ="AH29"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATON<2> LOC ="AH25"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATOP<2> LOC ="AJ25"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATIP<2> LOC ="AF26"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATOP<2> LOC ="AP30"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATIP<2> LOC ="AM26"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATIN<2> LOC ="AE26"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATON<2> LOC ="AN30"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATIN<2> LOC ="AM27"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATIP<3> LOC ="AN32"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATIP<3> LOC ="AP21"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATOP<3> LOC ="AL26"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATIN<3> LOC ="AP22"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATON<3> LOC ="AG28"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATOP<3> LOC ="AG27"| IOSTANDARD = "LVTTL"; + # NET DSP_L1DATIN<3> LOC ="AN33"| IOSTANDARD = "LVTTL"; + # NET DSP_L0DATON<3> LOC ="AK26"| IOSTANDARD = "LVTTL"; + # NET DSP_MSH LOC ="AL14"| IOSTANDARD = "LVTTL"; + NET DSP_RD LOC ="AJ22"| IOSTANDARD = "LVTTL"; + NET DSP_RESET LOC ="AG22"| IOSTANDARD = "LVTTL"; + NET DSP_RESET_OUT LOC ="AH22"| IOSTANDARD = "LVTTL"; + # NET DSP_TMROE LOC ="AP20"| IOSTANDARD = "LVTTL"; + NET DSP_WRH LOC ="AJ21"| IOSTANDARD = "LVTTL"; + NET DSP_WRL LOC ="AC15"| IOSTANDARD = "LVTTL"; NET DWAIT LOC ="H33"| IOSTANDARD = "LVTTL"; NET D_CSB LOC ="M2"; NET D_CS LOC ="M3"; @@ -341,8 +342,8 @@ NET D_RESERVED LOC ="G8"| IOSTANDARD = "LVTTL"; NET D_SCK LOC ="M6"; NET D_SCKB LOC ="M5"; - NET D_SDI LOC ="K3"; - NET D_SDIB LOC ="L3"; + NET D_SDI LOC ="K3"| IOSTANDARD="LVDS_25"; + NET D_SDIB LOC ="L3"| IOSTANDARD="LVDS_25"; NET D_SDO LOC ="K2"; NET D_SDOB LOC ="K1"; NET D_TDC_BU_RESET LOC ="K6"; @@ -476,8 +477,8 @@ # NET N<46481444> LOC ="AE19"| IOSTANDARD = "LVTTL"; # NET N<46736961> LOC ="AC25"| IOSTANDARD = "LVTTL"; # NET N<46741878> LOC ="AD26"| IOSTANDARD = "LVTTL"; - NET REF_TDC_CLK LOC ="F18"; - NET REF_TDC_CLKB LOC ="G18"; + NET REF_TDC_CLK LOC ="F18"| IOSTANDARD="LVDS_25"; + NET REF_TDC_CLKB LOC ="G18"| IOSTANDARD="LVDS_25"; NET RESET_VIRT LOC ="AF16"| IOSTANDARD = "LVTTL"; NET SFP_LOS LOC ="M27"| IOSTANDARD = "LVTTL"; # NET SFP_MOD<0> LOC ="R23"| IOSTANDARD = "LVTTL"; @@ -527,7 +528,7 @@ NET TDC_RESET LOC ="C5"| IOSTANDARD = "LVTTL"; NET TLK_CLK LOC ="AG16"| IOSTANDARD = "LVTTL"; NET "TLK_CLK" TNM_NET =TLK_CLK; - TIMESPEC TS_TLK_CLK =PERIOD "TLK_CLK" 9.5 ns; + TIMESPEC TS_TLK_CLK =PERIOD "TLK_CLK" 9 ns; NET TLK_ENABLE LOC ="R24"| IOSTANDARD = "LVTTL"; NET TLK_LCKREFN LOC ="L28"| IOSTANDARD = "LVTTL"; NET TLK_LOOPEN LOC ="R19"| IOSTANDARD = "LVTTL"; @@ -550,7 +551,7 @@ NET TLK_RXD<15> LOC ="G33"| IOSTANDARD = "LVTTL"; NET TLK_RX_CLK LOC ="AF18"| IOSTANDARD = "LVTTL"; NET "TLK_RX_CLK" TNM_NET =TLK_RX_CLK; - TIMESPEC TS_TLK_RX_CLK =PERIOD "TLK_RX_CLK" 9.5 ns; + TIMESPEC TS_TLK_RX_CLK =PERIOD "TLK_RX_CLK" 9 ns; NET TLK_RX_DV LOC ="M30"| IOSTANDARD = "LVTTL"; NET TLK_RX_ER LOC ="P20"| IOSTANDARD = "LVTTL"; NET TLK_TXD<0> LOC ="H27"| IOSTANDARD = "LVTTL"; @@ -582,64 +583,160 @@ NET VIRT_TRST LOC ="N29"| IOSTANDARD = "LVTTL"; NET VIR_TRIG LOC ="E3"| IOSTANDARD="LVDS_25"; NET VIR_TRIGB LOC ="E2"| IOSTANDARD="LVDS_25"; -# NET VSD_A<0> LOC ="F23"| IOSTANDARD = "LVTTL"; -# NET VSD_A<1> LOC ="E23"| IOSTANDARD = "LVTTL"; -# NET VSD_A<2> LOC ="D26"| IOSTANDARD = "LVTTL"; -# NET VSD_A<3> LOC ="E26"| IOSTANDARD = "LVTTL"; -# NET VSD_A<4> LOC ="F24"| IOSTANDARD = "LVTTL"; -# NET VSD_A<5> LOC ="E24"| IOSTANDARD = "LVTTL"; -# NET VSD_A<6> LOC ="D27"| IOSTANDARD = "LVTTL"; -# NET VSD_A<7> LOC ="E27"| IOSTANDARD = "LVTTL"; -# NET VSD_A<8> LOC ="G23"| IOSTANDARD = "LVTTL"; -# NET VSD_A<9> LOC ="H24"| IOSTANDARD = "LVTTL"; -# NET VSD_A<10> LOC ="A28"| IOSTANDARD = "LVTTL"; -# NET VSD_A<11> LOC ="A29"| IOSTANDARD = "LVTTL"; -# NET VSD_A<12> LOC ="B25"| IOSTANDARD = "LVTTL"; -# NET VSD_BA<0> LOC ="C25"| IOSTANDARD = "LVTTL"; -# NET VSD_BA<1> LOC ="J25"| IOSTANDARD = "LVTTL"; -# NET VSD_CAS LOC ="G28"| IOSTANDARD = "LVTTL"; -# NET VSD_CKE LOC ="D30"| IOSTANDARD = "LVTTL"; -# NET VSD_CLOCK LOC ="B28"| IOSTANDARD = "LVTTL"; -# NET VSD_CSEH LOC ="G27"| IOSTANDARD = "LVTTL"; -# NET VSD_CSEL LOC ="D31"| IOSTANDARD = "LVTTL"; -# NET VSD_D<0> LOC ="B23"| IOSTANDARD = "LVTTL"; -# NET VSD_D<1> LOC ="A23"| IOSTANDARD = "LVTTL"; -# NET VSD_D<2> LOC ="A26"| IOSTANDARD = "LVTTL"; -# NET VSD_D<3> LOC ="B26"| IOSTANDARD = "LVTTL"; -# NET VSD_D<4> LOC ="A24"| IOSTANDARD = "LVTTL"; -# NET VSD_D<5> LOC ="A25"| IOSTANDARD = "LVTTL"; -# NET VSD_D<6> LOC ="G25"| IOSTANDARD = "LVTTL"; -# NET VSD_D<7> LOC ="H25"| IOSTANDARD = "LVTTL"; -# NET VSD_D<8> LOC ="C23"| IOSTANDARD = "LVTTL"; -# NET VSD_D<9> LOC ="C24"| IOSTANDARD = "LVTTL"; -# NET VSD_D<10> LOC ="F25"| IOSTANDARD = "LVTTL"; -# NET VSD_D<11> LOC ="F26"| IOSTANDARD = "LVTTL"; -# NET VSD_D<12> LOC ="D24"| IOSTANDARD = "LVTTL"; -# NET VSD_D<13> LOC ="D25"| IOSTANDARD = "LVTTL"; -# NET VSD_D<14> LOC ="B27"| IOSTANDARD = "LVTTL"; -# NET VSD_D<15> LOC ="C27"| IOSTANDARD = "LVTTL"; -# NET VSD_D<16> LOC ="C22"| IOSTANDARD = "LVTTL"; -# NET VSD_D<17> LOC ="B22"| IOSTANDARD = "LVTTL"; -# NET VSD_D<18> LOC ="A30"| IOSTANDARD = "LVTTL"; -# NET VSD_D<19> LOC ="B30"| IOSTANDARD = "LVTTL"; -# NET VSD_D<20> LOC ="K24"| IOSTANDARD = "LVTTL"; -# NET VSD_D<21> LOC ="J24"| IOSTANDARD = "LVTTL"; -# NET VSD_D<22> LOC ="C29"| IOSTANDARD = "LVTTL"; -# NET VSD_D<23> LOC ="C30"| IOSTANDARD = "LVTTL"; -# NET VSD_D<24> LOC ="B21"| IOSTANDARD = "LVTTL"; -# NET VSD_D<25> LOC ="A21"| IOSTANDARD = "LVTTL"; -# NET VSD_D<26> LOC ="E28"| IOSTANDARD = "LVTTL"; -# NET VSD_D<27> LOC ="F28"| IOSTANDARD = "LVTTL"; -# NET VSD_D<28> LOC ="A31"| IOSTANDARD = "LVTTL"; -# NET VSD_D<29> LOC ="B31"| IOSTANDARD = "LVTTL"; -# NET VSD_D<30> LOC ="E31"| IOSTANDARD = "LVTTL"; -# NET VSD_D<31> LOC ="F31"| IOSTANDARD = "LVTTL"; -# NET VSD_DQML<0> LOC ="F30"| IOSTANDARD = "LVTTL"; -# NET VSD_DQML<1> LOC ="D29"| IOSTANDARD = "LVTTL"; -# NET VSD_DQML<2> LOC ="E29"| IOSTANDARD = "LVTTL"; -# NET VSD_DQML<3> LOC ="L25"| IOSTANDARD = "LVTTL"; -# NET VSD_RAS LOC ="F29"| IOSTANDARD = "LVTTL"; -# NET VSD_WE LOC ="K26"| IOSTANDARD = "LVTTL"; + + NET VSD_A<0> LOC ="F23"| IOSTANDARD = "LVTTL"; + NET VSD_A<1> LOC ="E23"| IOSTANDARD = "LVTTL"; + NET VSD_A<2> LOC ="D26"| IOSTANDARD = "LVTTL"; + NET VSD_A<3> LOC ="E26"| IOSTANDARD = "LVTTL"; + NET VSD_A<4> LOC ="F24"| IOSTANDARD = "LVTTL"; + NET VSD_A<5> LOC ="E24"| IOSTANDARD = "LVTTL"; + NET VSD_A<6> LOC ="D27"| IOSTANDARD = "LVTTL"; + NET VSD_A<7> LOC ="E27"| IOSTANDARD = "LVTTL"; + NET VSD_A<8> LOC ="G23"| IOSTANDARD = "LVTTL"; + NET VSD_A<9> LOC ="H24"| IOSTANDARD = "LVTTL"; + NET VSD_A<10> LOC ="A28"| IOSTANDARD = "LVTTL"; + NET VSD_A<11> LOC ="A29"| IOSTANDARD = "LVTTL"; + NET VSD_A<12> LOC ="B25"| IOSTANDARD = "LVTTL"; + NET VSD_BA<0> LOC ="C25"| IOSTANDARD = "LVTTL"; + NET VSD_BA<1> LOC ="J25"| IOSTANDARD = "LVTTL"; + NET VSD_CAS LOC ="G28"| IOSTANDARD = "LVTTL"; + NET VSD_CKE LOC ="D30"| IOSTANDARD = "LVTTL"; + NET VSD_CLOCK LOC ="B28"| IOSTANDARD = "LVTTL"; + NET VSD_CSEH LOC ="G27"| IOSTANDARD = "LVTTL"; + NET VSD_CSEL LOC ="D31"| IOSTANDARD = "LVTTL"; + NET VSD_D<0> LOC ="B23"| IOSTANDARD = "LVTTL"; + NET VSD_D<1> LOC ="A23"| IOSTANDARD = "LVTTL"; + NET VSD_D<2> LOC ="A26"| IOSTANDARD = "LVTTL"; + NET VSD_D<3> LOC ="B26"| IOSTANDARD = "LVTTL"; + NET VSD_D<4> LOC ="A24"| IOSTANDARD = "LVTTL"; + NET VSD_D<5> LOC ="A25"| IOSTANDARD = "LVTTL"; + NET VSD_D<6> LOC ="G25"| IOSTANDARD = "LVTTL"; + NET VSD_D<7> LOC ="H25"| IOSTANDARD = "LVTTL"; + NET VSD_D<8> LOC ="C23"| IOSTANDARD = "LVTTL"; + NET VSD_D<9> LOC ="C24"| IOSTANDARD = "LVTTL"; + NET VSD_D<10> LOC ="F25"| IOSTANDARD = "LVTTL"; + NET VSD_D<11> LOC ="F26"| IOSTANDARD = "LVTTL"; + NET VSD_D<12> LOC ="D24"| IOSTANDARD = "LVTTL"; + NET VSD_D<13> LOC ="D25"| IOSTANDARD = "LVTTL"; + NET VSD_D<14> LOC ="B27"| IOSTANDARD = "LVTTL"; + NET VSD_D<15> LOC ="C27"| IOSTANDARD = "LVTTL"; + NET VSD_D<16> LOC ="C22"| IOSTANDARD = "LVTTL"; + NET VSD_D<17> LOC ="B22"| IOSTANDARD = "LVTTL"; + NET VSD_D<18> LOC ="A30"| IOSTANDARD = "LVTTL"; + NET VSD_D<19> LOC ="B30"| IOSTANDARD = "LVTTL"; + NET VSD_D<20> LOC ="K24"| IOSTANDARD = "LVTTL"; + NET VSD_D<21> LOC ="J24"| IOSTANDARD = "LVTTL"; + NET VSD_D<22> LOC ="C29"| IOSTANDARD = "LVTTL"; + NET VSD_D<23> LOC ="C30"| IOSTANDARD = "LVTTL"; + NET VSD_D<24> LOC ="B21"| IOSTANDARD = "LVTTL"; + NET VSD_D<25> LOC ="A21"| IOSTANDARD = "LVTTL"; + NET VSD_D<26> LOC ="E28"| IOSTANDARD = "LVTTL"; + NET VSD_D<27> LOC ="F28"| IOSTANDARD = "LVTTL"; + NET VSD_D<28> LOC ="A31"| IOSTANDARD = "LVTTL"; + NET VSD_D<29> LOC ="B31"| IOSTANDARD = "LVTTL"; + NET VSD_D<30> LOC ="E31"| IOSTANDARD = "LVTTL"; + NET VSD_D<31> LOC ="F31"| IOSTANDARD = "LVTTL"; + NET VSD_DQML<0> LOC ="F30"| IOSTANDARD = "LVTTL"; + NET VSD_DQML<1> LOC ="D29"| IOSTANDARD = "LVTTL"; + NET VSD_DQML<2> LOC ="E29"| IOSTANDARD = "LVTTL"; + NET VSD_DQML<3> LOC ="L25"| IOSTANDARD = "LVTTL"; + NET VSD_RAS LOC ="F29"| IOSTANDARD = "LVTTL"; + NET VSD_WE LOC ="K26"| IOSTANDARD = "LVTTL"; + + NET VSD_A<0> TNM =SDRAM_OUT_GROUP; + NET VSD_A<1> TNM =SDRAM_OUT_GROUP; + NET VSD_A<2> TNM =SDRAM_OUT_GROUP; + NET VSD_A<3> TNM =SDRAM_OUT_GROUP; + NET VSD_A<4> TNM =SDRAM_OUT_GROUP; + NET VSD_A<5> TNM =SDRAM_OUT_GROUP; + NET VSD_A<6> TNM =SDRAM_OUT_GROUP; + NET VSD_A<7> TNM =SDRAM_OUT_GROUP; + NET VSD_A<8> TNM =SDRAM_OUT_GROUP; + NET VSD_A<9> TNM =SDRAM_OUT_GROUP; + NET VSD_A<10> TNM =SDRAM_OUT_GROUP; + NET VSD_A<11> TNM =SDRAM_OUT_GROUP; + NET VSD_A<12> TNM =SDRAM_OUT_GROUP; + NET VSD_BA<0> TNM =SDRAM_OUT_GROUP; + NET VSD_BA<1> TNM =SDRAM_OUT_GROUP; + NET VSD_CAS TNM =SDRAM_OUT_GROUP; + NET VSD_CKE TNM =SDRAM_OUT_GROUP; + NET VSD_CLOCK TNM =SDRAM_OUT_GROUP; + NET VSD_CSEH TNM =SDRAM_OUT_GROUP; + NET VSD_CSEL TNM =SDRAM_OUT_GROUP; + NET VSD_D<0> TNM =SDRAM_OUT_GROUP; + NET VSD_D<1> TNM =SDRAM_OUT_GROUP; + NET VSD_D<2> TNM =SDRAM_OUT_GROUP; + NET VSD_D<3> TNM =SDRAM_OUT_GROUP; + NET VSD_D<4> TNM =SDRAM_OUT_GROUP; + NET VSD_D<5> TNM =SDRAM_OUT_GROUP; + NET VSD_D<6> TNM =SDRAM_OUT_GROUP; + NET VSD_D<7> TNM =SDRAM_OUT_GROUP; + NET VSD_D<8> TNM =SDRAM_OUT_GROUP; + NET VSD_D<9> TNM =SDRAM_OUT_GROUP; + NET VSD_D<10> TNM =SDRAM_OUT_GROUP; + NET VSD_D<11> TNM =SDRAM_OUT_GROUP; + NET VSD_D<12> TNM =SDRAM_OUT_GROUP; + NET VSD_D<13> TNM =SDRAM_OUT_GROUP; + NET VSD_D<14> TNM =SDRAM_OUT_GROUP; + NET VSD_D<15> TNM =SDRAM_OUT_GROUP; + NET VSD_D<16> TNM =SDRAM_OUT_GROUP; + NET VSD_D<17> TNM =SDRAM_OUT_GROUP; + NET VSD_D<18> TNM =SDRAM_OUT_GROUP; + NET VSD_D<19> TNM =SDRAM_OUT_GROUP; + NET VSD_D<20> TNM =SDRAM_OUT_GROUP; + NET VSD_D<21> TNM =SDRAM_OUT_GROUP; + NET VSD_D<22> TNM =SDRAM_OUT_GROUP; + NET VSD_D<23> TNM =SDRAM_OUT_GROUP; + NET VSD_D<24> TNM =SDRAM_OUT_GROUP; + NET VSD_D<25> TNM =SDRAM_OUT_GROUP; + NET VSD_D<26> TNM =SDRAM_OUT_GROUP; + NET VSD_D<27> TNM =SDRAM_OUT_GROUP; + NET VSD_D<28> TNM =SDRAM_OUT_GROUP; + NET VSD_D<29> TNM =SDRAM_OUT_GROUP; + NET VSD_D<30> TNM =SDRAM_OUT_GROUP; + NET VSD_D<31> TNM =SDRAM_OUT_GROUP; + NET VSD_DQML<0> TNM =SDRAM_OUT_GROUP; + NET VSD_DQML<1> TNM =SDRAM_OUT_GROUP; + NET VSD_DQML<2> TNM =SDRAM_OUT_GROUP; + NET VSD_DQML<3> TNM =SDRAM_OUT_GROUP; + NET VSD_RAS TNM =SDRAM_OUT_GROUP; + NET VSD_WE TNM =SDRAM_OUT_GROUP; + + NET VSD_D<0> TNM =SDRAM_IN_GROUP; + NET VSD_D<1> TNM =SDRAM_IN_GROUP; + NET VSD_D<2> TNM =SDRAM_IN_GROUP; + NET VSD_D<3> TNM =SDRAM_IN_GROUP; + NET VSD_D<4> TNM =SDRAM_IN_GROUP; + NET VSD_D<5> TNM =SDRAM_IN_GROUP; + NET VSD_D<6> TNM =SDRAM_IN_GROUP; + NET VSD_D<7> TNM =SDRAM_IN_GROUP; + NET VSD_D<8> TNM =SDRAM_IN_GROUP; + NET VSD_D<9> TNM =SDRAM_IN_GROUP; + NET VSD_D<10> TNM =SDRAM_IN_GROUP; + NET VSD_D<11> TNM =SDRAM_IN_GROUP; + NET VSD_D<12> TNM =SDRAM_IN_GROUP; + NET VSD_D<13> TNM =SDRAM_IN_GROUP; + NET VSD_D<14> TNM =SDRAM_IN_GROUP; + NET VSD_D<15> TNM =SDRAM_IN_GROUP; + NET VSD_D<16> TNM =SDRAM_IN_GROUP; + NET VSD_D<17> TNM =SDRAM_IN_GROUP; + NET VSD_D<18> TNM =SDRAM_IN_GROUP; + NET VSD_D<19> TNM =SDRAM_IN_GROUP; + NET VSD_D<20> TNM =SDRAM_IN_GROUP; + NET VSD_D<21> TNM =SDRAM_IN_GROUP; + NET VSD_D<22> TNM =SDRAM_IN_GROUP; + NET VSD_D<23> TNM =SDRAM_IN_GROUP; + NET VSD_D<24> TNM =SDRAM_IN_GROUP; + NET VSD_D<25> TNM =SDRAM_IN_GROUP; + NET VSD_D<26> TNM =SDRAM_IN_GROUP; + NET VSD_D<27> TNM =SDRAM_IN_GROUP; + NET VSD_D<28> TNM =SDRAM_IN_GROUP; + NET VSD_D<29> TNM =SDRAM_IN_GROUP; + NET VSD_D<30> TNM =SDRAM_IN_GROUP; + NET VSD_D<31> TNM =SDRAM_IN_GROUP; + +TIMEGRP "SDRAM_OUT_GROUP" OFFSET = OUT 2 ns BEFORE "VIRT_CLK" HIGH; +TIMEGRP "SDRAM_IN_GROUP" OFFSET = IN 3 ns BEFORE "VIRT_CLK" HIGH; # OFFSET=OUT 2 ns BEFORE "VIRT_CLK" HIGH; # OFFSET=IN 6 ns BEFORE "VIRT_CLK" HIGH; @@ -647,12 +744,12 @@ # OFFSET=OUT 2 ns BEFORE "REF_TDC_CLK" HIGH; NET "VIRT_CLK" TNM_NET =VIRT_CLK; - TIMESPEC TS_VIRT_CLK =PERIOD "VIRT_CLK" 9.5 ns; + TIMESPEC TS_VIRT_CLK =PERIOD "VIRT_CLK" 9 ns; NET "REF_TDC_CLK" TNM_NET = REF_TDC_CLK; TIMESPEC TS_REF_TDC_CLK = PERIOD "REF_TDC_CLK" 22 ns; NET "CLK" TNM_NET=CLK; - TIMESPEC TS_CLK =PERIOD "CLK" 9.5 ns; + TIMESPEC TS_CLK =PERIOD "CLK" 9 ns; NET "A_DATA_READY" TNM = TDC_NET_GROUP ; NET "B_DATA_READY" TNM = TDC_NET_GROUP ; diff --git a/trb_v2b_fpga.vhd b/trb_v2b_fpga.vhd index 6ec9dcc..c1cbc73 100644 --- a/trb_v2b_fpga.vhd +++ b/trb_v2b_fpga.vhd @@ -13,14 +13,19 @@ use work.trb_net_std.all; entity trb_v2b_fpga is generic ( RW_SYSTEM : integer range 1 to 2 := 1; --1 -trb, 2 -addon with portE 10 9 as rw - TRBV2_TYPE : integer range 0 to 5 := 5; - -- 0 - trbv2 + RPC or TOF or FWALL or Start or Veto, + TRBV2_TYPE : integer range 0 to 5 := 0; + -- 0 - trbv2 + RPC or TOF or FWALL or Start or + -- Veto (one board !), -- 1 - MDC, -- 2 - SHOWER, -- 3 - RICH - -- 4 - CTS+VULOM - -- 5 - CTS only, lvl2 is automatic - TRBNET_ENABLE : integer range 0 to 3 := 2; -- 0 - disable, + -- 4 - CTS+VULOM (trbent) + -- 5 - CTS only, lvl2 is automatic (trbent) + -- 6 - CTS plus TDC readout and waits for + -- external busy without trbnet + -- 7 - '6' is the source of the triggers + -- 8 - for tdc EUGEN readout + TRBNET_ENABLE : integer range 0 to 3 := 0; -- 0 - disable, -- 1 - end point -- 2 - cts -- 3 - slow ctrl @@ -36,17 +41,23 @@ entity trb_v2b_fpga is --accesed by trbnet or --etrax (read/write) - --control - R_REGISTERS_NUMBER : integer range 0 to 40 := 22; --only read - status - ENABLE_DMA : integer range 1 to 2 := 1; --1- DMA , 2 - no DMA + R_REGISTERS_NUMBER : integer range 0 to 40 := 25; --only read - status + ENABLE_DMA : integer range 1 to 2 := 2; --1- DMA , 2 - no DMA --2- also for trbnet --end point ! NUMBER_OFF_ADD_DATA : integer range 0 to 255 := 8; REGIO_NUM_STAT_REGS : integer range 0 to 255 := 2; REGIO_NUM_CTRL_REGS : integer range 0 to 255 := 3; - DEBUG_OPTION : integer range 0 to 8 := 2 --0 no debug + DEBUG_OPTION : integer range 0 to 8 := 5; --0 no debug --1 trbnet enpoit --2 trbent cts --3 sfp + --4 stand alone + --5 stand alone plus adrram + TRIGGER_RW_REGISTERS_NUMBER : integer range 0 to 40 := 4; + TRIGGER_R_REGISTERS_NUMBER : integer range 0 to 40 := 4; + TRIGGER_INPUTS_NUMBER : integer range 0 to 60 := 51; + USE_EXTERNAL_SDRAM : integer range 0 to 1 := 1 ); port ( ------------------------------------------------------------------------- @@ -327,7 +338,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is DEBUG : out std_logic_vector(31 downto 0); SFP_ADDRESS : in std_logic_vector(31 downto 0)); end component; - + component cts generic ( @@ -369,14 +380,17 @@ architecture trb_v2b_fpga of trb_v2b_fpga is CTS_STASUS_0UT_1 : out std_logic_vector(31 downto 0); CTS_STASUS_0UT_2 : out std_logic_vector(31 downto 0); CTS_CTRL_IN_0 : in std_logic_vector(31 downto 0); - CTS_CTRL_IN_1 : in std_logic_vector(31 downto 0)); + CTS_CTRL_IN_1 : in std_logic_vector(31 downto 0); + CTS_CTRL_IN_2 : in std_logic_vector(31 downto 0) + ); end component; component tdc_interfacev2 generic ( ENABLE_DMA : natural; NUMBER_OFF_ADD_DATA : natural; - TRBV2_TYPE : natural + TRBV2_TYPE : natural; + USE_EXTERNAL_SDRAM : natural ); port ( CLK : in std_logic; @@ -425,7 +439,15 @@ architecture trb_v2b_fpga of trb_v2b_fpga is TRB_ID : in std_logic_vector(31 downto 0); LVL1_FINISHED : out std_logic; LVL2_FINISHED : out std_logic; - TRBNET_HEADER_BUILD : in std_logic + TRBNET_HEADER_BUILD : in std_logic; + RD_EN : out std_logic; + WR_EN : out std_logic; + WR_READY : in std_logic; + RD_READY : in std_logic; + DATA_IN : in std_logic_vector(31 downto 0); + DATA_OUT : out std_logic_vector(31 downto 0); + SDRAM_BUSY : in std_logic + ); end component; @@ -523,7 +545,74 @@ architecture trb_v2b_fpga of trb_v2b_fpga is ); end component; - + component sdram_controller + port ( + CLK : in std_logic; + RESET : in std_logic; + DATA_CLK_IN : in std_logic; + DATA_WRITE : in std_logic; + WRITE_READY : out std_logic; + WRITE_DATA_IN : in std_logic_vector(31 downto 0); + WRITE_BUSY : out std_logic; + DATA_READ : in std_logic; + DATA_READ_REQUEST : in std_logic; + READ_READY : out std_logic; + READ_DATA_OUT : out std_logic_vector(31 downto 0); + READ_BUSY : out std_logic; + RAM_DEBUG_00 : out std_logic_vector(31 downto 0); + RAM_DEBUG_01 : out std_logic_vector(31 downto 0); + RAM_DEBUG_02 : out std_logic_vector(31 downto 0); + SDARM_CLK : out std_logic; + CKE : out std_logic; + CE : out std_logic; + RAS : out std_logic; + CAS : out std_logic; + WE : out std_logic; + BA : out std_logic_vector(1 downto 0); + ADDR : out std_logic_vector(12 downto 0); + DATA : inout std_logic_vector(31 downto 0); + DQMH : out std_logic; + DQML : out std_logic); + end component; + + component sdram_fpga_interface + generic ( + USE_EXTERNAL_SDRAM : natural); + port ( + CLK : in std_logic; + READOUT_CLK : in std_logic; + RESET : in std_logic; + RD_EN : in std_logic; + WR_EN : in std_logic; + WR_READY : out std_logic; + RD_READY : out std_logic; + DATA_IN : in std_logic_vector(31 downto 0); + DATA_OUT : out std_logic_vector(31 downto 0); + SDRAM_BUSY : out std_logic; + INTERNAL_STROBE : in std_logic; + INTERNAL_DATA_IN : in std_logic_vector(31 downto 0); + INTERNAL_DATA_OUT : out std_logic_vector(31 downto 0); + INTERNAL_ADDRESS : in std_logic_vector(31 downto 0); + INTERNAL_MODE : in std_logic_vector(15 downto 0); + INTERNAL_VALID : out std_logic; + SDRAM_DEBUG_00 : out std_logic_vector(31 downto 0); + SDRAM_DEBUG_01 : out std_logic_vector(31 downto 0); + SDRAM_DEBUG_02 : out std_logic_vector(31 downto 0); + CLK_SDRAM : out std_logic; + CKE : out std_logic; + CS : out std_logic; + RAS : out std_logic; + CAS : out std_logic; + WE : out std_logic; + DQM : out std_logic_vector(3 downto 0); + BA : out std_logic_vector (1 downto 0); + A : out std_logic_vector(12 downto 0); + DQ : inout std_logic_vector(31 downto 0); + DQMH : out std_logic; + DQML : out std_logic + ); + end component; + component dsp_interface port ( -- signal to/from dsp @@ -973,9 +1062,42 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal_in : in std_logic; pulse : out std_logic); end component; + + ----------------------------------------------------------------------------- + -- trigger logic interface + ----------------------------------------------------------------------------- + component trigger_interface + generic ( + TRIGGER_RW_REGISTERS_NUMBER : natural; + TRIGGER_R_REGISTERS_NUMBER : natural; + TRIGGER_INPUTS_NUMBER : natural + ); + port ( + RESET : in std_logic; + CLK_100 : in std_logic; + CLK_50 : in std_logic; + CLK_300 : in std_logic; + DETECTOR_SIGNALS_IN : in std_logic_vector(21 downto 0); + TRIGGER_SIGNALS_OUT : out std_logic_vector(21 downto 0); + TRIGGER_DATA_OUT : out std_logic_vector(31 downto 0); + TRIGGER_DATA_VALID_OUT : out std_logic; + TRIGGER_BUSY_OUT : out std_logic; + TRIGGER_BUSY_IN : in std_logic; + RW_DATA_IN : in std_logic_vector(31 downto 0); + RW_DATA_OUT : out std_logic_vector(31 downto 0); + RW_ADDRESS_IN : in std_logic_vector(31 downto 0); + RW_MODE_IN : in std_logic_vector(15 downto 0); + RW_VALID_OUT : out std_logic; + RW_ACK_IN : in std_logic; + DISP_A : out std_logic_vector(6 downto 0); + DISP_D : out std_logic_vector(1 downto 0); + DISP_WR : out std_logic); + end component; + ------------------------------------------------------------------------------- -- SIGNALS ------------------------------------------------------------------------------- + -- constant VaaaaaaaaaERSION_NUMBER_TIME : integer := 1245150983; --interface constant HOW_MANY_CHANNELS : integer := 1; @@ -1046,7 +1168,11 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal lvl1_trigger_i : std_logic; signal lvl2_trigger_code_i : std_logic_vector(3 downto 0) := x"0"; signal lvl2_trigger_tag_i : std_logic_vector(15 downto 0) := x"0000"; - signal lvl2_local_busy_i : std_logic; + signal lvl2_local_busy_i : std_logic:='0'; + signal lvl1_local_busy_i : std_logic:='0'; + signal lvl1_external_busy_i : std_logic; + signal lvl2_external_busy_i : std_logic; + --etrax signal etrax_bus_busy_i : std_logic; --should go to busy logic !? signal etrax_is_ready_to_read_i : std_logic; @@ -1126,10 +1252,21 @@ architecture trb_v2b_fpga of trb_v2b_fpga is --sdram signal sdram_register_00_i : std_logic_vector(31 downto 0); - signal sdram_external_valid_i : std_logic; + signal sdram_external_valid_i : std_logic:='0'; signal sdram_data_out_i : std_logic_vector(31 downto 0); signal vsd_cs_i : std_logic; - + signal dqmh_i : std_logic; + signal dqml_i : std_logic; + signal rd_en_i : std_logic; + signal wr_en_i : std_logic; + signal wr_ready_i : std_logic; + signal rd_ready_i : std_logic; + signal data_in_i : std_logic_vector(31 downto 0); + signal data_out_i : std_logic_vector(31 downto 0); + signal sdram_busy_i : std_logic; + signal sdram_debug_i : std_logic_vector(31 downto 0); + signal readout_sdram_int_clk : std_logic; + signal sdram_data_ready_i : std_logic; --scalers type scaler_counter_arr is array(0 to 7) of std_logic_vector(31 downto 0); signal scaler_counter : scaler_counter_arr; @@ -1177,6 +1314,13 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal not_ipu_dataready_out_i : std_logic; signal ipu_dataready_out_end_pulse : std_logic; signal dummy_lvl1_trigger : std_logic; + signal dummy_lvl2_trigger : std_logic; + signal clk40_a : std_logic; + signal clk40_b : std_logic; + signal clk40_c : std_logic; + signal not_tdc_clk : std_logic; + signal counter_for_clk : std_logic_vector(7 downto 0); + --lvl1 signal trg_send_in_i : std_logic; signal trg_type_in_i : std_logic_vector (3 downto 0); @@ -1294,10 +1438,26 @@ architecture trb_v2b_fpga of trb_v2b_fpga is signal ref_time_counter : std_logic_vector(7 downto 0); + signal lvl1_cts_busy_out_i : std_logic; signal lvl2_cts_busy_out_i : std_logic; signal lvl1_rnd_number_out_i : std_logic_vector(7 downto 0); signal lvl2_rnd_number_out_i : std_logic_vector(7 downto 0); + signal lvl1_in_chain_busy : std_logic; + signal lvl2_in_chain_busy : std_logic; + signal lvl1_in_chain_busy_end : std_logic; + signal lvl2_in_chain_busy_end : std_logic; + signal lvl1_all_busy_or : std_logic; + signal lvl2_all_busy_or : std_logic; + + --lvl1 trigger logic + signal trigger_rw_valid_out_i : std_logic; + signal trigger_rw_data_out_i : std_logic_vector(31 downto 0); + + signal save_lvl2_finished : std_logic; + + --trb tester + signal trb_tester_busy : std_logic; begin @@ -1310,6 +1470,9 @@ begin if global_reset_counter < x"e" then global_reset_counter <= global_reset_counter + 1; reset_i <= '1'; + elsif (med_stat_op_in_i(13) = '1' or med_stat_op_in_i(14) = '1') and TRBNET_ENABLE > 0 then + global_reset_counter <= x"0"; + reset_i <= '0'; else global_reset_counter <= global_reset_counter; reset_i <= '0'; @@ -1349,7 +1512,7 @@ begin -- AddOn clk ---------------------------------------------------------------- IBUFGDS_ADDCLK : IBUFDS generic map ( - IOSTANDARD => "LVDS_25_DCI") + DIFF_TERM => true) port map ( O => addon_clk, --CLK, I => ADDON_TO_TRB_CLKINP, @@ -1359,90 +1522,65 @@ begin -- TDC ---------------------------------------------------------------------- IBUFGDS_TDC_CLK : IBUFGDS generic map ( - IOSTANDARD => "LVDS_25_DCI") --_DCI + DIFF_TERM => true) -- port map ( O => tdc_clk, I => REF_TDC_CLK, IB => REF_TDC_CLKB -- Diff_n clock buffer input (connect to top-level port) ); - IBUFDS_TRIGG_A : OBUFDS port map (O => A_TRIGGER, OB => A_TRIGGERB, I => a_trigg); - IBUFDS_TRIGG_B : OBUFDS port map (O => B_TRIGGER, OB => B_TRIGGERB, I => b_trigg); - IBUFDS_TRIGG_C : OBUFDS port map (O => C_TRIGGER, OB => C_TRIGGERB, I => c_trigg); - IBUFDS_TRIGG_D : OBUFDS port map (O => D_TRIGGER, OB => D_TRIGGERB, I => d_trigg); - OBUFDS_BUNCH_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => A_TDC_BU_RESET, OB => A_TDC_BU_RESETB , I => bunch_reset_i); - OBUFDS_EVENT_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => A_TDC_EV_RESET, OB => A_TDC_EV_RESETB , I => event_reset_i); - OBUFDS_BUNCH_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => B_TDC_BU_RESET, OB => B_TDC_BU_RESETB, I => bunch_reset_i); - OBUFDS_EVENT_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => B_TDC_EV_RESET, OB => B_TDC_EV_RESETB, I => event_reset_i); - OBUFDS_BUNCH_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => C_TDC_BU_RESET, OB => C_TDC_BU_RESETB, I => bunch_reset_i); - OBUFDS_EVENT_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => C_TDC_EV_RESET, OB => C_TDC_EV_RESETB, I => event_reset_i); - OBUFDS_BUNCH_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => D_TDC_BU_RESET, OB => D_TDC_BU_RESETB, I => bunch_reset_i); - OBUFDS_EVENT_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => D_TDC_EV_RESET, OB => D_TDC_EV_RESETB, I => event_reset_i); + IBUFDS_TRIGG_A : OBUFDS port map (O => A_TRIGGER, OB => A_TRIGGERB, I => a_trigg); + IBUFDS_TRIGG_B : OBUFDS port map (O => B_TRIGGER, OB => B_TRIGGERB, I => b_trigg); + IBUFDS_TRIGG_C : OBUFDS port map (O => C_TRIGGER, OB => C_TRIGGERB, I => c_trigg); + IBUFDS_TRIGG_D : OBUFDS port map (O => D_TRIGGER, OB => D_TRIGGERB, I => d_trigg); + OBUFDS_BUNCH_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TDC_BU_RESET, OB => A_TDC_BU_RESETB, I => bunch_reset_i); + OBUFDS_EVENT_RESET_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TDC_EV_RESET, OB => A_TDC_EV_RESETB, I => event_reset_i); + OBUFDS_BUNCH_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TDC_BU_RESET, OB => B_TDC_BU_RESETB, I => bunch_reset_i); + OBUFDS_EVENT_RESET_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TDC_EV_RESET, OB => B_TDC_EV_RESETB, I => event_reset_i); + OBUFDS_BUNCH_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TDC_BU_RESET, OB => C_TDC_BU_RESETB, I => bunch_reset_i); + OBUFDS_EVENT_RESET_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TDC_EV_RESET, OB => C_TDC_EV_RESETB, I => event_reset_i); + OBUFDS_BUNCH_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TDC_BU_RESET, OB => D_TDC_BU_RESETB, I => bunch_reset_i); + OBUFDS_EVENT_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TDC_EV_RESET, OB => D_TDC_EV_RESETB, I => event_reset_i); --fast trigg----------------------------------------------------------------- - IBUFDS_FAST_TRIGGER : IBUFDS generic map (DIFF_TERM => true) - port map (I => VIR_TRIG, IB => VIR_TRIGB, O => fast_ref_trigger); + IBUFDS_FAST_TRIGGER : IBUFDS generic map (DIFF_TERM => true) port map (I => VIR_TRIG, IB => VIR_TRIGB, O => fast_ref_trigger); -- SPI ---------------------------------------------------------------------- --A - OBUFDS_SCK_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => A_SCK, OB => A_SCKB, I => spi_sck_a); - OBUFDS_SDO_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => A_SDO, OB => A_SDOB, I => spi_sdo_a); - OBUFDS_CS_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => A_CS, OB => A_CSB, I => spi_cs_a); - IBUFDS_SDI_A : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI") - port map (I => A_SDI, IB => A_SDIB, O => spi_sdi_a); + OBUFDS_SCK_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_SCK, OB => A_SCKB, I => spi_sck_a); + OBUFDS_SDO_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_SDO, OB => A_SDOB, I => spi_sdo_a); + OBUFDS_CS_A : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_CS, OB => A_CSB, I => spi_cs_a); + IBUFDS_SDI_A : IBUFDS generic map (DIFF_TERM => true) port map (I => A_SDI, IB => A_SDIB, O => spi_sdi_a); spi_sck_a <= fpga_register_07_i(0); spi_sdo_a <= fpga_register_07_i(1); spi_cs_a <= fpga_register_07_i(2); fpga_register_08_i(0) <= spi_sdi_a; --B - OBUFDS_SCK_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => B_SCK, OB => B_SCKB, I => spi_sck_b); - OBUFDS_SDO_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => B_SDO, OB => B_SDOB, I => spi_sdo_b); - OBUFDS_CS_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => B_CS, OB => B_CSB, I => spi_cs_b); - IBUFDS_SDI_B : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI") - port map (I => B_SDI, IB => B_SDIB, O => spi_sdi_b); + OBUFDS_SCK_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_SCK, OB => B_SCKB, I => spi_sck_b); + OBUFDS_SDO_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_SDO, OB => B_SDOB, I => spi_sdo_b); + OBUFDS_CS_B : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_CS, OB => B_CSB, I => spi_cs_b); + IBUFDS_SDI_B : IBUFDS generic map (DIFF_TERM => true) port map (I => B_SDI, IB => B_SDIB, O => spi_sdi_b); spi_sck_b <= fpga_register_07_i(3); spi_sdo_b <= fpga_register_07_i(4); spi_cs_b <= fpga_register_07_i(5); fpga_register_08_i(1) <= spi_sdi_b; --C - OBUFDS_SCK_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => C_SCK, OB => C_SCKB, I => spi_sck_c); - OBUFDS_SDO_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => C_SDO, OB => C_SDOB, I => spi_sdo_c); - OBUFDS_CS_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => C_CS, OB => C_CSB, I => spi_cs_c); - IBUFDS_SDI_C : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI") - port map (I => C_SDI, IB => C_SDIB, O => spi_sdi_c); + OBUFDS_SCK_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_SCK, OB => C_SCKB, I => spi_sck_c); + OBUFDS_SDO_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_SDO, OB => C_SDOB, I => spi_sdo_c); + OBUFDS_CS_C : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_CS, OB => C_CSB, I => spi_cs_c); + IBUFDS_SDI_C : IBUFDS generic map (DIFF_TERM => true) port map (I => C_SDI, IB => C_SDIB, O => spi_sdi_c); spi_sck_c <= fpga_register_07_i(6); spi_sdo_c <= fpga_register_07_i(7); spi_cs_c <= fpga_register_07_i(8); fpga_register_08_i(2) <= spi_sdi_c; --D - OBUFDS_SCK_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => D_SCK, OB => D_SCKB, I => spi_sck_d); - OBUFDS_SDO_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => D_SDO, OB => D_SDOB, I => spi_sdo_d); - OBUFDS_CS_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => D_CS, OB => D_CSB, I => spi_cs_d); - IBUFDS_SDI_D : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI") - port map (I => D_SDI, IB => D_SDIB, O => spi_sdi_d); + OBUFDS_SCK_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_SCK, OB => D_SCKB, I => spi_sck_d); + OBUFDS_SDO_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_SDO, OB => D_SDOB, I => spi_sdo_d); + OBUFDS_CS_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_CS, OB => D_CSB, I => spi_cs_d); + IBUFDS_SDI_D : IBUFDS generic map (DIFF_TERM => true) port map (I => D_SDI, IB => D_SDIB, O => spi_sdi_d); spi_sck_d <= fpga_register_07_i(9); spi_sdo_d <= fpga_register_07_i(10); spi_cs_d <= fpga_register_07_i(11); @@ -1452,22 +1590,14 @@ begin ----------------------------------------------------------------------------- -- Test signals ----------------------------------------------------------------------------- - OBUFDS_TEST_A1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => A_TEST1, OB => A_TEST1B, I => test_a1); - OBUFDS_TEST_A2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => A_TEST2, OB => A_TEST2B, I => test_a2); - OBUFDS_TEST_B1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => B_TEST1, OB => B_TEST1B, I => test_b1); - OBUFDS_TEST_B2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => B_TEST2, OB => B_TEST2B, I => test_b2); - OBUFDS_TEST_C1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => C_TEST1, OB => C_TEST1B, I => test_c1); - OBUFDS_TEST_C2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => C_TEST2, OB => C_TEST2B, I => test_c2); - OBUFDS_TEST_D1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => D_TEST1, OB => D_TEST1B, I => test_d1); - OBUFDS_TEST_D2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") - port map (O => D_TEST2, OB => D_TEST2B, I => test_d2); + OBUFDS_TEST_A1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TEST1, OB => A_TEST1B, I => test_a1); + OBUFDS_TEST_A2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => A_TEST2, OB => A_TEST2B, I => test_a2); + OBUFDS_TEST_B1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TEST1, OB => B_TEST1B, I => test_b1); + OBUFDS_TEST_B2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => B_TEST2, OB => B_TEST2B, I => test_b2); + OBUFDS_TEST_C1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TEST1, OB => C_TEST1B, I => test_c1); + OBUFDS_TEST_C2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => C_TEST2, OB => C_TEST2B, I => test_c2); + OBUFDS_TEST_D1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TEST1, OB => D_TEST1B, I => test_d1); + OBUFDS_TEST_D2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map (O => D_TEST2, OB => D_TEST2B, I => test_d2); --i should be able to switch on off 1 or 2 COUNTER_FOR_TEST_1 : process (CLK, reset_i, test_counter_1) begin @@ -1658,6 +1788,7 @@ begin TIMER_US_TICK_OUT => open, STAT_DEBUG_1 => stat_debug_1_i, STAT_DEBUG_2 => stat_debug_2_i); + lvl2_local_busy_i <= lvl1_busy_i or lvl2_busy_i; end generate TRBnet_CTS; ------------------------------------------------------------------------------- @@ -1709,7 +1840,7 @@ begin -- cts ------------------------------------------------------------------------------- - CTS_GENERATE : if TRBNET_ENABLE /= 1 generate + CTS_GENERATE : if TRBNET_ENABLE /= 1 and TRBV2_TYPE /=7 and DTU_ENABLE = 0 generate THE_CTS : cts generic map ( TRBNET_ENABLE => TRBNET_ENABLE @@ -1721,13 +1852,13 @@ begin LVL1_LVDS_TRIGGER_IN => lvds_add_on_data(4 downto 0), LVL1_TTL_TRIGGER_IN => ADO_TTL(20 downto 16), LVL1_FAST_TRIGG_IN => fast_ref_trigger, - LVL1_TIMING_TRIGGER_OUT => ado_lv_out_i(0), - LVL1_APV_TRIGGER_OUT => ado_lv_out_i(1), - LVL1_VULOM_TRIGGER_IN => lvl1_vulom_trigger, - LVL1_VULOM_TRIGGER_CODE_IN => vulom_lvl1_trigger_code_i, - LVL1_VULOM_TRIGGER_TAG_IN => vulom_lvl1_trigger_tag_i, + LVL1_TIMING_TRIGGER_OUT => open,--ado_lv_out_i(0), + LVL1_APV_TRIGGER_OUT => open,--ado_lv_out_i(1), + LVL1_VULOM_TRIGGER_IN => '0',--lvl1_vulom_trigger, + LVL1_VULOM_TRIGGER_CODE_IN => x"0",--vulom_lvl1_trigger_code_i, + LVL1_VULOM_TRIGGER_TAG_IN => x"0000",--vulom_lvl1_trigger_tag_i, LVL1_VULOM_BUSY_IN => '0', --vulom_busy, - LVL1_LOCAL_BUSY => '0', --lvl1_busy_i, + LVL1_LOCAL_BUSY => lvl1_local_busy_i, --lvl1_busy_i, LVL1_TRBNET_BUSY => trg_busy_out_i, LVL1_CTS_BUSY_OUT => lvl1_cts_busy_out_i, LVL1_TRIGGER_OUT => lvl1_trigger_i, @@ -1751,18 +1882,29 @@ begin CTS_STASUS_0UT_1 => r_register_i(18), CTS_STASUS_0UT_2 => r_register_i(19), CTS_CTRL_IN_0 => rw_register_i(5), - CTS_CTRL_IN_1 => rw_register_i(6) + CTS_CTRL_IN_1 => rw_register_i(6), + CTS_CTRL_IN_2 => rw_register_i(7) ); + ado_lv_out_i <= (others => '0'); + end generate CTS_GENERATE; + + + ENABLE_CTS_SIGNALS: if TRBNET_ENABLE = 2 generate + + ado_lv_out_i(2) <= lvl1_cts_busy_out_i; + ado_lv_out_i(3) <= lvl2_cts_busy_out_i; + ado_lv_out_i(4) <= tdc_clk;--counter_for_clk(0);-- xor clk40_a; + + end generate ENABLE_CTS_SIGNALS; + - lvl2_local_busy_i <= lvl1_busy_i or lvl2_busy_i; - ado_lv_out_i(2) <= lvl1_cts_busy_out_i; - ado_lv_out_i(3) <= lvl2_cts_busy_out_i; - ado_lv_out_i(4) <= TDC_CLK; ENABLE_DEBUG_CTS : if DEBUG_OPTION = 2 generate - ADO_TTL(0) <= lvl1_trigger_i; + + + ADO_TTL(0) <= lvl1_trigger_i;--TDC_CLK; ADO_TTL(1) <= lvl1_cts_busy_out_i; ADO_TTL(2) <= lvl2_local_busy_i; ADO_TTL(3) <= lvl1_finished_i; @@ -1772,14 +1914,15 @@ begin ADO_TTL(7) <= ipu_dataready_out_i; ADO_TTL(15 downto 8) <= ipu_data_out_i(31 downto 24); end generate ENABLE_DEBUG_CTS; --- cts debug --- --- endpoint debug --- ADO_TTL(7) <= ipu_dataready_in_i; --- ADO_TTL(15 downto 0) <= ipu_dataready_in_i; + + STAND_ALONE_TRB: if TRBV2_TYPE = 0 generate + lvl1_local_busy_i <= lvl1_busy_i; + lvl2_local_busy_i <= lvl2_busy_i; + end generate STAND_ALONE_TRB; - RATE_DIODS_EN : if TRBNET_ENABLE = 1 generate + + RATE_DIODS_EN : if TRBNET_ENABLE = 1 or TRBV2_TYPE = 7 generate THE_CTS : cts generic map ( @@ -1811,10 +1954,10 @@ begin LVL2_LOCAL_TRIGGER_IN => '0', --lvl2_local_trigger_in_i, LVL2_LOCAL_TRIGGER_CODE_IN => x"0", --lvl2_local_trigger_code_in_i, LVL2_LOCAL_TRIGGER_TAG_IN => x"0000", --lvl2_local_trigger_tag_in_i, - LVL2_LOCAL_BUSY => '0', + LVL2_LOCAL_BUSY => dummy_lvl2_trigger, LVL2_TRBNET_BUSY => '0', LVL2_CTS_BUSY_OUT => open, - LVL2_TRIGGER_OUT => open, + LVL2_TRIGGER_OUT => dummy_lvl2_trigger, LVL2_TRIGGER_CODE_OUT => open, LVL2_TRIGGER_TAG_OUT => open, LVL2_RND_NUMBER_OUT => open, @@ -1822,11 +1965,87 @@ begin CTS_STASUS_0UT_1 => r_register_i(18), CTS_STASUS_0UT_2 => r_register_i(19), CTS_CTRL_IN_0 => x"00000400", - CTS_CTRL_IN_1 => (others => '0') + CTS_CTRL_IN_1 => (others => '0'), + CTS_CTRL_IN_2 => (others => '0') ); end generate RATE_DIODS_EN; + + -- simple dtu without trbnet + + SIMPLE_DTU_SYSTEM: if TRBNET_ENABLE = 0 and TRBV2_TYPE = 7 generate + + LVL1_TRIGGER_GENERATE : process (CLK, reset_i) + begin + if rising_edge(CLK) then + if reset_i = '1' then + lvl1_trigger_i <= '0'; + lvl1_trigger_tag_i <= (others => '0'); + lvl1_trigger_code_i <= (others => '0'); + elsif med_dataready_out_i(0) = '1' and med_data_out_i(15 downto 12) = x"1" then + lvl1_trigger_i <= '1'; + lvl1_trigger_tag_i <= x"00" & med_data_out_i(7 downto 0); + lvl1_trigger_code_i <= med_data_out_i(11 downto 8); + else + lvl1_trigger_i <= '0'; + lvl1_trigger_tag_i <= lvl1_trigger_tag_i; + lvl1_trigger_code_i <= lvl1_trigger_code_i; + end if; + end if; + end process LVL1_TRIGGER_GENERATE; + + LVL2_TRIGGER_GENERATE : process (CLK, reset_i) + begin + if rising_edge(CLK) then + if reset_i = '1' then + lvl2_trigger_i <= '0'; + elsif med_dataready_out_i(0) = '1' and med_data_out_i(15 downto 12) = x"2" then + lvl2_trigger_i <= '1'; + else + lvl2_trigger_i <= '0'; + end if; + end if; + end process LVL2_TRIGGER_GENERATE; + + SEND_LVL1_LVL2_ACK : process (CLK, reset_i) + begin + if rising_edge(CLK) then + if reset_i = '1' then + med_dataready_in_i(0) <= '0'; + med_data_in_i <= x"0000"; + save_lvl2_finished <= '0'; + elsif lvl1_finished_i = '1' and lvl2_finished_i = '1' then + med_dataready_in_i(0) <= '1'; + med_data_in_i <= x"1000"; + save_lvl2_finished <= '1'; + elsif lvl1_finished_i = '1' and lvl2_finished_i = '0' then + med_dataready_in_i(0) <= '1'; + med_data_in_i <= x"1000"; + save_lvl2_finished <= '0'; + elsif lvl1_finished_i = '0' and lvl2_finished_i = '1' then + med_dataready_in_i(0) <= '0'; + med_data_in_i <= x"0000"; + save_lvl2_finished <= '1'; + elsif lvl1_finished_i = '0' and lvl2_finished_i = '0' and save_lvl2_finished = '1' then + med_dataready_in_i(0) <= '1'; + med_data_in_i <= x"2000"; + save_lvl2_finished <= '0'; + else + med_dataready_in_i(0) <= '0'; + med_data_in_i <= x"0000"; + save_lvl2_finished <= '0'; + end if; + end if; + end process SEND_LVL1_LVL2_ACK; + med_read_in_i(0) <= '1'; + ado_lv_out_i <= (others => '0'); + + end generate SIMPLE_DTU_SYSTEM; + + + -- trbnet end point + TRBnet_END_POINT : if TRBNET_ENABLE = 1 generate THE_TRBNET_END_POINT : trb_net16_endpoint_hades_full @@ -1972,6 +2191,8 @@ begin -- -- media to api, api to media -- ----------------------------------------------------------------------------- + TRBnet_MEDIA : if TRBNET_ENABLE > 0 or TRBV2_TYPE = 7 or (TRBV2_TYPE=0 and DTU_ENABLE=2)generate + TLK_RX_CLK_BUFR : BUFR port map( CE => '1', @@ -2019,7 +2240,8 @@ begin STAT_OP => med_stat_op_in_i, CTRL_OP => x"0000"); DGOOD <= not med_stat_op_in_i(9); - + end generate TRBnet_MEDIA; + DINT <= lvds_add_on_data(0) and lvds_add_on_data(1) and lvds_add_on_data(2) and @@ -2045,8 +2267,41 @@ begin lvds_add_on_data(22) and lvds_add_on_data(23) and lvds_add_on_data(24) and - lvds_add_on_data(25); - + lvds_add_on_data(25) and + addon_clk ; + +------------------------------------------------------------------------------- +-- lvl1 trigger logic +------------------------------------------------------------------------------- + + THE_TRIGGER_INTERFACE: trigger_interface + generic map ( + TRIGGER_RW_REGISTERS_NUMBER => TRIGGER_RW_REGISTERS_NUMBER, + TRIGGER_R_REGISTERS_NUMBER => TRIGGER_R_REGISTERS_NUMBER, + TRIGGER_INPUTS_NUMBER => TRIGGER_INPUTS_NUMBER) + port map ( + RESET => reset_i, + CLK_100 => CLK, + CLK_50 => '0', + CLK_300 => '0', + DETECTOR_SIGNALS_IN => (others => '0'), + TRIGGER_SIGNALS_OUT => open, + TRIGGER_DATA_OUT => open,--TRIGGER_DATA_OUT, + TRIGGER_DATA_VALID_OUT => open,--TRIGGER_DATA_VALID_OUT, + TRIGGER_BUSY_OUT => open,--TRIGGER_BUSY_OUT, + TRIGGER_BUSY_IN => '0',--TRIGGER_BUSY_IN, + RW_DATA_IN => external_data_out_i, + RW_DATA_OUT => trigger_rw_data_out_i, + RW_ADDRESS_IN => external_address_i, + RW_MODE_IN => external_mode_i, + RW_VALID_OUT => trigger_rw_valid_out_i, + RW_ACK_IN => external_ack_i, + DISP_A => open,--DISP_A, + DISP_D => open,--DISP_D, + DISP_WR => open--DISP_WR + ); + + --------------------------------------------------------------------------- -- tdc interface --------------------------------------------------------------------------- @@ -2054,12 +2309,12 @@ begin -- ADO_TTL(0) <= not_hades_trigger; -- ADO_TTL(1) <= trigger_to_tdc_i; --- ADO_TTL(2) <= lvl1_busy_i; +-- -- ADO_TTL(3) <= A_DATA_READY; -- ADO_TTL(4) <= B_DATA_READY; -- ADO_TTL(5) <= C_DATA_READY; -- ADO_TTL(6) <= D_DATA_READY; --- ADO_TTL(7) <= token_out_i; + -- ADO_TTL(8) <= TOKEN_IN; -- ADO_TTL(9) <= lvl2_busy_i; -- ADO_TTL(10) <= bunch_reset_i; @@ -2070,9 +2325,12 @@ begin TDC_RESET <= '0'; --fpga_register_06_i(5);--'0'; --TDC TRB - TDC_INT_FOR_DIFF_PLATFORMSa : if TRBV2_TYPE = 0 generate + TDC_INT_FOR_DIFF_PLATFORMSa : if TRBV2_TYPE = 0 or TRBV2_TYPE = 6 or TRBV2_TYPE = 7 generate + ADO_TTL(7) <= lvl1_busy_i;--token_out_i; + ADO_TTL(2) <= lvl1_busy_i; start_tdc_readout_i <= lvl1_trigger_i; tdc_clk_i <= TDC_CLK; + readout_sdram_int_clk <= TDC_CLK; tdc_data_in_i <= TDC_OUT; a_data_ready_i <= A_DATA_READY; b_data_ready_i <= B_DATA_READY; @@ -2086,6 +2344,7 @@ begin TDC_INT_FOR_DIFF_PLATFORMSb : if TRBV2_TYPE = 1 or TRBV2_TYPE = 2 generate start_tdc_readout_i <= lvl1_trigger_i; tdc_clk_i <= addon_clk; + readout_sdram_int_clk <= addon_clk; tdc_data_in_i <= ADO_TTL(38 downto 7); a_data_ready_i <= ADO_TTL(3); b_data_ready_i <= ADO_TTL(3); @@ -2114,6 +2373,7 @@ begin TDC_INT_FOR_DIFF_PLATFORMSc : if TRBV2_TYPE = 4 generate start_tdc_readout_i <= lvl1_trigger_i; tdc_clk_i <= CLK; + readout_sdram_int_clk <= CLK; tdc_data_in_i <= vulom_event; a_data_ready_i <= vulom_event_valid; --start_readout_i; b_data_ready_i <= vulom_event_valid; --start_readout_i; @@ -2137,6 +2397,7 @@ begin pulse => ipu_dataready_out_end_pulse); tdc_clk_i <= CLK; + readout_sdram_int_clk <= CLK; tdc_data_in_i <= ipu_data_out_i; a_data_ready_i <= ipu_dataready_out_i; b_data_ready_i <= ipu_dataready_out_i; @@ -2148,11 +2409,40 @@ begin end generate TDC_INT_FOR_DIFF_PLATFORMSd; + DEBUG_STAND_ALONE: if DEBUG_OPTION = 4 generate + ADO_TTL(0) <= lvl1_trigger_i; + ADO_TTL(1) <= lvl1_finished_i; + MAKE_BUSY_FOR_TRB_TESTER : process (CLK, reset_i) + begin + if rising_edge(CLK) then + if reset_i = '1' or lvl2_finished_i = '1' then + trb_tester_busy <= '0'; + elsif lvl1_busy_i = '1' then + trb_tester_busy <= '1'; + end if; + end if; + end process MAKE_BUSY_FOR_TRB_TESTER; + + ADO_TTL(2) <= trb_tester_busy;--lvl1_busy_i; + ADO_TTL(3) <= A_DATA_READY; + ADO_TTL(4) <= B_DATA_READY; + ADO_TTL(5) <= C_DATA_READY; + ADO_TTL(6) <= D_DATA_READY; + ADO_TTL(7) <= token_out_i; + ADO_TTL(8) <= TOKEN_IN; + ADO_TTL(9) <= lvl2_trigger_i; + ADO_TTL(10) <= bunch_reset_i; + ADO_TTL(11) <= event_reset_i; + ADO_TTL(12) <= TDC_CLK; + end generate DEBUG_STAND_ALONE; + + TDC_INT : tdc_interfacev2 generic map ( ENABLE_DMA => ENABLE_DMA, NUMBER_OFF_ADD_DATA => NUMBER_OFF_ADD_DATA, - TRBV2_TYPE => TRBV2_TYPE + TRBV2_TYPE => TRBV2_TYPE, + USE_EXTERNAL_SDRAM => USE_EXTERNAL_SDRAM ) port map ( CLK => CLK, @@ -2201,9 +2491,128 @@ begin TRB_ID => rw_register_i(4), LVL1_FINISHED => lvl1_finished_i, LVL2_FINISHED => lvl2_finished_i, - TRBNET_HEADER_BUILD => rw_register_i(3)(31) + TRBNET_HEADER_BUILD => rw_register_i(3)(31), + RD_EN => rd_en_i, + WR_EN => wr_en_i, + WR_READY => wr_ready_i, + RD_READY => rd_ready_i, + DATA_IN => data_out_i, + DATA_OUT => data_in_i, + SDRAM_BUSY => sdram_busy_i ); + ----------------------------------------------------------------------------- + -- sdram to tdc interface + ----------------------------------------------------------------------------- +-- THE_SDRAM_FPGA_INTERFACE : sdram_fpga_interface +-- generic map +-- ( +-- USE_EXTERNAL_SDRAM => USE_EXTERNAL_SDRAM +-- ) +-- port map ( +-- CLK => CLK, +-- READOUT_CLK => TDC_CLK, --readout_sdram_int_clk, +-- RESET => reset_i, +-- RD_EN => rd_en_i, +-- WR_EN => wr_en_i, +-- WR_READY => wr_ready_i, +-- RD_READY => rd_ready_i, +-- DATA_IN => data_in_i, +-- DATA_OUT => data_out_i, +-- SDRAM_BUSY => sdram_busy_i, +-- INTERNAL_STROBE => external_ack_i, +-- INTERNAL_DATA_IN => external_data_out_i, +-- INTERNAL_DATA_OUT => sdram_data_out_i, +-- INTERNAL_ADDRESS => external_address_i, +-- INTERNAL_MODE => external_mode_i, +-- INTERNAL_VALID => sdram_external_valid_i, +-- SDRAM_DEBUG_00 => r_register_i(22), +-- SDRAM_DEBUG_01 => r_register_i(23), +-- SDRAM_DEBUG_02 => r_register_i(24), +-- CLK_SDRAM => VSD_CLOCK, +-- CKE => VSD_CKE, +-- CS => vsd_cs_i, +-- RAS => VSD_RAS, +-- CAS => VSD_CAS, +-- WE => VSD_WE, +-- BA => VSD_BA, +-- A => VSD_A, +-- DQ => VSD_D, +-- DQMH => dqmh_i, +-- DQML => dqml_i +-- ); + + THE_SDRAM_CONTROLLER: sdram_controller + port map ( + CLK => CLK, + RESET => reset_i, + DATA_CLK_IN => TDC_CLK, + DATA_WRITE => wr_en_i, + WRITE_READY => open, + WRITE_DATA_IN => data_in_i, + WRITE_BUSY => open, + DATA_READ => sdram_data_ready_i, + DATA_READ_REQUEST => rd_en_i, + READ_READY => sdram_data_ready_i, + READ_DATA_OUT => data_out_i, + READ_BUSY => open, + RAM_DEBUG_00 => r_register_i(22), + RAM_DEBUG_01 => r_register_i(23), + RAM_DEBUG_02 => r_register_i(24), + SDARM_CLK => VSD_CLOCK, + CKE => VSD_CKE, + CE => vsd_cs_i, + RAS => VSD_RAS, + CAS => VSD_CAS, + WE => VSD_WE, + BA => VSD_BA, + ADDR => VSD_A, + DATA => VSD_D, + DQMH => dqmh_i, + DQML => dqml_i); + + sdram_busy_i <= '0'; + VSD_DQML(0) <= dqml_i; + VSD_DQML(1) <= dqmh_i; + VSD_DQML(2) <= dqml_i; + VSD_DQML(3) <= dqmh_i; + + VSD_CSEH <= vsd_cs_i; + VSD_CSEL <= vsd_cs_i; + + ENABLE_DEBUG_SDRAM_READOUT : if DEBUG_OPTION = 5 generate + + ADO_TTL(0) <= r_register_i(23)(4); --write data from tdc + ADO_TTL(1) <= r_register_i(23)(1); --read fifo to sdram +-- ADO_TTL(2) <= lvl2_local_busy_i; + ADO_TTL(3) <= r_register_i(23)(0); --read fifo from sdram + ADO_TTL(4) <= r_register_i(22)(28); --write fifo from sdram + ADO_TTL(5) <= r_register_i(23)(6); -- read state + ADO_TTL(6) <= r_register_i(23)(7); --write state +-- ADO_TTL(7) <= ipu_dataready_out_i; +-- ADO_TTL(8) <= r_register_i(23)(6); +-- ADO_TTL(11 downto 9) <= r_register_i(22)(15 downto 13); + ADO_TTL(15 downto 8) <= r_register_i(22)(23 downto 16); +-- ADO_TTL(11 downto 8) <= r_register_i(22)(27 downto 24); +-- ADO_TTL(9) <= r_register_i(23)(3); +-- ADO_TTL(10) <= r_register_i(22)(30); +-- ADO_TTL(11) <= r_register_i(23)(2); +-- ADO_TTL(15 downto 8) <= r_register_i(23)(7 downto 0); +-- ADO_TTL(8) <= r_register_i(22)(19); +-- ADO_TTL(9) <= r_register_i(22)(29); +-- ADO_TTL(10) <= r_register_i(22)(21); +-- ADO_TTL(11) <= r_register_i(22)(25); +-- ADO_TTL(12) <= r_register_i(22)(8); + --ADO_TTL(12) <= lvl1_trigger_i; + --ADO_TTL(13) <= lvl2_trigger_i; + +-- ADO_TTL(13) <= r_register_i(23)(0); +-- ADO_TTL(14) <= r_register_i(24)(0); +-- ADO_TTL(15) <= r_register_i(23)(25); + + end generate ENABLE_DEBUG_SDRAM_READOUT; + + ----------------------------------------------------------------------------- -- lvl1 trigger logic ----------------------------------------------------------------------------- @@ -2251,9 +2660,6 @@ begin c_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i; d_trigg <= trigger_to_tdc_i; --fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i; - - - BLINK_TDC_DIODS_ON_TRBv2c : process (CLK, reset_i) begin if rising_edge(CLK) then @@ -2278,7 +2684,7 @@ begin DBAD <= lvl1_busy_i; -- DINT <= TDC_CLK;--tdc_data_valid_i; - DWAIT <= addon_clk; + DWAIT <= lvl2_busy_i; -- DGOOD <= lvl2_busy_i; LVL1_TAG_COUNT : up_down_counter @@ -2311,6 +2717,8 @@ begin -- MULTIPLEX_DATA_TO_ETRAX ----------------------------------------------------------------------------- + + MULTIPLEX_DATA_TO_ETRAX : process(CLK, reset_i, external_mode_i) begin if rising_edge(CLK) then @@ -2320,10 +2728,12 @@ begin external_data_in_i <= dsp_data_out_i; elsif external_mode_i(7 downto 0) = x"02" then external_data_in_i <= sdram_data_out_i; + elsif external_mode_i(7 downto 0) = x"05" then + external_data_in_i <= trigger_rw_data_out_i; elsif external_mode_i(7 downto 0) = x"06" then external_data_in_i <= x"0000" & sfp_data_out; else - external_data_in_i <= x"0000"&external_mode_i; + external_data_in_i <= x"babe" & external_mode_i; end if; end if; end process MULTIPLEX_DATA_TO_ETRAX; @@ -2331,13 +2741,14 @@ begin --\@@##$$%%^^&&**((( put dsp_strobe to the dsp interface (extarnal mode has -- to be there) -- sdram_strobe_i <= '1' when external_mode_i(7 downto 0) = x"02" and external_ack_i = '1' else '0'; - external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or sfp_external_valid; +-- external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or sfp_external_valid; + external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or trigger_rw_valid_out_i or sfp_external_valid ; ------------------------------------------------------------------------------- -- sfp interface ------------------------------------------------------------------------------- Sfp_Interface_1: Sfp_Interface generic map ( - I2C_SPEED => X"0200") + I2C_SPEED => X"0050") --for 100MHz CLK it is ~ 312.5kz port map ( CLK_IN => CLK, RST_IN => reset_i, @@ -2350,7 +2761,7 @@ begin READ_DONE => sfp_external_valid, --external_valid_i DEBUG => r_register_i(21), SFP_ADDRESS => external_address_i); - + r_register_i(20)(15 downto 0) <= sfp_data_out; -- Sfp_Interface_1 : Sfp_Interface -- generic map ( -- device_add => "1010001", @@ -2522,42 +2933,69 @@ begin VALID_DATA_SENT => dsp_external_valid_i, ACKNOWLEDGE => dsp_strobe_i, DEBUGSTATE_MACHINE => dsp_register_00_i); + end generate DSP_EN; + + DSP_DISABLE: if DSP_INT_ENABLE = 0 generate + dsp_external_valid_i <= '0'; + DSPDAT <= (others => 'Z'); + DSP_WRH <= 'Z'; + DSP_WRL <= 'Z'; --in for virtex to read dsp(it's out =0 if I + --write into dsp) +--OUT + DSP_HBR <= '1'; + DSPADDR <= (others => 'Z'); + DSP_RD <= 'Z'; + DSP_BRST <= 'Z'; + end generate DSP_DISABLE; ------------------------------------------------------------------------------- -- sdram interface ------------------------------------------------------------------------------- - SDRAM_EN : if SDRAM_INT_ENABLE = 1 generate - SDRAM_INTERFACE_LOGIC : sdram_interface - port map ( - CLK_SDRAM => VSD_CLOCK, - CKE => VSD_CKE, - CS => vsd_cs_i, - RAS => VSD_RAS, - CAS => VSD_CAS, - WE => VSD_WE, - DQM => VSD_DQML, - BA => VSD_BA, - A => VSD_A, - DQ => VSD_D, - CLK => CLK, - RESET => reset_i, - TRIGGER => external_ack_i, - INTERNAL_DATA_IN => external_data_out_i, - INTERNAL_DATA_OUT => sdram_data_out_i, - INTERNAL_ADDRESS => external_address_i, - INTERNAL_MODE => external_mode_i, - VALID_DATA_SENT => sdram_external_valid_i, - DEBUGSTATE_MACHINE => sdram_register_00_i); - - VSD_CSEH <= vsd_cs_i; - VSD_CSEL <= vsd_cs_i; --- ADO_TTL(18) <= '1'; --- ADO_TTL(15 downto 0) <= (others => 'Z'); - end generate SDRAM_EN; - +-- SDRAM_EN : if SDRAM_INT_ENABLE = 1 generate +-- SDRAM_INTERFACE_LOGIC : sdram_interface +-- port map ( +-- CLK_SDRAM => VSD_CLOCK, +-- CKE => VSD_CKE, +-- CS => vsd_cs_i, +-- RAS => VSD_RAS, +-- CAS => VSD_CAS, +-- WE => VSD_WE, +-- DQM => VSD_DQML, +-- BA => VSD_BA, +-- A => VSD_A, +-- DQ => VSD_D, +-- CLK => CLK, +-- RESET => reset_i, +-- TRIGGER => external_ack_i, +-- INTERNAL_DATA_IN => external_data_out_i, +-- INTERNAL_DATA_OUT => sdram_data_out_i, +-- INTERNAL_ADDRESS => external_address_i, +-- INTERNAL_MODE => external_mode_i, +-- VALID_DATA_SENT => sdram_external_valid_i, +-- DEBUGSTATE_MACHINE => sdram_register_00_i); + +-- VSD_CSEH <= vsd_cs_i; +-- VSD_CSEL <= vsd_cs_i; +---- ADO_TTL(18) <= '1'; +---- ADO_TTL(15 downto 0) <= (others => 'Z'); +-- end generate SDRAM_EN; + +-- SDRAM_DISABLE: if SDRAM_INT_ENABLE = 0 generate +-- sdram_external_valid_i <= '0'; +-- VSD_CLOCK <= '0'; +-- VSD_CSEL <= '1'; +-- VSD_CSEH <= '1'; +-- VSD_RAS <= '1'; +-- VSD_CAS <= '1'; +-- VSD_WE <= '1'; +-- VSD_DQML <= x"0"; +-- VSD_A(12 downto 0) <= (others => '0'); +-- VSD_D <= (others => 'Z'); +-- VSD_BA <= "00"; +-- end generate SDRAM_DISABLE; ------------------------------------------------------------------------------- -- opt dtu @@ -2572,47 +3010,62 @@ begin TLK_ENABLE <= '1'; TLK_PRBSEN <= '0'; - TLK_RX_CLK_BUFR : BUFR - port map( - CE => '1', - CLR => '0', - I => TLK_RX_CLK, - O => tlk_rx_clk_r(0) - ); - - TLK_CLK_BUFR : BUFR - port map( - CE => '1', - CLR => '0', - I => TLK_CLK, - O => tlk_clk_r(0) - ); +-- TLK_RX_CLK_BUFR : BUFR +-- port map( +-- CE => '1', +-- CLR => '0', +-- I => TLK_RX_CLK, +-- O => tlk_rx_clk_r(0) +-- ); +-- tlk_rx_clk_r(0) <= TLK_RX_CLK; + +-- TLK_CLK_BUFR : BUFR +-- port map( +-- CE => '1', +-- CLR => '0', +-- I => TLK_CLK, +-- O => tlk_clk_r(0) +-- ); DTU_EN : if TRBV2_TYPE < 4 generate DTU_OPT_INST : optical_dtu port map ( CLK => CLK, - RX_CLK => tlk_rx_clk_r(0), - TX_CLK => tlk_clk_r(0), + RX_CLK => CLK,--tlk_rx_clk_r(0), + TX_CLK => CLK,--tlk_clk_r(0), RESET => reset_i, - OPT_DATA_IN => TLK_RXD, - OPT_DATA_OUT => TLK_TXD, - OPT_DATA_VALID_IN => TLK_RX_DV, - OPT_DATA_ERR => TLK_RX_ER, - OPT_DATA_SEND => TLK_TX_EN, + OPT_DATA_IN => med_data_out_i,--TLK_RXD, + OPT_DATA_OUT => med_data_in_i,--TLK_TXD, + OPT_DATA_VALID_IN => med_dataready_out_i(0),--TLK_RX_DV, + OPT_DATA_ERR => '0',--TLK_RX_ER, + OPT_DATA_SEND => med_dataready_in_i(0),--TLK_TX_EN, LVL1_TRIGGER => lvl1_trigger_i, LVL1_TRIGGER_CODE => lvl1_trigger_code_i, LVL1_TRIGGER_TAG => lvl1_trigger_tag_i(7 downto 0), LVL2_TRIGGER => lvl2_trigger_i, LVL2_TRIGGER_CODE => lvl2_trigger_code_i(3), LVL2_TRIGGER_TAG => lvl2_trigger_tag_i(7 downto 0), - LVL1_BUSY => busy_or_error, + LVL1_BUSY => lvl1_busy_i,--busy_or_error, LVL2_BUSY => lvl2_busy_i, OPT_DTU_DEBUG_REGISTER_00 => fpga_register_0d_i, OPT_DTU_DEBUG_REGISTER_01 => fpga_register_0b_i ); --- busy_or_error <= trigger_miss_match or lvl1_busy_i; - busy_or_error <= lvl1_busy_i; + med_read_in_i(0) <= '1'; + SEND_PACKET_NUM : process (CLK, reset_i) + begin + if rising_edge(CLK) then + if reset_i = '1' then + med_packet_num_in_i <= "001"; + elsif med_dataready_out_i(0)='1' then + med_packet_num_in_i <= med_packet_num_in_i+1; + else + med_packet_num_in_i <= med_packet_num_in_i; + end if; + end if; + end process SEND_PACKET_NUM; + ado_lv_out_i <= (others => '0'); + busy_or_error <= trigger_miss_match or lvl1_busy_i; +-- busy_or_error <= lvl1_busy_i; ADO_TTL(0) <= TLK_RX_ER; ADO_TTL(1) <= TLK_RX_DV; ADO_TTL(5 downto 2) <= TLK_RXD(15 downto 12); @@ -2623,6 +3076,7 @@ begin ADO_TTL(10) <= FS_PB_17; ADO_TTL(11) <= tdc_data_valid_i; ADO_TTL(12) <= lvl2_trigger_code_i(3); +-- ADO_TTL(15 downto 0) <= (others => '0'); end generate DTU_EN; @@ -2670,6 +3124,7 @@ begin BUSY_SELECT : if TRBV2_TYPE = 4 generate not_lvl1_busy_opt <= opt_lvl1_busy_i or vulom_busy; end generate BUSY_SELECT; + SUB_SYSTEM_SELECT : if TRBV2_TYPE = 5 generate not_lvl1_busy_opt <= not opt_lvl1_busy_i; @@ -2762,6 +3217,7 @@ begin ----------------------------------------------------------------------------- TLK_ISE : if DTU_ENABLE = 0 and TRBV2_TYPE < 4 and TRBNET_ENABLE = 0 generate + TLK_TX_ER <= '0'; SFP_TX_DIS <= '0'; --fpga_register_06_i(15); TLK_LOOPEN <= '0'; diff --git a/trb_v2b_fpga_syn.prj b/trb_v2b_fpga_syn.prj index 919adb1..1d5d261 100644 --- a/trb_v2b_fpga_syn.prj +++ b/trb_v2b_fpga_syn.prj @@ -13,7 +13,11 @@ add_file -vhdl -lib work "sfp_interface.vhd" add_file -vhdl -lib work "cts.vhd" add_file -vhdl -lib work "cts_fifo.vhd" - +add_file -vhdl -lib work "sdram_fpga_interface.vhd" +add_file -vhdl -lib work "sdram_controller.vhd" +add_file -vhdl -lib work "sdramcntl.vhd" +add_file -vhdl -lib work "common.vhd" +add_file -vhdl -lib work "small512Words.vhd" add_file -vhdl -lib work "version.vhd" add_file -vhdl -lib work "up_down_counter.vhd" add_file -vhdl -lib work "etrax_interface.vhd" @@ -36,10 +40,13 @@ add_file -vhdl -lib work "trbv2_link_fifo.vhd" add_file -vhdl -lib work "DCM_100MHz_to_200MHz.vhd" add_file -vhdl -lib work "../trbnet/trb_net_onewire.vhd" add_file -vhdl -lib work "../trbnet/optical_link/media_interface.vhd" -add_file -vhdl -lib work "../trbnet/optical_link/flexi_PCS_synch.vhd" -add_file -vhdl -lib work "../trbnet/optical_link/flexi_PCS_channel_synch.vhd" add_file -vhdl -lib work "header_add_data_fifo_4kW.vhd" add_file -vhdl -lib work "lvl1_buffer_32kW.vhd" +add_file -vhdl -lib work "trigger_interface.vhd" +add_file -vhdl -lib work "small_1kW.vhd" +add_file -vhdl -lib work "data_address_to_sdram_fifo.vhd" +add_file -vhdl -lib work "fifo_from_sdram.vhd" + #######cts first level components #1 second level #2-- ....########### #0 diff --git a/trb_v2b_fpga_tb.vhd b/trb_v2b_fpga_tb.vhd index 233984d..394b411 100644 --- a/trb_v2b_fpga_tb.vhd +++ b/trb_v2b_fpga_tb.vhd @@ -11,7 +11,8 @@ END trb_v2b_fpga_tb; --simulate at lest for 100 us ARCHITECTURE behavior OF trb_v2b_fpga_tb IS - component trb_v2b_fpga +--component trb_v2b_fpga + component trb_v2b_fpga_simple_cts --tof port ( VIRT_CLK : in std_logic; VIRT_CLKB : in std_logic; @@ -164,6 +165,8 @@ ARCHITECTURE behavior OF trb_v2b_fpga_tb IS SFP_LOS : in std_logic; SFP_TX_DIS : out std_logic; SFP_TX_FAULT : in std_logic; + SCL : inout std_logic; + SDA : inout std_logic; ADO_LVDS_IN : in std_logic_vector(51 downto 0); ADO_LVDS_OUT : out std_logic_vector(9 downto 0); ADDON_TO_TRB_CLKINN : in std_logic; @@ -176,7 +179,9 @@ ARCHITECTURE behavior OF trb_v2b_fpga_tb IS VIRT_TMS : out std_logic; VIRT_TRST : out std_logic); end component; - component trb_v2b_fpga_endpoint + +-- component trb_v2b_fpga_endpoint + component trb_v2b_fpga_simple_endpoint --tof port ( VIRT_CLK : in std_logic; VIRT_CLKB : in std_logic; @@ -333,6 +338,8 @@ ARCHITECTURE behavior OF trb_v2b_fpga_tb IS ADO_LVDS_OUT : out std_logic_vector(9 downto 0); ADDON_TO_TRB_CLKINN : in std_logic; ADDON_TO_TRB_CLKINP : in std_logic; + SCL : inout std_logic; + SDA : inout std_logic; ADO_TTL : inout std_logic_vector(46 downto 0); -- ADO_TTL : inout std_logic_vector(15 downto 0); VIRT_TCK : out std_logic; @@ -516,6 +523,8 @@ ARCHITECTURE behavior OF trb_v2b_fpga_tb IS signal CTS_VIRT_TRST : std_logic; signal CTS_ADDON_TO_TRB_CLKINN : std_logic; signal CTS_ADDON_TO_TRB_CLKINP : std_logic; + signal CTS_SDA : std_logic; + signal CTS_SCL : std_logic; ------------------------------------------------------------------------------- -- trb signals ------------------------------------------------------------------------------- @@ -681,7 +690,8 @@ ARCHITECTURE behavior OF trb_v2b_fpga_tb IS signal VIRT_TRST : std_logic; signal ADDON_TO_TRB_CLKINN : std_logic; signal ADDON_TO_TRB_CLKINP : std_logic; - + signal SCL : std_logic; + signal SDA : std_logic; signal test_synch_00 : std_logic; signal dtu_clk : std_logic; @@ -707,8 +717,11 @@ ARCHITECTURE behavior OF trb_v2b_fpga_tb IS signal end_data : std_logic_vector(31 downto 0); signal etrax_busy_counter : std_logic_vector(15 downto 0); signal etrax_busy : std_logic; + signal sfp_counter : std_logic_vector(7 downto 0):=x"00"; BEGIN - trb_cts: trb_v2b_fpga + + trb_cts: trb_v2b_fpga_simple_cts --tof + --trb_cts: trb_v2b_fpga port map ( VIRT_CLK => VIRT_CLK, VIRT_CLKB => VIRT_CLKB, @@ -861,7 +874,9 @@ BEGIN SFP_LOS => CTS_SFP_LOS, SFP_TX_DIS => CTS_SFP_TX_DIS, SFP_TX_FAULT => CTS_SFP_TX_FAULT, - ADO_LVDS_OUT => CTS_ADO_LVDS_OUT, + SDA => CTS_SDA, + SCL => CTS_SCL, + ADO_LVDS_OUT => CTS_ADO_LVDS_OUT, ADO_LVDS_IN => CTS_ADO_LVDS_IN, ADO_TTL => CTS_ADO_TTL, ADDON_TO_TRB_CLKINN => CTS_ADDON_TO_TRB_CLKINN, @@ -872,7 +887,8 @@ BEGIN VIRT_TMS => CTS_VIRT_TMS, VIRT_TRST => CTS_VIRT_TRST); - trb_end: trb_v2b_fpga_endpoint + trb_end: trb_v2b_fpga_simple_endpoint +-- trb_end: trb_v2b_fpga_endpoint port map ( VIRT_CLK => VIRT_CLK, VIRT_CLKB => VIRT_CLKB, @@ -1025,6 +1041,8 @@ BEGIN SFP_LOS => SFP_LOS, SFP_TX_DIS => SFP_TX_DIS, SFP_TX_FAULT => SFP_TX_FAULT, + SDA => SDA, + SCL => SCL, ADO_LVDS_OUT => ADO_LVDS_OUT, ADO_LVDS_IN => ADO_LVDS_IN, ADO_TTL => ADO_TTL, @@ -1316,11 +1334,54 @@ BEGIN wait for 100 ns; CTS_FS_PC(17) <= '0'; + --------------------------------------------------------------------------- + -- writing register - test vulom registers + --------------------------------------------------------------------------- + rw_mode <= x"0005"; + address <= x"000000c1"; + data <= x"5555aaaa"; + CTS_FS_PC(17) <= '0'; + CTS_FS_PC(16) <= '0'; + wait for 1000 ns; + for rw_mode_counter in 0 to 15 loop + wait for 100 ns; + CTS_FS_PC(17) <= '0'; + CTS_FS_PC(16) <= rw_mode(rw_mode_counter); + wait for 100 ns; + CTS_FS_PC(17) <= '1'; + CTS_FS_PC(16) <= rw_mode(rw_mode_counter); + end loop; + wait for 300 ns; + for address_counter in 0 to 31 loop + wait for 100 ns; + CTS_FS_PC(17) <= '0'; + CTS_FS_PC(16) <= address(address_counter); + wait for 100 ns; + CTS_FS_PC(17) <= '1'; + CTS_FS_PC(16) <= address(address_counter); + end loop; + wait for 300 ns; + for data_counter in 0 to 31 loop + wait for 100 ns; + CTS_FS_PC(17) <= '0'; + CTS_FS_PC(16) <= data(data_counter); + wait for 100 ns; + CTS_FS_PC(17) <= '1'; + CTS_FS_PC(16) <= data(data_counter); + end loop; + wait for 300 ns; + CTS_FS_PC(17) <= '0'; + CTS_FS_PC(16) <= '0'; + wait for 400 ns; + CTS_FS_PC(17) <= '1'; + wait for 100 ns; + CTS_FS_PC(17) <= '0'; + --------------------------------------------------------------------------- -- reading register --------------------------------------------------------------------------- - rw_mode <= x"8000"; - address <= x"000000c0"; + rw_mode <= x"8005"; + address <= x"000000c1"; data <= x"f000f00f"; CTS_FS_PC(17) <= '0'; CTS_FS_PC(16) <= '0'; @@ -1358,6 +1419,8 @@ BEGIN wait for 100 ns; CTS_FS_PC(17) <= '0'; + + wait for 300 ns; for data_to_etrax_counter in 0 to 31 loop @@ -1371,9 +1434,22 @@ BEGIN end process etrax_int_test; - - - + +-- SFP_INT: process +-- begin + CTS_SDA <= '0'; +-- for clock_counter in 0 to 8 loop +-- wait on VIRT_CLK; +-- end loop; +-- SDA <= '0'; +-- wait on VIRT_CLK;; + +-- for clock_counter in 0 to 8 loop +-- wait on VIRT_CLK; +-- end loop; + +-- end process SFP_INT; + clock_gclk : process begin VIRT_CLK <= '0'; @@ -1486,8 +1562,8 @@ BEGIN ----------------------------------------------------------------------- -- TDC and etrax readout ----------------------------------------------------------------------- - TDC_OUT <= tdc_data_i;--x"00000000";--tdc_data_i; - + TDC_OUT <= tdc_data_i; + TDC_DATA_CHANGE: process(REF_TDC_CLK, RESET_VIRT) begin if rising_edge(REF_TDC_CLK) then @@ -1511,134 +1587,163 @@ BEGIN wait for 12.5 ns; end loop; end process; + trigger_lvl1 : process variable valid_time : integer :=0; begin --- ADO_TTL(7) <= '0'; A_DATA_READY <= '0'; B_DATA_READY <= '0'; C_DATA_READY <= '0'; D_DATA_READY <= '0'; TOKEN_IN <= '0'; - -- A_TEMP <= '0'; --- wait for 50 ns; --- A_TEMP <= '1'; --- wait for 10 ns; --- A_TEMP <= '0'; --- wait for 10 ns; wait on REF_TDC_CLK until TOKEN_OUT = '1'; wait on REF_TDC_CLK until TOKEN_OUT = '0'; wait for 10 ns; --- ADO_TTL(7) <= '0'; A_DATA_READY <= '1'; --- wait for 50 ns; - wait for ((valid_time mod 2)*50*3+2*25)*ns; + wait for ((valid_time mod 2)*50*4+2*25)*ns; A_DATA_READY <= '0'; B_DATA_READY <= '1'; --- wait for 50 ns; wait for ((valid_time mod 3 )*50*2+2*25)*ns; B_DATA_READY <= '0'; C_DATA_READY <= '1'; --- wait for 50 ns; - wait for ((valid_time mod 5 )*50+2*25)*ns; --- ADO_TTL(7) <= '0'; + wait for ((valid_time mod 4 )*50+2*25)*ns; C_DATA_READY <= '0'; D_DATA_READY <= '1'; - --wait for 50 ns; wait for ((valid_time mod 7 )*50+2*25)*ns; D_DATA_READY <= '0'; wait for 0 ns; --- ADO_TTL(6) <= '1'; TOKEN_IN <= '1'; wait for 50 ns; --- ADO_TTL(6) <= '0'; TOKEN_IN <= '0'; - -- wait on REF_TDC_CLK until DBAD = '0'; valid_time := valid_time*3/2 +1; end process; - ETRAX_HAS_NO_DMA_CTS: if ENABLE_DMA = 2 generate + ETRAX_HAS_NO_DMA: if ENABLE_DMA = 2 generate ETRAX_BUSY_PROC: process variable etrax_busy_time : integer :=0; - begin -- process ETRAX_BUSY - CTS_FS_PB_17 <= '0'; - wait on VIRT_CLK until CTS_FS_PB(16) ='1'; + begin + FS_PB_17 <= '0'; + wait on VIRT_CLK until FS_PB(16) ='1'; wait for 20 ns; - CTS_FS_PB_17 <= '1'; + FS_PB_17 <= '1'; wait for 80 ns; wait on VIRT_CLK until etrax_busy ='0'; etrax_busy_time := etrax_busy_time*3/2 +1; end process ETRAX_BUSY_PROC; - end generate ETRAX_HAS_NO_DMA_CTS; + end generate ETRAX_HAS_NO_DMA; - ETRAX_HAS_DMA_CTS: if ENABLE_DMA = 1 generate + ETRAX_HAS_DMA: if ENABLE_DMA = 1 generate ETRAX_BUSY_PROC: process variable etrax_busy_time : integer :=0; - begin -- process ETRAX_BUSY - CTS_FS_PB_17 <= '0'; - wait on VIRT_CLK until CTS_FS_PB(16) ='1'; + begin + FS_PB_17 <= '0'; + wait on VIRT_CLK until FS_PB(16) ='1'; wait for 20 ns; - CTS_FS_PB_17 <= '1'; + FS_PB_17 <= '1'; wait for 680 ns; etrax_busy_time := etrax_busy_time*3/2 +1; end process ETRAX_BUSY_PROC; - end generate ETRAX_HAS_DMA_CTS; + end generate ETRAX_HAS_DMA; - ETRAX_HAS_NO_DMA: if ENABLE_DMA = 2 generate - ETRAX_BUSY_PROC: process - variable etrax_busy_time : integer :=0; - begin -- process ETRAX_BUSY - FS_PB_17 <= '0'; + WAIT_FOR_TRANSFER_END: process + variable wait_int : integer range 0 to 65535; + begin + etrax_busy <= '0'; wait on VIRT_CLK until FS_PB(16) ='1'; + etrax_busy <= '1'; + wait for 37*10 ns; + end process WAIT_FOR_TRANSFER_END; + + ----------------------------------------------------------------------- + -- TDC and etrax readout + ----------------------------------------------------------------------- + CTS_TDC_OUT <= tdc_data_i;--x"00000000";--tdc_data_i; + cts_clock_tdcclk : process + begin + wait for 12 ns; + loop + CTS_REF_TDC_CLK <= '0'; + CTS_REF_TDC_CLKB <= '1'; + wait for 12.5 ns; + CTS_REF_TDC_CLK <= '1'; + CTS_REF_TDC_CLKB <= '0'; + wait for 12.5 ns; + end loop; + end process; + + cts_trigger_lvl1 : process + variable valid_time : integer :=0; + begin + CTS_A_DATA_READY <= '0'; + CTS_B_DATA_READY <= '0'; + CTS_C_DATA_READY <= '0'; + CTS_D_DATA_READY <= '0'; + CTS_TOKEN_IN <= '0'; + wait on CTS_REF_TDC_CLK until CTS_TOKEN_OUT = '1'; + wait on CTS_REF_TDC_CLK until CTS_TOKEN_OUT = '0'; + wait for 10 ns; + CTS_A_DATA_READY <= '1'; + wait for ((valid_time mod 2)*50*3+2*25)*ns; + CTS_A_DATA_READY <= '0'; + CTS_B_DATA_READY <= '1'; + wait for ((valid_time mod 3 )*50*2+2*25)*ns; + CTS_B_DATA_READY <= '0'; + CTS_C_DATA_READY <= '1'; + wait for ((valid_time mod 5 )*50+2*25)*ns; + CTS_C_DATA_READY <= '0'; + CTS_D_DATA_READY <= '1'; + wait for ((valid_time mod 7 )*50+2*25)*ns; + CTS_D_DATA_READY <= '0'; + wait for 0 ns; + CTS_TOKEN_IN <= '1'; + wait for 50 ns; + CTS_TOKEN_IN <= '0'; + valid_time := valid_time*3/2 +1; + end process; + + CTS_ETRAX_HAS_NO_DMA_CTS: if ENABLE_DMA = 2 generate + CTS_ETRAX_BUSY_PROC: process + variable etrax_busy_time : integer :=0; + begin + CTS_FS_PB_17 <= '0'; + wait on VIRT_CLK until CTS_FS_PB(16) ='1'; wait for 20 ns; - FS_PB_17 <= '1'; + CTS_FS_PB_17 <= '1'; wait for 80 ns; wait on VIRT_CLK until etrax_busy ='0'; etrax_busy_time := etrax_busy_time*3/2 +1; - end process ETRAX_BUSY_PROC; + end process CTS_ETRAX_BUSY_PROC; - end generate ETRAX_HAS_NO_DMA; + end generate CTS_ETRAX_HAS_NO_DMA_CTS; - ETRAX_HAS_DMA: if ENABLE_DMA = 1 generate - ETRAX_BUSY_PROC: process + CTS_ETRAX_HAS_DMA_CTS: if ENABLE_DMA = 1 generate + CTS_ETRAX_BUSY_PROC: process variable etrax_busy_time : integer :=0; - begin -- process ETRAX_BUSY - FS_PB_17 <= '0'; - wait on VIRT_CLK until FS_PB(16) ='1'; + begin + CTS_FS_PB_17 <= '0'; + wait on VIRT_CLK until CTS_FS_PB(16) ='1'; wait for 20 ns; - FS_PB_17 <= '1'; + CTS_FS_PB_17 <= '1'; wait for 680 ns; etrax_busy_time := etrax_busy_time*3/2 +1; - end process ETRAX_BUSY_PROC; + end process CTS_ETRAX_BUSY_PROC; - end generate ETRAX_HAS_DMA; + end generate CTS_ETRAX_HAS_DMA_CTS; + + - WAIT_FOR_TRANSFER_END: process + CTS_WAIT_FOR_TRANSFER_END: process variable wait_int : integer range 0 to 65535; begin etrax_busy <= '0'; wait on VIRT_CLK until CTS_FS_PB(16) ='1'; etrax_busy <= '1'; --- etrax_busy_counter <= CTS_FS_PC(15 downto 0); --- wait for to_integer(unsigned(etrax_busy_counter(15 downto 0)))*10 ns+ 40 ns; wait for 37*10 ns; - end process WAIT_FOR_TRANSFER_END; --- trigger_lvl2 : process --- begin --- B_TEMP <= '0'; --- C_TEMP <= '0'; --- wait until DBAD = '1'; --- wait until DBAD = '0'; --- wait for 30 ns; --- wait on VIRT_CLK until DGOOD = '0'; --- B_TEMP <= '0'; --- C_TEMP <= '1'; --- wait for 30 ns; --- end process; + end process CTS_WAIT_FOR_TRANSFER_END; ----------------------------------------------------------------------- -- DTU diff --git a/trigger_logic.vhd b/trigger_logic.vhd index eb0140b..48c5dfe 100755 --- a/trigger_logic.vhd +++ b/trigger_logic.vhd @@ -1,369 +1,520 @@ library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_ARITH.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; -use IEEE.NUMERIC_STD.all; +use IEEE.STD_LOGIC_UNSIGNED.all; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; ---use work.support.all; - library UNISIM; - use UNISIM.VCOMPONENTS.all; - --- -- Uncomment the following lines to use the declarations that are --- -- provided for instantiating Xilinx primitive components. --- library UNISIM; --- use UNISIM.VComponents.all; +library work; +use work.all; +use ieee.std_logic_arith.all; entity trigger_logic is - - port ( - CLK : in std_logic; - TDC_CLK : in std_logic; - RESET : in std_logic; - LVL1_TRIGGER_CODE : in std_logic_vector(3 downto 0); - LVL1_TRIGGER_TAG : in std_logic_vector(7 downto 0); - TDC_CODE : out std_logic_vector(3 downto 0); - TDC_TAG : out std_logic_vector(7 downto 0); - LVL2_TRIGGER : in std_logic_vector(1 downto 0); --0 trigger, 1 value - LVL2_TRIGGER_CODE : in std_logic_vector(3 downto 0); - LVL1_TRIGGER : in std_logic; - LVL1_TDC_TRIGG : out std_logic; - LVL2_TDC_TRIGG : out std_logic_vector(1 downto 0); - TRIGG_WITHOUT_HADES : in std_logic; - TDC_CONTROL_REGISTER : in std_logic_vector(7 downto 0); - DELAY_TRIGGER : in std_logic_vector(7 downto 0); - LVL1_BUSY : in std_logic; - LVL2_BUSY : in std_logic; - TRB_ACK_LVL1 : out std_logic; - TRB_ACK_LVL2 : out std_logic; - TRIGGER_TO_TDC : out std_logic; - TRIGGER_REGISTER_00 : out std_logic_vector(31 downto 0); - LVL2_BUSY_ALL : in std_logic + generic ( + TRIGGER_INPUTS_NUMBER : natural :=51 ); + port ( + clk_50MHz : in std_logic; + clk_300MHz : in std_logic; + CLK : in std_logic; + RESET : in std_logic; + TRIGGER_IN : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0); + SIGNAL_IN : in std_logic_vector(7 downto 0); + SIGNAL_OUT : out std_logic_vector(15 downto 0); + INPUT_ENABLE : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0); + DOWNSCALE_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0); + DELAY_TRIGGER_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0); + WIDTH_REGISTER : in std_logic_vector((4*(TRIGGER_INPUTS_NUMBER)-1) downto 0); + TS_GATING_DISABLE : in std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0); + MDC_TOF_GATING_DISABLE : in std_logic_vector((TRIGGER_INPUTS_NUMBER-1) downto 0); + DOWNSCALE_REGISTER_CLOCK : in std_logic_vector(3 downto 0); + TRIGGER_OUT_EN : in std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0); + MULTIPLEXER_SELECT : in std_logic_vector(8*3-1 downto 0); + DELAY_TRIGGER_TO_SAVE : in std_logic_vector(2*8-1 downto 0); + LENGTH_TRIGGER_TO_SAVE : in std_logic_vector(2*8-1 downto 0); + LVL1_TIMING_OUT : out std_logic; + SCALER_OUT : out std_logic_vector((TRIGGER_INPUTS_NUMBER*32-1) downto 0); + DTU_CODE_SELECT : in std_logic_vector(4 downto 0); + --trigger interface to CTS + LVL1_BUSY_IN : in std_logic; + LVL1_TRIGGER_TAG : out std_logic_vector(15 downto 0); + LVL1_TRIGGER_CODE : out std_logic_vector(3 downto 0); + LVL1_TRIGGER_OUT : out std_logic; + TRIGGER_LOGIC_DEBUG : out std_logic_vector(31 downto 0) + ); end trigger_logic; architecture trigger_logic of trigger_logic is - component edge_to_pulse + + component up_down_counter + generic ( + NUMBER_OF_BITS : positive); port ( - clock : in std_logic; - en_clk : in std_logic; - signal_in : in std_logic; - pulse : out std_logic); - end component; - component up_counter_17bit - port ( - QOUT : out std_logic_vector(16 downto 0); - UP : in std_logic; - CLK : in std_logic; - CLR : in std_logic); - end component; - - type DELAY_FSM_TRIGG is - (IDLE ,DELAY_1,DELAY_2,DELAY_3,DELAY_4,DELAY_5); - signal delay_fsm_currentstate, delay_fsm_nextstate: DELAY_FSM_TRIGG; - type LVL1_START_FSM is - (IDLE, SEND_LVL1_TRIGG_1, SEND_LVL1_TRIGG_2, SEND_LVL1_TRIGG_3, SEND_LVL1_TRIGG_4, WAIT_FOR_ACK); - signal LVL1_START_fsm_currentstate, LVL1_START_fsm_nextstate : LVL1_START_FSM; - type ACK_LVL1_STATE_MACHINE is - (IDLE, ACK_LVL1_PULSE_1, ACK_LVL1_CHECK_COMPLETION); - signal ACK_LVL1_STATE : ACK_LVL1_STATE_MACHINE; - type LVL2_START_FSM is - (IDLE, SEND_LVL2_TRIGG_1, SEND_LVL2_TRIGG_2, WAIT_FOR_ACK); - signal LVL2_START_fsm_currentstate,LVL2_START_fsm_nextstate : LVL2_START_FSM; - type ACK_LVL2_STATE_MACHINE is - (IDLE, ACK_LVL2_PULSE_1, ACK_LVL2_CHECK_COMPLETION); - signal ACK_LVL2_STATE : ACK_LVL2_STATE_MACHINE; - signal lvl1_trigger_pulse : std_logic; - signal lvl2_trigger_pulse : std_logic; - signal lvl1_tag_reg : std_logic_vector(7 downto 0); - signal lvl1_code_reg : std_logic_vector(3 downto 0); - signal delay_up : std_logic; - signal delay_clr : std_logic; - signal delay_qout : std_logic_vector(16 downto 0); - signal lvl1_trigger_pulse_start : std_logic; - signal lvl1_trigger_pulse_delay : std_logic; - signal lvl1_tdc_trigg_i : std_logic; - signal not_tdc_clk : std_logic; - signal trigger_register_00_i : std_logic_vector(31 downto 0); + CLK : in std_logic; + RESET : in std_logic; + COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0); + UP_IN : in std_logic; + DOWN_IN : in std_logic); + end component; + + component multiplexer + port ( + RESET : in std_logic; + CLK : in std_logic; + MULTIPLEXER_OUT : out std_logic; + MULTIPLEXER_IN : in std_logic_vector(7 downto 0); + MULTIPLEXER_SELECT : in std_logic_vector(2 downto 0) + ); + end component; + + component one_clock_long port ( + clk : in std_logic; + en_clk : in std_logic; + signal_in : in std_logic; + pulse : out std_logic + ); + end component; + + component delay port ( + clk : in std_logic; + to_be_delayed : in std_logic; + delay_value : in std_logic_vector(3 downto 0); + delayed_pulse : out std_logic + ); + end component; + + component downscale port ( + CLK : in std_logic; + disable : in std_logic; + to_be_downscaled : in std_logic; + downscale_value : in std_logic_vector(3 downto 0); + downscaled : out std_logic + ); + end component; + + component set_width port ( + clk : in std_logic; + to_be_set : in std_logic; + width_value : in std_logic_vector(3 downto 0); + width_adjusted_pulse : out std_logic); + end component; + component set_width_longer port ( + clk : in std_logic; + to_be_set : in std_logic; + width_value : in std_logic_vector(4 downto 0); + width_adjusted_pulse : out std_logic); + end component; + + component set_width_special port ( + clk : in std_logic; + to_be_set : in std_logic; + width_value : in std_logic_vector(3 downto 0); + width_adjusted_pulse : out std_logic); + end component; + + component scaler port ( + clk : in std_logic; + input_pulse : in std_logic; + scaler_reset : in std_logic; + scaler_value : out std_logic_vector(19 downto 0)); + end component; + + component scaler_s port ( + clk_300mhz : in std_logic; + clk_100mhz : in std_logic; + input_pulse : in std_logic; + scaler_reset : in std_logic; + scaler_value : out std_logic_vector(31 downto 0)); + end component; + + component new_downscale_ck + port( + downscale_value : in std_logic_vector(3 downto 0); + clk : in std_logic; + downscaled : out std_logic; + output_disable : in std_logic; + global_inhibit : in std_logic; + scaler_reset : out std_logic; + cal_inhibit : out std_logic; + cal_trigger : out std_logic + ); + end component; + + component beam_ramp + port( + clk_300mhz : in std_logic; + clk_50mhz : in std_logic; + input : in std_logic; + frequency_value : std_logic_vector(15 downto 0); + delay_value : in std_logic_vector(7 downto 0); + width_value_inhibit : in std_logic_vector(7 downto 0); + width_value_external : in std_logic_vector(7 downto 0); + output_inhibit : out std_logic; + output_external : out std_logic; + beam_ramp_debug : out std_logic_vector(31 downto 0) + ); + end component; + + component multiplicyty + port ( + RESET : in std_logic; + CLK : in std_logic; + SIGNAL_IN : in std_logic_vector(5 downto 0); + SIGNAL_OUT : out std_logic_vector(7 downto 0) + ); + end component; + + component delay_pulse_module + port ( + CLK : in std_logic; + SIGNAL_IN : in std_logic; + SIGNAL_OUT : out std_logic; + DELAY : in std_logic_vector(7 downto 0); + LENGTH : in std_logic_vector(7 downto 0)); + end component; + + signal pti_one_clock_in, pti_delayed_in, pti_sclaer_in, pti_ready_in, pti_downscaled_in, pti_set_width_in , pti_and_gts_in : std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0); + signal pti_one_clock_out, pti_delayed_out, pti_ready_out, pti_downscaled_out, pti_set_width_out, pti_and_gts_out : std_logic_vector(TRIGGER_INPUTS_NUMBER-1 downto 0); + signal start_veto_antycoincidence : std_logic; + signal cal_inhibit, cal_trigger, out_inhibit, out_inhibit_without_hv_ramp, beam_inhibit, bus_inhibit : std_logic; + signal global_timing_signal_out, or_out : std_logic; + signal tof_multiplicity : std_logic_vector(7 downto 0); + signal tof_multiplicity_all : std_logic; + signal tof_mdc_trigger : std_logic; + signal eight_mulitplexers_in : std_logic_vector((8*8-1) downto 0); + signal saved_latches : std_logic_vector(50 downto 0); + signal triggers_to_delay : std_logic_vector(63 downto 0); + signal data_to_send_delayed : std_logic_vector(63 downto 0); + signal data_to_send : std_logic_vector(16*32 downto 0); + signal reset_scalers : std_logic; + type LVL1_TEST is (IDLE, LVL1_A, LVL1_B, LVL1_C); + signal LVL1_CURRENT, LVL1_NEXT : LVL1_TEST; + signal lvl1_trigger_tag_i : std_logic_vector(15 downto 0); + signal lvl1_trigger_code_i : std_logic_vector(3 downto 0); + signal lvl1_trigger_fsm : std_logic; + signal lvl1_busy_fsm : std_logic; + signal data_valid_fsm : std_logic; + signal data_out_fsm : std_logic_vector(31 downto 0); + signal lvl1_busy_end_fsm : std_logic; + signal lvl1_trigger_debug_fsm : std_logic_vector(3 downto 0); + signal lvl1_trigger : std_logic; + signal lvl1_busy : std_logic; + signal data_valid : std_logic; + signal data_out : std_logic_vector(31 downto 0); + signal lvl1_busy_end : std_logic; + signal lvl1_trigger_debug : std_logic_vector(3 downto 0); begin - TRIGGER_REGISTER_00 <= trigger_register_00_i; - ----------------------------------------------------------------------------- - -- LVL1 trigger logic - ----------------------------------------------------------------------------- --- resetTDCBunchCounter <= '1'; --remember to reset after each LVL1 --- busy or just in the begginning ?! --- resetTDCEventCounter <= '1'; --rememebr to reset at the beggining, ------------------------------------------------------------------------------ - LVL1_START_PULSER : edge_to_pulse - port map ( - clock => CLK, - en_clk => '1', - signal_in => LVL1_TRIGGER, - pulse => lvl1_trigger_pulse); - ----------------------------------------------------------------------------- - -- delay for trigger deliverd to TDC - ----------------------------------------------------------------------------- - DELAY_COUNTER : up_counter_17bit + -- TRIGGER_IN + -- 7:0 TS + -- 15:8 Veto + -- 21:16 TOF + -- 27:22 RPC + -- 33:28 MDC not used curently + -- 41:34 TOF Multiplicicty + -- 42 TOF & MDC - not used currently + -- 50:43 Physical triggers + -- + pti_one_clock_in(33 downto 0) <= TRIGGER_IN(33 downto 0); + pti_one_clock_in(41 downto 34) <= tof_multiplicity; + pti_one_clock_in(42) <= tof_mdc_trigger; + pti_one_clock_in(TRIGGER_INPUTS_NUMBER-1 downto 43) <= TRIGGER_IN( (TRIGGER_INPUTS_NUMBER-43)+34-1 downto 34); + + multiplicyty_1: multiplicyty port map ( - CLK => CLK, - UP => delay_up, - CLR => delay_clr, - QOUT => delay_qout + RESET => RESET, + CLK => CLK, + SIGNAL_IN => TRIGGER_IN(21 downto 16), --TOFin + SIGNAL_OUT => tof_multiplicity); + +--ONE CLOCK LONG + GENERATE_ONE_CLOCK_LONG : for i in 0 to TRIGGER_INPUTS_NUMBER -1 generate + THE_ONE_CLOCK : ONE_CLOCK_LONG port map ( + clk => clk_300MHz, + en_clk => INPUT_ENABLE(i), + signal_in => pti_one_clock_in(i), + pulse => pti_one_clock_out(i)); + end generate GENERATE_ONE_CLOCK_LONG; + + pti_delayed_in <= pti_one_clock_out; +--DELAYS + GENERATE_DELAY : for i in 0 to TRIGGER_INPUTS_NUMBER - 1 generate + THE_DELAY : DELAY port map ( + clk => clk_300MHz, + to_be_delayed => pti_delayed_in(i), + delay_value => DELAY_TRIGGER_REGISTER((i+1)*4-1 downto i*4), + delayed_pulse => pti_delayed_out(i)); + end generate; + + + pti_downscaled_in(0) <= pti_delayed_out(7) or pti_delayed_out(6) or pti_delayed_out(5) or pti_delayed_out(4) or pti_delayed_out(3) or pti_delayed_out(2) or pti_delayed_out(1) or pti_delayed_out(0); --START + pti_downscaled_in(7 downto 1) <= (others => '0'); + pti_downscaled_in(8) <= pti_delayed_out(15) or pti_delayed_out(14) or pti_delayed_out(13) or pti_delayed_out(12) or pti_delayed_out(11) or pti_delayed_out(10) or pti_delayed_out(9) or pti_delayed_out(8);--VETO + pti_downscaled_in(15 downto 9) <= (others => '0'); + pti_downscaled_in(16) <= pti_delayed_out(16) or pti_delayed_out(22); --TOF or RPC + pti_downscaled_in(17) <= pti_delayed_out(17) or pti_delayed_out(23); --TOF or RPC + pti_downscaled_in(18) <= pti_delayed_out(18) or pti_delayed_out(24); --TOF or RPC + pti_downscaled_in(19) <= pti_delayed_out(19) or pti_delayed_out(25); --TOF or RPC + pti_downscaled_in(20) <= pti_delayed_out(20) or pti_delayed_out(26); --TOF or RPC + pti_downscaled_in(21) <= pti_delayed_out(21) or pti_delayed_out(27); --TOF or RPC + pti_downscaled_in(27 downto 22) <= (others => '0'); + pti_downscaled_in(33 downto 28) <= (others => '0'); --MDC not used currently + pti_downscaled_in(41 downto 34) <= tof_multiplicity; + pti_downscaled_in(42) <= '0'; --TOF MDC not used currently + pti_downscaled_in(TRIGGER_INPUTS_NUMBER-1 downto 43) <= pti_delayed_out(TRIGGER_INPUTS_NUMBER-1 downto 43); + + +--SCALERS + GENERATE_SCALERS : for i in 0 to TRIGGER_INPUTS_NUMBER -1 generate + TEH_SCALER : SCALER_S port map ( + clk_300MHz => clk_300MHz, + clk_100MHz => CLK, --PTI1÷5 before inhibit + input_pulse => pti_downscaled_in(i), + scaler_reset => reset_scalers, + scaler_value => SCALER_OUT(32*(i+1)-1 downto 32*i) ); - DELAY_FSM_CLOCK : process (CLK, RESET) - begin -- process DELAY_FSM_CLOCK - if rising_edge(CLK) then - if RESET = '1' then - delay_fsm_currentstate <= IDLE; - else - delay_fsm_currentstate <= delay_fsm_nextstate; - end if; - end if; - end process DELAY_FSM_CLOCK; - -- purpose: delay trigger whitch is sending to TDC - TO_DELAY_TRIGG : process (CLK,lvl1_trigger_pulse,delay_qout,DELAY_TRIGGER) - begin -- process TO_DELAY_TRIGG - case (delay_fsm_currentstate) is - when IDLE => - trigger_register_00_i(1 downto 0) <= "00"; - lvl1_trigger_pulse_delay <= '0'; - delay_clr <= '1'; - delay_up <= '0'; - if lvl1_trigger_pulse = '1' then - delay_fsm_nextstate <= DELAY_1; - else - delay_fsm_nextstate <= IDLE; + + end generate GENERATE_SCALERS; + +---DOWNSCALE + GENERATE_DOWNSCALERS : for i in 0 to TRIGGER_INPUTS_NUMBER -1 generate + THE_DOWNSCALER : DOWNSCALE port map ( + clk => clk_300MHz, + disable => out_inhibit, + to_be_downscaled => pti_downscaled_in(i), + downscale_value => DOWNSCALE_REGISTER((i+1)*4-1 downto i*4), + downscaled => pti_downscaled_out(i)); + end generate GENERATE_DOWNSCALERS; + + pti_set_width_in(TRIGGER_INPUTS_NUMBER-1 downto 1) <= pti_downscaled_out (TRIGGER_INPUTS_NUMBER-1 downto 1); + +--WIDTH + GENERATE_SET_WIDTH : for i in 0 to TRIGGER_INPUTS_NUMBER -1 generate + setw1 : SET_WIDTH port map ( + clk => clk_300MHz, + to_be_set => pti_set_width_in(i), + width_value => WIDTH_REGISTER((i+1)*4-1 downto i*4), + width_adjusted_pulse => pti_set_width_out(i)); + end generate GENERATE_SET_WIDTH; + + start_veto_antycoincidence <= pti_downscaled_in(0) and (not pti_set_width_out(8)); + +-- Inst_new_downscale_ck : new_downscale_ck port map( +-- downscale_value => DOWNSCALE_REGISTER_CLOCK(3 downto 0), +-- clk => clk_300MHz, +-- output_disable => CAL_TRIGGER_DISABLE, +-- scaler_reset => scaler_reset_internal, +-- downscaled => CLOCK_DOWNSCALED, +-- global_inhibit => out_inhibit_without_hv_ramp, +-- cal_inhibit => cal_inhibit, +-- cal_trigger => cal_trigger); + +--MDC and TOF + +-------------- Final AND-OR logic function + pti_and_gts_in <= pti_set_width_out; + + GENERATE_OUT_TRIGGERS : for i in 0 to TRIGGER_INPUTS_NUMBER -1 generate + TS_V_SIGNALS: if i<2 generate + pti_and_gts_and_mdc_tof_out : process(clk_300MHz) + begin + if rising_edge(clk_300MHz) then + pti_and_gts_out(i) <= pti_and_gts_in(i) and TRIGGER_OUT_EN(i); end if; - when DELAY_1 => - trigger_register_00_i(1 downto 0) <= "01"; - lvl1_trigger_pulse_delay <= '0'; - delay_clr <= '0'; - delay_up <= '1'; - if delay_qout = DELAY_TRIGGER(7 downto 0) then - delay_fsm_nextstate <= DELAY_2; - else - delay_fsm_nextstate <= DELAY_1; + end process pti_and_gts_and_mdc_tof_out; + end generate TS_V_SIGNALS; + REST_SIGNALS: if i>1 generate + pti_and_gts_and_mdc_tof_out : process(clk_300MHz) + begin + if rising_edge(clk_300MHz) then + pti_and_gts_out(i) <=((pti_and_gts_in(i) and TS_GATING_DISABLE(i) and TRIGGER_OUT_EN(i))) or + ((pti_and_gts_in(i) and (not(TS_GATING_DISABLE(i))) and TRIGGER_OUT_EN(i) and start_veto_antycoincidence)); end if; - when DELAY_2 => - trigger_register_00_i(1 downto 0) <= "10"; - lvl1_trigger_pulse_delay <= '1'; - delay_clr <= '1'; - delay_up <= '0'; - delay_fsm_nextstate <= IDLE; - when others => - trigger_register_00_i(1 downto 0) <= "11"; - lvl1_trigger_pulse_delay <= '0'; - delay_clr <= '1'; - delay_up <= '0'; - delay_fsm_nextstate <= IDLE; - end case; - end process TO_DELAY_TRIGG; - lvl1_trigger_pulse_start <= lvl1_trigger_pulse when DELAY_TRIGGER = x"00" else lvl1_trigger_pulse_delay; - SAVE_LVL1_TRIGG_VALUES : process (CLK, RESET,lvl1_trigger_pulse) + end process pti_and_gts_and_mdc_tof_out; + end generate REST_SIGNALS; + end generate GENERATE_OUT_TRIGGERS; + + TRIGGER_OUT_OR : process (clk_300MHz, reset) begin - if rising_edge(CLK) then - if RESET = '1' then - lvl1_tag_reg <= (others => '0'); - lvl1_code_reg <= (others => '0'); - elsif lvl1_trigger_pulse = '1' then - lvl1_tag_reg <= LVL1_TRIGGER_TAG; - lvl1_code_reg <= LVL1_TRIGGER_CODE; + if rising_edge(clk_300MHz) then + if reset = '1' then + or_out <= '0'; + elsif pti_and_gts_out > 0 then + or_out <= '1'; else - lvl1_tag_reg <= lvl1_tag_reg; - lvl1_code_reg <= lvl1_code_reg; + or_out <= '0'; end if; end if; - end process SAVE_LVL1_TRIGG_VALUES; - TDC_TAG <= lvl1_tag_reg; - TDC_CODE <= lvl1_code_reg; - LVL1_START : process (CLK, RESET) - begin - if rising_edge(CLK) then - if RESET = '1' then - LVL1_START_fsm_currentstate <= IDLE; - else - LVL1_START_fsm_currentstate <= LVL1_START_fsm_nextstate; + end process TRIGGER_OUT_OR; + + + global_timing_signal_out <= (or_out and (not out_inhibit) and (not cal_inhibit)); + + out_inhibit <= '0';--SIGNAL_IN(0) or beam_inhibit; + out_inhibit_without_hv_ramp <= '0';-- SIGNAL_IN(0) ; + + SET_WIDTH_OUT : set_width_special port map ( + clk => CLK, + to_be_set => global_timing_signal_out, + width_value => x"6", -- fixed to 20 ns + width_adjusted_pulse => SIGNAL_OUT(15) + ); + +-- INST_BEAM_RAMP : beam_ramp port map( +-- clk_300MHz => clk_300MHz, +-- clk_50MHz => clk_50MHz, +-- input => '0', --lemin_s(1), +-- output_inhibit => beam_inhibit, +-- output_external => TIN(12), +-- frequency_value => FREQUENCY_REGISTER_BEAM, +-- delay_value => DELAY_REGISTER_BEAM, +-- width_value_inhibit => WIDTH_INHIBIT_REGISTER_BEAM, +-- width_value_external => WIDTH_EXTERNAL_REGISTER_BEAM, +-- beam_ramp_debug => beam_ramp_debug); + + +-- SCALER_dead <= SCALER_dead_count; + + +-------------- TOF & MDC logic - not used + +--multiplexing + eight_mulitplexers_in(31 downto 0) <= pti_delayed_out(31 downto 0); + eight_mulitplexers_in(63 downto 32) <= (others => '0'); + + GENERATE_MULTIPLEXERS: for i in 0 to 7 generate + THE_MULTIPLEXER: multiplexer + port map ( + RESET => RESET, + CLK => CLK, + MULTIPLEXER_OUT => SIGNAL_OUT(i), + MULTIPLEXER_IN => eight_mulitplexers_in(((i+1)*8-1)downto(i*8)), + MULTIPLEXER_SELECT => MULTIPLEXER_SELECT(((i+1)*3-1)downto(i*3)) + ); + end generate GENERATE_MULTIPLEXERS; + +--LVL1 TRIGGER and DATA TRANSPORT + + LVL1_TRIGGER_TAG : up_down_counter + generic map ( + NUMBER_OF_BITS => 16) + port map ( + CLK => CLK, + RESET => RESET, + COUNT_OUT => lvl1_trigger_tag_i, + UP_IN => global_timing_signal_out, + DOWN_IN => '0'); + + --lvl1_trigger <= GTS_to_databus or cal_trigger; + + DTU_CODE_CHANGE : process (CLK) + begin -- process DTU_CODE_CHANGE + if rising_edge (CLK) then + if DTU_CODE_SELECT(4) = '0' then + lvl1_trigger_code_i <= x"1"; + else + lvl1_trigger_code_i <= DTU_CODE_SELECT(3 downto 0); end if; end if; - end process LVL1_START; - LVL1_START_FSM_PROC : process (LVL1_START_fsm_currentstate, CLK,lvl1_trigger_pulse_start,ACK_LVL1_STATE,TRIGG_WITHOUT_HADES,TDC_CONTROL_REGISTER,LVL1_BUSY,LVL2_BUSY) - begin - case (LVL1_START_fsm_currentstate) is - when IDLE => - trigger_register_00_i(4 downto 2) <= "000"; - lvl1_tdc_trigg_i <= '0'; - if (lvl1_trigger_pulse_start = '1' and LVL1_TRIGGER_CODE /= x"d") or - (TRIGG_WITHOUT_HADES ='1' and TDC_CONTROL_REGISTER(0) = '1') then - LVL1_START_fsm_nextstate <= SEND_LVL1_TRIGG_1; - else - LVL1_START_fsm_nextstate <= IDLE; - end if; - when SEND_LVL1_TRIGG_1 => --4 clock of 100MHz - to generate token - trigger_register_00_i(4 downto 2) <= "001"; - lvl1_tdc_trigg_i <= '1'; - LVL1_START_fsm_nextstate <= SEND_LVL1_TRIGG_2; - when SEND_LVL1_TRIGG_2 => - trigger_register_00_i(4 downto 2) <= "010"; - lvl1_tdc_trigg_i <= '1'; - LVL1_START_fsm_nextstate <= SEND_LVL1_TRIGG_3; - when SEND_LVL1_TRIGG_3 => - trigger_register_00_i(4 downto 2) <= "011"; - lvl1_tdc_trigg_i <= '1'; - LVL1_START_fsm_nextstate <= SEND_LVL1_TRIGG_4; - when SEND_LVL1_TRIGG_4 => - trigger_register_00_i(4 downto 2) <= "100"; - lvl1_tdc_trigg_i <= '0'; - LVL1_START_fsm_nextstate <= WAIT_FOR_ACK; - when WAIT_FOR_ACK => - trigger_register_00_i(4 downto 2) <= "101"; - lvl1_tdc_trigg_i <= '0'; - if ACK_LVL1_STATE = ACK_LVL1_pulse_1 then - LVL1_START_fsm_nextstate <= IDLE; - else - LVL1_START_fsm_nextstate <= WAIT_FOR_ACK; - end if; - when others => - trigger_register_00_i(4 downto 2) <= "111"; - LVL1_START_fsm_nextstate <= IDLE; - lvl1_tdc_trigg_i <= '0'; - end case; - end process LVL1_START_FSM_PROC; - LVL1_TDC_TRIGG <= lvl1_tdc_trigg_i; - ACK_LVL1_NEXT_STATE_DECODE : process (CLK, RESET, ACK_LVL1_STATE,LVL1_BUSY,lvl1_trigger_pulse_start) + end process DTU_CODE_CHANGE; + + + DELAY_DELAYED_SIGNALS_TO_SAVE: for i in 0 to 31 generate + delay_pulse_module_1: delay_pulse_module + port map ( + CLK => CLK, + SIGNAL_IN => triggers_to_delay(i), + SIGNAL_OUT => data_to_send_delayed(i), + DELAY => DELAY_TRIGGER_TO_SAVE(7 downto 0), + LENGTH => LENGTH_TRIGGER_TO_SAVE(7 downto 0)); + end generate DELAY_DELAYED_SIGNALS_TO_SAVE; + + DELAY_DOWNSCALED_SIGNALS_TO_SAVE: for i in 32 to 63 generate + delay_pulse_module_1: delay_pulse_module + port map ( + CLK => CLK, + SIGNAL_IN => triggers_to_delay(i), + SIGNAL_OUT => data_to_send_delayed(i), + DELAY => DELAY_TRIGGER_TO_SAVE(15 downto 8), + LENGTH => LENGTH_TRIGGER_TO_SAVE(15 downto 8)); + end generate DELAY_DOWNSCALED_SIGNALS_TO_SAVE; + + data_to_send(16*32-1 downto 64) <= (others => '1'); + + SAVE_DATA_TO_SEND_TO_TRB : process (CLK, reset) begin if rising_edge(CLK) then - if RESET = '1' then - ACK_LVL1_STATE <= IDLE; + if reset = '1' then + data_to_send(63 downto 0) <= (others => '0'); + elsif global_timing_signal_out = '1' then + data_to_send(63 downto 0) <= data_to_send_delayed; else - case (ACK_LVL1_STATE) is - when IDLE => - trigger_register_00_i(6 downto 5) <= "00"; - TRB_ACK_LVL1 <= '0'; - if LVL1_BUSY = '1' then - ACK_LVL1_STATE <= ACK_LVL1_CHECK_COMPLETION; - elsif lvl1_trigger_pulse_start = '1' and LVL1_TRIGGER_CODE = x"d" then - ACK_LVL1_STATE <= ACK_LVL1_PULSE_1; - else - ACK_LVL1_STATE <= IDLE; - end if; - when ACK_LVL1_CHECK_COMPLETION => - trigger_register_00_i(6 downto 5) <= "01"; - TRB_ACK_LVL1 <= '0'; - if LVL1_BUSY = '1'then - ACK_LVL1_STATE <= ACK_LVL1_CHECK_COMPLETION; - else - ACK_LVL1_STATE <= ACK_LVL1_PULSE_1; - end if; - when ACK_LVL1_PULSE_1 => - trigger_register_00_i(6 downto 5) <= "10"; - TRB_ACK_LVL1 <= '1'; - ACK_LVL1_STATE <= IDLE; - when others => - trigger_register_00_i(6 downto 5) <= "11"; - ACK_LVL1_STATE <= IDLE; - end case; + data_to_send(63 downto 0) <= data_to_send(63 downto 0); end if; end if; - end process; - not_tdc_clk <= not TDC_CLK; - SEND_TDC_TRIGGER : edge_to_pulse - port map ( - clock => not_tdc_clk, - en_clk => '1', - signal_in => lvl1_tdc_trigg_i, - pulse => TRIGGER_TO_TDC); - ----------------------------------------------------------------------------- - -- LVL2 trigger logic - ----------------------------------------------------------------------------- - LVL2_START_PULSER : edge_to_pulse - port map ( - clock => CLK, - en_clk => '1', - signal_in => LVL2_TRIGGER(0), - pulse => lvl2_trigger_pulse); - - LVL2_START : process (CLK, RESET) + end process SAVE_DATA_TO_SEND_TO_TRB; + + LVL1_CLOCK : process (CLK, RESET) begin if rising_edge(CLK) then if RESET = '1' then - LVL2_START_fsm_currentstate <= IDLE; + LVL1_CURRENT <= IDLE; else - LVL2_START_fsm_currentstate <= LVL2_START_fsm_nextstate; + LVL1_CURRENT <= LVL1_NEXT; end if; end if; - end process LVL2_START; - LVL2_START_FSM_PROC : process (LVL2_START_fsm_currentstate,CLK,lvl2_trigger_pulse,ACK_LVL1_STATE,TDC_CONTROL_REGISTER(0),ACK_LVL2_STATE) + end process LVL1_CLOCK; + + LVL1_PROC : process (CLK) + variable data_counter : integer:=0; begin - case (LVL2_START_fsm_currentstate) is - when IDLE => - trigger_register_00_i(9 downto 7) <= "000"; - LVL2_TDC_TRIGG <= "00"; - if (lvl2_trigger_pulse='1') or (ACK_LVL1_STATE = ACK_LVL1_PULSE_1 and TDC_CONTROL_REGISTER(0) = '1') then - LVL2_START_fsm_nextstate <= SEND_LVL2_TRIGG_1; + lvl1_trigger_fsm <= '0'; + lvl1_busy_fsm <= '1'; + data_valid_fsm <= '0'; + data_out_fsm <= (others => '0'); + lvl1_busy_end_fsm <= '0'; + lvl1_trigger_debug_fsm <= x"0"; + case (LVL1_CURRENT) is + when IDLE => + data_counter :=0; + lvl1_trigger_debug <= x"1"; + lvl1_busy_fsm <= '0'; + if global_timing_signal_out = '1' then + LVL1_NEXT <= LVL1_A; else - LVL2_START_fsm_nextstate <= IDLE; + LVL1_NEXT <= IDLE; end if; - when SEND_LVL2_TRIGG_1 => - trigger_register_00_i(9 downto 7) <= "001"; - LVL2_TDC_TRIGG <= "00"; - if LVL2_BUSY_ALL = '0' then - LVL2_START_fsm_nextstate <= SEND_LVL2_TRIGG_2; + when LVL1_A => + lvl1_trigger_debug <= x"2"; + data_valid_fsm <= '1'; + data_counter := data_counter + 1; + data_out_fsm <= data_to_send((data_counter+1)*32-1 downto data_counter*32); + if data_counter = 16 then + LVL1_NEXT <= LVL1_B; else - LVL2_START_fsm_nextstate <= SEND_LVL2_TRIGG_1; + LVL1_NEXT <= LVL1_A; end if; --- LVL2_TDC_TRIGG <= (not(LVL2_TRIGGER_CODE(3)) or (TDC_CONTROL_REGISTER(0))) & '1'; --- LVL2_START_fsm_nextstate <= SEND_LVL2_TRIGG_2; - when SEND_LVL2_TRIGG_2 => - trigger_register_00_i(9 downto 7) <= "010"; - LVL2_TDC_TRIGG <= "00"; --- LVL2_TDC_TRIGG <= (not(LVL2_TRIGGER_CODE(3)) or (TDC_CONTROL_REGISTER(0))) & '1'; - LVL2_START_fsm_nextstate <= WAIT_FOR_ACK; - when WAIT_FOR_ACK => - trigger_register_00_i(9 downto 7) <= "011"; - LVL2_TDC_TRIGG <= (not(LVL2_TRIGGER_CODE(3)) or (TDC_CONTROL_REGISTER(0))) & '1'; - if ACK_LVL2_STATE = ACK_LVL2_PULSE_1 then - LVL2_START_fsm_nextstate <= IDLE; + when LVL1_B => + lvl1_trigger_debug <= x"2"; + lvl1_busy_end_fsm <= '1'; + LVL1_NEXT <= LVL1_C; + when LVL1_C => + lvl1_trigger_debug <= x"3"; + if LVL1_BUSY_IN = '0' then + LVL1_NEXT <= IDLE; else - LVL2_START_fsm_nextstate <= WAIT_FOR_ACK; + LVL1_NEXT <= LVL1_C; end if; - when others => - trigger_register_00_i(9 downto 7) <= "111"; - LVL2_START_fsm_nextstate <= IDLE; - LVL2_TDC_TRIGG <= "00"; + when others => + lvl1_trigger_debug <= x"f"; + LVL1_NEXT <= IDLE; end case; - end process LVL2_START_FSM_PROC; - ACK_LVL2_NEXT_STATE_DECODE : process (CLK, RESET, LVL2_BUSY, ACK_LVL2_STATE,LVL2_START_fsm_currentstate) - begin - if rising_edge(CLK) then - if RESET = '1' then - ACK_LVL2_STATE <= IDLE; - else - case (ACK_LVL2_STATE) is - when IDLE => - trigger_register_00_i(11 downto 10) <= "00"; - --03.27 if LVL2_BUSY = '1' and LVL2_START_fsm_currentstate ???? /= IDLE then - if LVL2_BUSY = '1' then - ACK_LVL2_STATE <= ACK_LVL2_CHECK_COMPLETION; - else - ACK_LVL2_STATE <= IDLE; - end if; - when ACK_LVL2_CHECK_COMPLETION => - trigger_register_00_i(11 downto 10) <= "01"; - if LVL2_BUSY = '1' then - ACK_LVL2_STATE <= ACK_LVL2_CHECK_COMPLETION; - else - ACK_LVL2_STATE <= ACK_LVL2_PULSE_1; - end if; - when ACK_LVL2_PULSE_1 => - trigger_register_00_i(11 downto 10) <= "10"; - ACK_LVL2_STATE <= IDLE; - when others => - trigger_register_00_i(11 downto 10) <= "11"; - ACK_LVL2_STATE <= IDLE; - end case; - end if; - end if; - end process; - TRB_ACK_LVL2 <= '1' when ACK_LVL2_STATE = ACK_LVL2_PULSE_1 else '0'; + end process LVL1_PROC; + +------------------------------------------------------------------------------- +-- debug logic ... +------------------------------------------------------------------------------- + + + + end trigger_logic; -- 2.43.0