From 8f9675cde4d94b2beb6153439c42235a79a7868a Mon Sep 17 00:00:00 2001
From: Peter Lemmens
Date: Mon, 9 Feb 2015 14:39:57 +0100
Subject: [PATCH] Conflicts repaired
---
code/med_ecp3_sfp_4_sync_down.vhd | 52 ++++++++-----------------------
code/soda_components.vhd | 4 +--
code/trb3_periph_sodahub.vhd | 18 +++++------
soda_source.ldf | 2 +-
4 files changed, 25 insertions(+), 51 deletions(-)
diff --git a/code/med_ecp3_sfp_4_sync_down.vhd b/code/med_ecp3_sfp_4_sync_down.vhd
index 892309a..2e6a78d 100644
--- a/code/med_ecp3_sfp_4_sync_down.vhd
+++ b/code/med_ecp3_sfp_4_sync_down.vhd
@@ -639,50 +639,24 @@ end if;
end process;
--- -------------------------------------------------
--- -- Debug Registers
--- -------------------------------------------------
--- debug_reg(3 downto 0) <= rx_fsm_state;
--- debug_reg(4) <= rx_k;
--- debug_reg(5) <= rx_error;
--- debug_reg(6) <= rx_los_low;
--- debug_reg(7) <= rx_cdr_lol;
---
--- debug_reg(8) <= tx_k;
--- debug_reg(9) <= tx_pll_lol;
--- debug_reg(10) <= lsm_status;
--- debug_reg(11) <= make_link_reset_i;
--- debug_reg(15 downto 12) <= tx_fsm_state;
--- -- debug_reg(31 downto 24) <= tx_data;
---
--- debug_reg(16) <= '0';
--- debug_reg(17) <= tx_allow;
--- debug_reg(18) <= RESET;
--- debug_reg(19) <= CLEAR;
--- debug_reg(31 downto 20) <= debug_rx_control_i(4) & debug_rx_control_i(2 downto 0) & debug_rx_control_i(15 downto 8);
---
--- debug_reg(35 downto 32) <= wa_position(3 downto 0);
--- debug_reg(36) <= debug_tx_control_i(6);
--- debug_reg(39 downto 37) <= "000";
--- debug_reg(63 downto 40) <= debug_rx_control_i(23 downto 0);
STAT_DEBUG <= (others => '0'); --debug_reg;
generated_status : for i in 0 to 3 generate
- STAT_OP(i)(15) <= send_link_reset_i(i) when rising_edge(SYSCLK);
- STAT_OP(i)(14) <= '0';
- STAT_OP(i)(13) <= internal_make_link_reset_out(i) when rising_edge(SYSCLK); --make trbnet reset
- STAT_OP(i)(12) <= '0';
- STAT_OP(i)(11) <= '0';
- STAT_OP(i)(10) <= rx_allow(i);
- STAT_OP(i)(9) <= tx_allow(i);
- STAT_OP(i)(8) <= got_link_ready_i(i) when rising_edge(rx_half_clk(i));
- STAT_OP(i)(7) <= send_link_reset_i(i);
- STAT_OP(i)(6) <= make_link_reset_i(i);
- STAT_OP(i)(5) <= request_retr_i(i);
- STAT_OP(i)(4) <= start_retr_i(i);
- STAT_OP(i)(3 downto 0) <= x"0" when rx_allow_q(i) = '1' and tx_allow_q(i) = '1' else x"7";
+ STAT_OP(i*16 + 15) <= send_link_reset_i(i) when rising_edge(SYSCLK);
+ STAT_OP(i*16 + 14) <= '0';
+ STAT_OP(i*16 + 13) <= internal_make_link_reset_out(i) when rising_edge(SYSCLK); --make trbnet reset
+ STAT_OP(i*16 + 12) <= '0';
+ STAT_OP(i*16 + 11) <= '0';
+ STAT_OP(i*16 + 10) <= rx_allow(i);
+ STAT_OP(i*16 + 9) <= tx_allow(i);
+ STAT_OP(i*16 + 8) <= got_link_ready_i(i) when rising_edge(rx_half_clk(i));
+ STAT_OP(i*16 + 7) <= send_link_reset_i(i);
+ STAT_OP(i*16 + 6) <= make_link_reset_i(i);
+ STAT_OP(i*16 + 5) <= request_retr_i(i);
+ STAT_OP(i*16 + 4) <= start_retr_i(i);
+ STAT_OP(i*16 + 3 downto i*16) <= x"0" when rx_allow_q(i) = '1' and tx_allow_q(i) = '1' else x"7";
end generate;
end med_ecp3_sfp_4_sync_down_arch;
diff --git a/code/soda_components.vhd b/code/soda_components.vhd
index 1df9f14..600ca54 100644
--- a/code/soda_components.vhd
+++ b/code/soda_components.vhd
@@ -30,7 +30,7 @@ package soda_components is
constant c_QUAD_DATA_WIDTH : integer := 4*c_DATA_WIDTH;
constant c_QUAD_NUM_WIDTH : integer := 4*c_NUM_WIDTH;
- constant c_QUAD_MUX_WIDTH : integer := 3; --!!!
+ constant c_QUAD_MUX_WIDTH : integer := 3; --
subtype t_HUB_BIT is std_logic_vector(c_HUB_CHILDREN-1 downto 0);
type t_HUB_NUM is array(c_HUB_CHILDREN-1 downto 0) of std_logic_vector(c_NUM_WIDTH-1 downto 0);
@@ -409,7 +409,7 @@ package soda_components is
);
end component;
- component med_ecp3_sfp_4_sync_down is
+ component med_ecp3_sfp_4_sync_down
generic( SERDES_NUM : integer range 0 to 3 := 0;
IS_SYNC_SLAVE : integer := c_NO); --select slave mode
port(
diff --git a/code/trb3_periph_sodahub.vhd b/code/trb3_periph_sodahub.vhd
index 3b4e056..2f0bd3a 100644
--- a/code/trb3_periph_sodahub.vhd
+++ b/code/trb3_periph_sodahub.vhd
@@ -686,15 +686,15 @@ THE_HUB_SYNC_UPLINK : med_ecp3_sfp_sync_up
SCI_NACK => sci2_nack,
--Status and control port
- STAT_OP(0) => med_stat_op(1*16+15 downto 1*16),
- STAT_OP(1) => med_stat_op(6*16+15 downto 6*16),
- STAT_OP(2) => med_stat_op(2*16+15 downto 2*16),
- STAT_OP(3) => med_stat_op(4*16+15 downto 4*16),
-
- CTRL_OP(0) => med_ctrl_op(1*16+15 downto 1*16),
- CTRL_OP(1) => med_ctrl_op(6*16+15 downto 6*16),
- CTRL_OP(2) => med_ctrl_op(2*16+15 downto 2*16),
- CTRL_OP(3) => med_ctrl_op(4*16+15 downto 4*16),
+ STAT_OP(15 downto 0) => med_stat_op(1*16+15 downto 1*16),
+ STAT_OP(31 downto 16) => med_stat_op(6*16+15 downto 6*16),
+ STAT_OP(47 downto 32) => med_stat_op(2*16+15 downto 2*16),
+ STAT_OP(63 downto 48) => med_stat_op(4*16+15 downto 4*16),
+
+ CTRL_OP(15 downto 0) => med_ctrl_op(1*16+15 downto 1*16),
+ CTRL_OP(31 downto 16) => med_ctrl_op(6*16+15 downto 6*16),
+ CTRL_OP(47 downto 32) => med_ctrl_op(2*16+15 downto 2*16),
+ CTRL_OP(63 downto 48) => med_ctrl_op(4*16+15 downto 4*16),
STAT_DEBUG => open,
CTRL_DEBUG => (others => '0')
diff --git a/soda_source.ldf b/soda_source.ldf
index 64036af..0b067a1 100644
--- a/soda_source.ldf
+++ b/soda_source.ldf
@@ -1,5 +1,5 @@
-
+
--
2.43.0