From 915dc7aa8f02585c900ce62cdaf407cb40ccfb28 Mon Sep 17 00:00:00 2001 From: hadaq Date: Fri, 9 Jul 2010 08:32:48 +0000 Subject: [PATCH] *** empty log message *** --- testbenches/tb_rx_packets.vhd | 8 ++------ 1 file changed, 2 insertions(+), 6 deletions(-) diff --git a/testbenches/tb_rx_packets.vhd b/testbenches/tb_rx_packets.vhd index 2f02f22..7825c64 100755 --- a/testbenches/tb_rx_packets.vhd +++ b/testbenches/tb_rx_packets.vhd @@ -23,7 +23,6 @@ ARCHITECTURE behavior OF testbench IS SEND_RESET_WORDS_OUT : OUT std_logic; MAKE_TRBNET_RESET_OUT : OUT std_logic; LINK_BROKEN_OUT : OUT std_logic; - CLEAR_STATUS_IN : IN std_logic; BSM_OUT : OUT std_logic_vector(3 downto 0); DBG_OUT : OUT std_logic_vector(15 downto 0) ); @@ -43,7 +42,6 @@ ARCHITECTURE behavior OF testbench IS SIGNAL SEND_RESET_WORDS_OUT : std_logic; SIGNAL MAKE_TRBNET_RESET_OUT : std_logic; SIGNAL LINK_BROKEN_OUT : std_logic; - SIGNAL CLEAR_STATUS_IN : std_logic; SIGNAL BSM_OUT : std_logic_vector(3 downto 0); SIGNAL DBG_OUT : std_logic_vector(15 downto 0); @@ -65,7 +63,6 @@ BEGIN SEND_RESET_WORDS_OUT => SEND_RESET_WORDS_OUT, MAKE_TRBNET_RESET_OUT => MAKE_TRBNET_RESET_OUT, LINK_BROKEN_OUT => LINK_BROKEN_OUT, - CLEAR_STATUS_IN => CLEAR_STATUS_IN, BSM_OUT => BSM_OUT, DBG_OUT => DBG_OUT ); @@ -94,13 +91,12 @@ begin rx_data_in <= x"00"; rx_allow_in <= '0'; med_read_in <= '0'; - clear_status_in <= '0'; wait for 20 ns; -- Reset the whole stuff - reset_in <= '1'; clear_status_in <= '1'; wait for 33 ns; - reset_in <= '0'; clear_status_in <= '0'; wait for 55 ns; + reset_in <= '1'; wait for 33 ns; + reset_in <= '0'; wait for 55 ns; -- Tests may start now... -- 2.43.0