From 921f93911137fa26ebc2f139b043e048881b9b28 Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Tue, 28 Dec 2021 21:28:56 +0100 Subject: [PATCH] still hunting dead port issue --- media_interfaces/sync/main_rx_reset_RS.vhd | 11 +++++++---- media_interfaces/sync/med_sync_define_RS.vhd | 2 +- 2 files changed, 8 insertions(+), 5 deletions(-) diff --git a/media_interfaces/sync/main_rx_reset_RS.vhd b/media_interfaces/sync/main_rx_reset_RS.vhd index fd59d8d..d411427 100644 --- a/media_interfaces/sync/main_rx_reset_RS.vhd +++ b/media_interfaces/sync/main_rx_reset_RS.vhd @@ -30,9 +30,9 @@ architecture main_rx_reset_RS_arch of main_rx_reset_RS is constant Tshort : unsigned(31 downto 0) := x"0000000a"; -- @200MHz 100ms - constant Tplol : unsigned(31 downto 0) := x"003fffff"; --x"01312d00"; - constant Tcdr : unsigned(31 downto 0) := x"003fffff"; --x"01312d00"; - constant Tviol : unsigned(31 downto 0) := x"003fffff"; --x"01312d00"; + constant Tplol : unsigned(31 downto 0) := x"003fffff"; --x"01312d00"; + constant Tcdr : unsigned(31 downto 0) := x"003fffff"; --x"01312d00"; + constant Tviol : unsigned(31 downto 0) := x"003fffff"; --x"01312d00"; signal pll_lol_s : std_logic; signal cdr_lol_s : std_logic; @@ -40,6 +40,7 @@ architecture main_rx_reset_RS_arch of main_rx_reset_RS is signal lsm_s : std_logic; signal los_s : std_logic; signal sd_los_s : std_logic; + signal wap_zero_s : std_logic; signal cnt : unsigned(31 downto 0); @@ -67,6 +68,7 @@ begin lsm_s <= '0'; los_s <= '1'; sd_los_s <= '1'; + wap_zero_s <= '0'; RX_SERDES_RST_OUT <= '1'; RX_PCS_RST_OUT <= '1'; @@ -82,6 +84,7 @@ begin lsm_s <= LSM_IN; los_s <= LOS_IN; sd_los_s <= SD_LOS_IN; + wap_zero_s <= WAP_ZERO_IN; case rx_sm is when POWERUP => @@ -189,7 +192,7 @@ begin RX_PCS_RST_OUT <= '0'; LINK_RX_READY_OUT <= '0'; cnt <= (others => '0'); - if( WAP_ZERO_IN = '1' ) then + if( wap_zero_s = '1' ) then rx_sm <= NORMAL_OP; else -- rx_sm <= APPLY_RXPCS_RST; -- DOESNT WORK diff --git a/media_interfaces/sync/med_sync_define_RS.vhd b/media_interfaces/sync/med_sync_define_RS.vhd index db4545e..3869f7c 100644 --- a/media_interfaces/sync/med_sync_define_RS.vhd +++ b/media_interfaces/sync/med_sync_define_RS.vhd @@ -11,7 +11,7 @@ package med_sync_define_RS is constant K_BGN : std_logic_vector(7 downto 0) := x"1c"; -- K28.0 -- reserved for retransmission constant K_28_1 : std_logic_vector(7 downto 0) := x"3c"; -- K28.1 constant K_28_2 : std_logic_vector(7 downto 0) := x"5c"; -- K28.2 -constant K_REQ : std_logic_vector(7 downto 0) := x"7c"; -- K28.3 -- reserved for transmission +constant K_REQ : std_logic_vector(7 downto 0) := x"7c"; -- K28.3 -- reserved for retransmission constant K_28_4 : std_logic_vector(7 downto 0) := x"9c"; -- K28.4 constant K_IDLE : std_logic_vector(7 downto 0) := x"bc"; -- K28.5 -- used for link idle and establishment constant K_DLM : std_logic_vector(7 downto 0) := x"dc"; -- K28.6 -- used for link delay measurement -- 2.43.0