From 95efab884c5fa828f8b27fd37ddf62a863a0b1e5 Mon Sep 17 00:00:00 2001 From: Andreas Neiser Date: Wed, 25 Feb 2015 09:17:01 +0100 Subject: [PATCH] Adding HGROUPs again... --- ADC/source/adc_ad9219.vhd | 5 ++++- ADC/source/adc_processor_cfd.vhd | 3 +++ 2 files changed, 7 insertions(+), 1 deletion(-) diff --git a/ADC/source/adc_ad9219.vhd b/ADC/source/adc_ad9219.vhd index aa7b963..48c7def 100644 --- a/ADC/source/adc_ad9219.vhd +++ b/ADC/source/adc_ad9219.vhd @@ -27,7 +27,10 @@ entity adc_ad9219 is ); end entity; -architecture adc_ad9219_arch of adc_ad9219 is +architecture arch of adc_ad9219 is + attribute HGROUP : string; + attribute HGROUP of arch : architecture is "ADC_AD9219_group"; + type q_t is array (0 to NUM_DEVICES - 1) of std_logic_vector(19 downto 0); signal q, qq, qqq : q_t; diff --git a/ADC/source/adc_processor_cfd.vhd b/ADC/source/adc_processor_cfd.vhd index 5ab9e27..d8d27b2 100644 --- a/ADC/source/adc_processor_cfd.vhd +++ b/ADC/source/adc_processor_cfd.vhd @@ -31,6 +31,9 @@ entity adc_processor_cfd is end entity adc_processor_cfd; architecture arch of adc_processor_cfd is + attribute HGROUP : string; + attribute HGROUP of arch : architecture is "ADC_PROCESSOR_group"; + -- attribute syn_hier : string; -- attribute syn_keep : boolean; -- attribute syn_preserve : boolean; -- 2.43.0