From 96c6f98b011b48e0a470fe22506b071cda8c9749 Mon Sep 17 00:00:00 2001 From: hadaq Date: Fri, 18 Jan 2013 13:25:24 +0000 Subject: [PATCH] unnecessary RUN_MODE port is removed from Channel and Channel_200 entities - cu --- base/trb3_components.vhd | 2 -- 1 file changed, 2 deletions(-) diff --git a/base/trb3_components.vhd b/base/trb3_components.vhd index 80f8584..aed1755 100644 --- a/base/trb3_components.vhd +++ b/base/trb3_components.vhd @@ -163,7 +163,6 @@ package trb3_components is COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0); DATA_FINISHED_IN : in std_logic; - RUN_MODE : in std_logic; LOST_HIT_NUMBER : out std_logic_vector(23 downto 0); HIT_DETECT_NUMBER : out std_logic_vector(23 downto 0); ENCODER_START_NUMBER : out std_logic_vector(23 downto 0); @@ -182,7 +181,6 @@ package trb3_components is HIT_IN : in std_logic; EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0); DATA_FINISHED_IN : in std_logic; - RUN_MODE : in std_logic; COARSE_COUNTER_IN : in std_logic_vector(10 downto 0); READ_EN_IN : in std_logic; FIFO_DATA_OUT : out std_logic_vector(31 downto 0); -- 2.43.0