From 991d5a690f14f85dab545ec3b45145c33ce264dd Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Tue, 3 Aug 2010 13:42:41 +0000 Subject: [PATCH] *** empty log message *** --- gbe_ecp2m/trb_net16_gbe_setup.vhd | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/gbe_ecp2m/trb_net16_gbe_setup.vhd b/gbe_ecp2m/trb_net16_gbe_setup.vhd index f852797..ea01a75 100644 --- a/gbe_ecp2m/trb_net16_gbe_setup.vhd +++ b/gbe_ecp2m/trb_net16_gbe_setup.vhd @@ -74,6 +74,11 @@ end entity; architecture gbe_setup of gbe_setup is +-- -- Placer Directives +-- attribute HGROUP : string; +-- -- for whole architecture +-- attribute HGROUP of gbe_setup : architecture is "GBE_setup_group"; + signal reset_values : std_logic; signal subevent_id : std_logic_vector(31 downto 0); -- 2.43.0